JP5409778B2 - クランプされた一体的なシャワーヘッド電極 - Google Patents

クランプされた一体的なシャワーヘッド電極 Download PDF

Info

Publication number
JP5409778B2
JP5409778B2 JP2011510519A JP2011510519A JP5409778B2 JP 5409778 B2 JP5409778 B2 JP 5409778B2 JP 2011510519 A JP2011510519 A JP 2011510519A JP 2011510519 A JP2011510519 A JP 2011510519A JP 5409778 B2 JP5409778 B2 JP 5409778B2
Authority
JP
Japan
Prior art keywords
electrode
backing plate
showerhead
showerhead electrode
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011510519A
Other languages
English (en)
Other versions
JP2011521472A (ja
Inventor
パトリック・ロジャー
ベテンコート・グレゴリー・アール.
ケロッグ・マイケル・シー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011521472A publication Critical patent/JP2011521472A/ja
Application granted granted Critical
Publication of JP5409778B2 publication Critical patent/JP5409778B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/12Furniture type having a rotatable fastener or fastening element that tightens connection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/13Furniture type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/32Articulated members
    • Y10T403/32254Lockable at fixed position
    • Y10T403/32426Plural distinct positions
    • Y10T403/32442At least one discrete position
    • Y10T403/32451Step-by-step adjustment
    • Y10T403/32459Retainer extends through aligned recesses
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7005Lugged member, rotary engagement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7009Rotary binding cam or wedge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7041Interfitted members including set screw

Description

本発明は、半導体部品を製造することができるプラズマ処理チャンバのシャワーヘッド電極アセンブリに関するものである。
一実施形態にしたがうと、シャワーヘッド電極アセンブリは、受け板にクランプされた一体的な段状電極を含み、シャワーヘッド電極アセンブリは、容量結合プラズマ処理チャンバの上側電極を含む。段状電極は、その下面にプラズマ曝露表面を、その上面に装着表面をそれぞれ有する円形の板である。装着表面は、受け板の位置合わせピン穴に一致するパターンで配置された、位置合わせピンを受け入れるように構成された複数の位置合わせピン凹部を含み、受け板には、カムロックによって上記円形の板が押し当てられ、上記円形の板は、受け板のガス供給穴に一致するパターンで配置されたプロセスガス出口を含む。上面は、内側の平坦表面を取り囲む外側の凹表面部を含み、プラズマ暴露表面は、内側および外側の傾斜表面を含む。外側の凹表面部内の、円周方向に相隔てられた複数のポケットは、カムロックと相まって段状電極を受け板にクランプするように適応されたロックピンを受け入れるように構成される。
もう1つの実施形態にしたがうと、容量結合プラズマ処理チャンバのシャワーヘッド電極アセンブリは、熱制御板と、受け板と、ガードリングと、段状電極とを含む。熱制御板は、プラズマ処理チャンバの温度制御壁によって支えられ、熱制御板は、プラズマ処理チャンバ内において処理されるウエハよりも大きい直径を有し、その下面に環状突出と、環状突出間のガスプレナムとを含む。受け板は、熱制御板によって制御され、熱制御板よりも小さい直径と、中に通されたガス通路と、横方向孔内のカムロックとを有する。シールドリングは、受け板の外周の厚さに等しい高さを有し、少なくとも1つの横方向アクセス孔を中に通され、アクセス孔をカムロックの少なくとも1つに位置合わせするために受け板の周りを回転可能である。段状電極は、受け板内のガス通路と流体連通するガス通路を中に通されている。段状電極は、カムロックに係合する縦方向ロックピンを含み、シールドリングを支え、ロックピンをカムロックから解除することによって取り外し可能である。
ガードリングを伴った、基板をエッチングするための容量結合プラズマリアクタの上側電極を形成するシャワーヘッド電極アセンブリの断面図を示している。
図1に示されたリアクタ内において段状電極をクランプするための例示的なカムロックの三次元表示である。
図2Aの例示的なカムロック電極クランプの断面図である。
図2Aおよび図2Bのカムロッククランプに使用される例示的なロックピンの側面図および組立図を示している。
図2Aおよび図2Bのカムロッククランプに使用される例示的なカム軸の側面図および組立図を示している。
図4Aのカム軸の一部分の例示的な切り欠き経路の縁の断面図を示している。
段状電極と、受け板と、熱制御板と、ガードリングと、上板とを有するシャワーヘッド電極アセンブリを示している。
段状電極の斜視図である。 段状電極の斜視図である。
受け板の斜視図である。
ガードリングを伴わないシャワーヘッド電極アセンブリの斜視図である。
集積回路チップの製造は、通常、「ウエハ」と称される、研磨され薄切りされた高純度の単結晶半導体材料基板(シリコンまたはゲルマニウムなど)から始まる。各ウエハは、ウエハ上に各種の回路構造を形成する一連の物理的および化学的処理工程に通される。製造プロセスでは、二酸化シリコン膜を作成するための熱酸化、シリコン膜、二酸化シリコン膜、窒化シリコン膜を作成するための化学気相蒸着、およびその他の金属膜を作成するためのスパッタリングまたはその他の技術など各種の技術を使用して、ウエハ上に様々なタイプの薄膜が成膜されてよい。
半導体ウエハ上に成膜させた後は、ドーピングと称されるプロセスを使用して、選択された不純物によって半導体結晶格子を置き換えることによって、半導体に固有な電気的特性が得られる。ドープされたシリコンウエハは、次いで、「レジスト」と称される薄い感光性または放射線感受性の材料層を均一にコーティングされてよい。次いで、リソグラフィとして知られるプロセスを使用して、回路内における電子経路を定める小さい幾何パターンがレジスト上に転写されてよい。リソグラフィプロセスでは、「マスク」と称されるガラス板上に集積回路パターンが描画され、次いで光学的に縮小され、感光性のコーティング上に投影および転写されてよい。
リソグラフィを経たレジストパターンは、次いで、エッチングとして知られるプロセスを通じて、下位の半導体材料の結晶表面上に転写される。エッチングガスまたは蒸着ガスを真空チャンバに供給し、ガスに高周波(RF)電界を印加してガスをプラズマ状態に励起させ、基板上に材料をエッチングおよび化学気相蒸着(CVD)させるには、真空処理チャンバが使用されるのが一般的である。
反応性イオンエッチングシステムは、通常、上側電極すなわちアノードと、下側電極すなわちカソードとを中に配置されたエッチングチャンバで構成される。カソードは、アノードおよび容器の壁に対して負にバイアスされる。エッチング対象となるウエハは、適切なマスクで覆われ、カソード上に直接置かれる。CF4、CHF3、CClF3、HBr、Cl2、およびSF6、またはこれらとO2、N2、He、もしくはArとの混合などの、化学反応性ガスが、エッチングチャンバに導入され、通常、ミリトール範囲の圧力に維持される。上側電極は、ガスが電極を通ってチャンバ内に均一に分散されることを可能にする(1つまたは2つ以上の)ガス穴を設けられる。アノードとカソードとの間に形成される電界は、反応性ガスを解離させてプラズマを発生させる。ウエハの表面は、活性イオンとの化学的相互作用と、ウエハの表面にぶつかるイオンの運動量移動とによってエッチングされる。電極によって形成される電界は、イオンをカソードに惹き付けて、それらのイオンを主に垂直方向に表面にぶつからせるので、このプロセスは、明確に定められた垂直にエッチングされた側壁を形成する。エッチングリアクタの電極は、多くの場合、機械的に柔軟性でかつ/または熱伝導性の接着剤によって2枚または3枚以上の異種部材を接合することによって作成されてよく、これは、多様な機能を可能にする。
図1は、基板をエッチングするためのプラズマ処理システムのシャワーヘッド電極アセンブリ100の一部分の断面図を示している。図1に示されるように、シャワーヘッド電極アセンブリ100は、段状電極110と、受け板140と、ガードリング(または外側リング)170とを含む。シャワーヘッド電極アセンブリ100は、上側電極110および受け板140の外周を取り囲むプラズマ閉じ込めアセンブリ(またはウエハ領域圧力(WAP)アセンブリ)180も含む。
アセンブリ100は、また、熱制御板102と、中に液体流路を有するとともにチャンバの温度制御壁を形成する上側(上)板104とを含む。段状電極110は、円柱状の板であることが好ましく、単結晶シリコン、多結晶シリコン、炭化ケイ素、またはその他の適切な材料(アルミニウムもしくはその合金、陽極酸化アルミニウム、イットリアをコーティングされたアルミニウムなど)などの、伝導性の高純度材料で作成されてよい。受け板140は、後述の機械的締め具によって電極110に機械的に固定される。ガードリング170は、受け板140を取り囲み、後述のようにカムロック部材へのアクセスを提供する。
図1に示されたようなシャワーヘッド電極アセンブリ100は、通常、平坦な下側電極を組み入れた静電チャック(不図示)とともに使用され、ウエハは、上側電極110の下方約1〜2cmの距離において下側電極に支えられる。このようなプラズマ処理システムの一例は、カリフォルニア州フリーモントのLam Research Corporationによって作成されたExelan(登録商標)誘電体エッチングシステムなどの平行平板型リアクタである。このようなチャック配置構成は、ウエハとチャックとの間の熱伝導の速度を制御する裏面ヘリウム(He)圧力の供給によってウエハの温度制御を提供する。
上側電極110は、定期的な交換を必要とする消耗部品である。ウエハと上側電極との間のギャップにプロセスガスを供給するために、上側電極110は、プロセスガスの供給に適したサイズおよび分布を有するガス排出通路106を設けられ、プロセスガスは、電極によって励起され、上側電極110下の反応区域内においてプラズマを発生させる。
シャワーヘッド電極アセンブリ100は、また、上側電極110および受け板140の外周を取り囲むプラズマ閉じ込めアセンブリ(またはウエハ領域圧力(WAP)アセンブリ)180を含む。プラズマ閉じ込めアセンブリ180は、上側電極110および受け板140の外周を取り囲む大量のまたは複数の相隔たれた石英リング190で構成されることが好ましい。処理の際、プラズマ閉じ込めアセンブリ180は、反応区域内において圧力差を発生させ、反応チャンバ壁とプラズマとの間の電気抵抗を増大させることによって、上側電極110と下側電極(不図示)との間にプラズマを閉じ込める。
使用の際、閉じ込めリング190は、チャンバ体積にプラズマを閉じ込め、反応チャンバ内のプラズマの圧力を制御する。反応チャンバへのプラズマの閉じ込めは、閉じ込めリング190間の間隔と、閉じ込めリングよりも外側の反応チャンバ内のプラズマ内の圧力と、ガスの種類および流速と、RF電力のレベルおよび周波数とを含む、数多くの要素の関数である。プラズマの閉じ込めは、閉じ込めリング190間の間隔が非常に小さい場合に、より容易に達成される。通常、閉じ込めには、0.15インチ(約3.8mm)以下の間隔が必要とされる。しかしながら、閉じ込めリング190間の間隔は、プラズマの圧力も決定するので、間隔は、プラズマを維持しつつ、最適なプロセスパフォーマンスに必要とされる圧力を実現するように調整可能であることが望ましい。ガス供給部からのプロセスガスは、上側板104内の、プロセスガスをウエハ上方の1つまたは2つ以上の区域に供給することを可能にする1本または2本以上の通路を通して電極110に供給される。
電極110は、中心(不図示)から厚み増加領域にかけて均一な厚さを有する平坦な円板または板であることが好ましく、この厚み増加領域は、外縁から内向きに広がる段をプラズマ曝露表面上に形成する。電極110は、例えば300mmを超えるなど、処理対象となるウエハよりも大きい直径を有することが好ましい。300mmウエハを処理するには、上側電極110の直径は、約15インチ(約38cm)から約17インチ(約43cm)までであってよい。上側電極110は、上側電極110の下方のプラズマ反応チャンバ内の空間にプロセスガスを注入するための複数のガス通路106を含むことが好ましい。
電極110のプラズマ曝露表面として好ましい材料は、単結晶シリコンおよび多結晶シリコンである。高純度の単結晶シリコンまたは多結晶シリコンは、反応チャンバに導入される望ましくない要素の量を最小限に抑えられるうえに、プラズマ処理中に滑らかに磨り減るゆえに、粒子を最低限に抑えられ、したがって、プラズマ処理中の基板の汚染を最小限に抑えられる。上側電極110のプラズマ曝露表面に使用されることが可能な、複合材料を含む代替材料として、例えば、アルミニウム(本明細書において使用される「アルミニウム」は、純粋なAlおよびその合金を指す)、イットリアをコーティングされたアルミニウム、SiC、SiN、およびAlNが挙げられる。
受け板140は、プラズマ処理チャンバ内において半導体基板を処理するために使用されるプロセスガスと化学的に共存可能であり、電極材料の熱膨張係数と厳密に一致する熱膨張係数を有し、および/または導電性でかつ熱伝導性である材料で、作成されることが好ましい。受け板140を作成するために使用されることが可能な好ましい材料として、限定はされないがグラファイト、SiC、アルミニウム(Al)、またはその他の適切な材料が挙げられる。
上側電極110は、電極と受け板との間にいかなる接着接合も伴わずに機械的に受け板140に取り付けられる、すなわち、電極を受け板に取り付けるために熱伝導性でかつ導電性のエラストマ接合材料は使用されない。
受け板140は、適切な機械的締め具によって熱制御板102に取り付けられることが好ましく、この締め具は、ネジボルトやネジくぎなどであってよい。例えば、ボルト(不図示)は、熱制御板102内の穴に挿し込まれ、受け板140内のネジ開口にネジ入れられてよい。熱制御板102は、曲がり部分184を含み、アルミニウムやアルミニウム合金などの機械加工された金属材料で作成されることが好ましい。上側の温度制御板104は、アルミニウムまたはアルミニウム合金で作成されることが好ましい。プラズマ閉じ込めアセンブリ(またはウエハ領域圧力アセンブリ(WAP))180は、シャワーヘッド電極アセンブリ100の外側に配置される。垂直方向に調整可能な複数のプラズマ閉じ込めリング190を含む適切なプラズマ閉じ込めアセンブリ180が、共同所有の米国特許第5,534,751号に記載されており、該特許は、参照によって全体を本明細書に組み込まれる。
上側電極は、2008年3月14日に出願され参照によって本明細書に開示内容を組み込まれる共同所有の米国出願第61/036,862号に記載されるように、カムロックメカニズムによって機械的に受け板に取り付けることができる。図2Aを参照すると、例示的なカムロック電極クランプの三次元図は、電極201および受け板203の一部分を含む。電極クランプは、図1に示されたプラズマエッチングチャンバなどの種々様々な製造関連ツール内において、迅速に、清潔に、かつ正確に消耗電極201を受け板に取り付けることができる。
電極クランプは、ソケット213に装入されるスタッド(ロックピン)205を含む。スタッドは、例えばステンレス製の皿ばね(Belleville washer)などの積層皿バネ215によって取り囲まれてよい。スタッド205および積層皿バネ215は、次いで、ソケット213に圧入されてよい、または接着剤もしくは機械的締め具の使用を通じてその他の方法で締められてよい。スタッド205および積層皿バネ215は、電極201と受け板203との間において限られた量の側方への動きが可能であるようにソケット213内に配置される。側方への動きの量の制限は、電極201と受け板203との熱膨張の差を相殺するための幾らかの動きを提供しつつ、これら2つの部品が密着することを可能にすることによって、優れた熱的接触を保証する。側方への動きの制限という特徴のさらなる詳細については、後ほどさらに詳しく述べられる。
具体的な例示的実施形態では、ソケット213は、摺動グレードTorlon(登録商標)で作成される。あるいは、ソケット213は、耐久性などの特定の機械的特性を有するその他の材料で作成されてよく、耐衝撃性、耐クリープ性、寸法安定性、耐放射線性、および耐化学性が容易に採用可能である。ポリアミド、ポリイミド、アセタール、および超高分子量ポリエチレン材料などの各種の材料が、全て適しているであろう。エッチングチャンバなどの応用において生じうる代表的な最高温度は、摂氏230度であるので、ソケット213の形成に、高温に特化されたプラスチックおよびその他の関連材料は不要である。一般に、代表的な動作温度は、より摂氏130度に近い。
電極クランプのその他の部分は、両端を1対のカム軸受け209によって取り囲まれたカム軸207で構成される。カム軸207とカム軸受けとからなるアセンブリは、受け板203内に機械加工された受け板孔211に装入される。300mm半導体ウエハ用に設計されたエッチングチャンバの代表的応用では、電極201と受け板203との組み合わせの周沿いに、間隔を空けて8つまたは9つ以上の電極クランプが配されてよい。
カム軸受け209は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arlon(登録商標)、または摩擦係数が低くかつ粒子飛散が少ないフルオロポリマ、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、およびポリエーテルエーテルケトン(PEEK)などのその他の材料を含む、種々様々な材料から機械加工されてよい。スタッド205およびカム軸207は、ステンレス鋼(例えば316、316L、17−7など)、または優れた耐久性および耐腐食性を提供するその他の任意の材料から機械加工されてよい。
次に、図2Bを参照すると、電極カムクランプの断面図は、カムクランプがどのように電極201を受け板203のすぐ近くまで引き寄せて動作するかをさらに例示している。スタッド205と積層皿バネ215とソケット213からなるアセンブリは、電極201に装入される。図に示されるように、このアセンブリは、ソケット213上の雄ネジによって電極201内のネジポケットにネジ入れられてよい。ただし、ソケットは、接着剤またはその他のタイプの機械的締め具によって装着されてもよい。
図3において、拡大ヘッドを有するスタッド205、積層皿バネ215、およびソケット213の、側面図および組立図は、カムロック電極クランプの例示的な設計についてのさらなる詳細を提供している。特定の例示的実施形態では、スタッドと皿バネとからなるアセンブリ301が、ソケット213に圧入される。ソケット213は、雄ネジと、軽いトルク(例えば具体的な例示的実施形態では約20in・lbf(約23kgf・cm))で電極201(図2Aおよび図2Bを参照)に容易に挿入されることを可能にする六角上部材とを有する。上記のように、ソケット213は、様々なタイプのプラスチックから機械加工されてよい。プラスチックの使用は、粒子の生成を最低限に抑え、電極201上の嵌め合いポケットへのソケット213の無磨耗装入を可能にする。
スタッドとソケットとからなるアセンブリ303は、ソケット213の上側部分の内径がスタッド205の中央部分の外径よりも大きいことを示している。これらの2つの部分の直径の差は、上記のように、組み立てられた電極クランプ内において限られた量の側方への動きを可能にする。スタッドと皿バネとからなるアセンブリ301は、直径の差によって幾らかの側方への動きを可能にされつつ、ソケット213の基部においてソケット213に固着した状態に維持される。(図2Bも参照)。
図4Aを参照すると、カム軸207およびカム軸受け209の分解図400は、定着ピン401も示している。まず、定着ピン401を有するカム軸207の一端が、受け板孔211に挿し込まれる(図2Bを参照)。受け板孔211の遠端にある1対の小さな嵌め合い穴(不図示)が、受け板孔211内へのカム軸207の正しい位置合わせを可能にする。カム軸207の側面図420は、カム軸207の一端における六角開口403およびカム軸207の他端における定着ピン401について考えられる一配置を明確に示している。
例えば、引き続き図4Aおよび図2Bを参照すると、電極カムクランプは、カム軸207を受け板孔211に挿し込むことによって組み立てられる。定着ピン401は、1対の小さい嵌め合い穴の1つに当たることによって、受け板穴211内におけるカム軸207の回転移動を制限する。カム軸は、まず、カム軸207へのスタッド205の進入を可能にするために、六角開口403の使用を通じて例えば反時計回りなどの一方向に回転されてよく、次いで、スタッド205に完全に係合してスタッド205をロックするために、時計回りに回転されてよい。電極201を受け板203に対して保持するために必要とされるクランプ力は、積層皿バネ215をその自由積層高さを超えて圧縮することによって供給される。カム軸207は、軸205の拡大ヘッドに係合する偏心した内部切り欠きを有する。積層皿バネ215が圧縮するにつれて、クランプ力は、積層皿バネ215の個々のバネからソケット213に、そして電極201を通じて受け板203に伝達される。
例示的な動作モードでは、カム軸受けがカム軸207に取り付けられ、受け板孔211に挿し込まれた後、カム軸207は、その全回転距離にわたって反時計回りに回転される。次いで、スタッドとソケットとからなるアセンブリ303(図3)が、軽いトルクによって電極201に装入される。スタッド205のヘッドは、次いで、横方向受け板孔211の下方の縦方向貫通穴に挿し込まれる。電極201は、受け板203に押し当てられ、カム軸207は、固着ピンが2つの小さい嵌め合い穴(不図示)のうちの第2の穴に落ち込むまで、またはクリック音が聞こえるまで(後ほど詳述される)、時計回りに回転される。受け板203から電極201を取り外すには、この例示的な動作モードを逆行させればよい。ただし、クリック音などの特徴は、カムロック配置構成では随意である。
図4Bを参照すると、図4Aのカム軸207の側面図420の断面A−Aは、スタッド205のヘッドを完全に固定させるための切り欠き経路の縁440を示している。特定の例示的実施形態では、スタッド205がいつ完全に固定されるかを示すためにスタッド205のヘッドが上述の随意のクリック音を出すように、2つの直径R1およびR2が選択されている。
図5は、容量結合プラズマチャンバのための上側電極アセンブリ500であって、以下の特徴:(a)カムロックされた非接合電極502と、(b)受け板506と、(c)電極を受け板506に対して保持しているカムロックへのアクセスを可能にするガードリング508とを含む上側電極アセンブリ500を例示している。
電極アセンブリ500は、チャンバの外側からチャンバの温度制御上壁512にボルト締めされた熱制御板510を含む。電極502は、図2〜4を参照にして前述されたカムロックメカニズム514によって、解除可能式にチャンバの内側から受け板に取り付けられる。
好ましい実施形態では、電極アセンブリ500の電極502は、(a)受け板の外側部分に相隔てて配された4つのカムロック514にガードリング内の4つの穴を位置合わせする第1のポジションまでガードリング508を回転させること、(b)ガードリング内の各穴に六角レンチなどの器具を挿し込んで、各カムロックを回転させ、それぞれのカムロックの縦方向ロックピンを解除すること、(c)別の4つのカムロックにガードリング内の4つの穴を位置合わせする第2のポジションまでガードリングを90度回転させること、および(d)ガードリング内の各穴に六角レンチなどの器具を挿し込んで、各カムロックを回転させ、それぞれのカムロックのロックピンを解除すること、によって、分解することができ、こうして、電極502を下げて、プラズマチャンバから取り外すことが可能になる。
図5は、回転可能なカムロック514を受け板506の外側部分の横方向孔560内に配されたカムロック配置構成の1つの断面図も示している。円筒状のカムロック514は、(a)ロックピン562の張り出し端がカムロック514のカム表面によって係合されて持ち上げられるロックポジションへ、または(b)ロックピン562がカムロック514によって係合されない解除ポジションへ、六角レンチなどの器具によって回転可能である。受け板は、その下面内に縦方向孔を含み、ロックピンは、それらの孔に挿し込まれてカムロックに係合する。
図6A〜Bは、電極502の詳細を示している。電極502は、好ましくは、高純度(不純物が10ppm未満)で低抵抗率(0.005〜0.02オームcm)の単結晶シリコンの板であり、その上面(装着表面)522に、位置合わせピン524を受け入れる位置合わせピン穴520を伴う。ガス穴528は、上面から下面(プラズマ曝露表面)530にまで達し、任意の適切なパターンで配置することができる。図示された実施形態では、ガス穴は、円周方向に広がる13の列に配置されており、第1の列の3個のガス穴は、電極の中心から約0.5インチ(約1.3cm)に配され、第2の列の13個のガス穴は、中心から約1.4インチ(約3.6cm)に配され、第3の列の23個のガス穴は、中心から約2.5インチ(約6.4cm)に配され、第4の列の25個のガス穴は、中心から約3.9インチ(約9.9cm)に配され、第5の列の29個のガス穴は、中心から約4.6インチ(約12cm)に配され、第6の列の34個のガス穴は、中心から約5.4インチ(約14cm)に配され、第7の列の39個のガス穴は、中心から約6インチ(約15cm)に配され、第8の列の50個のガス穴は、中心から約7.5インチ(約19cm)に配され、第9の列の52個のガス穴は、中心から約8.2インチ(約21cm)に配され、第10の列の53個のガス穴は、中心から約9インチ(約23cm)に配され、第11の列の57個のガス穴は、中心から約10.3インチ(約26cm)に配され、第12の列の59個のガス穴は、中心から約10.9インチ(約28cm)に配され、第13の列の63個のガス穴は、中心から約11.4インチ(約29cm)に配される。
電極の上面は、9個の位置合わせピン穴を含み、そのうちの3個のピン穴を中心の近くに、3個のピン穴を環状凹部よりも内側に、3個のピン穴を電極の外縁の近くの環状凹部内に有する。3個の中心ピン穴は、半径方向に一直線上に並んでおり、そのうちの1個のピン穴を内側電極の中心に、2個のピン穴を第3のガス穴列と第4のガス穴列との間に含む。環状凹部の近くの中間ピン穴は、中心ピン穴と半径方向に一直線上に並んだ1個のピン穴と、120度隔てられた残りの2個のピン穴とを含む。外側の3個のピン穴は、隣り合うポケットとポケットとの間の場所に、120度隔てて配される。
図6Aは、13列のガス穴を伴う電極502のプラズマ曝露表面530を示した正面斜視図である。図6Bは、13列のガス穴を伴う上面の斜視図を示している。電極502は、段状の外側表面546内に、チャンバ内における真空圧力測定を提供するためにマノメータユニットと連携するように適応されたガス穴の閉じ込めパターン529を備える。
電極502は、ガードリング508を支える外側の段(棚部)536と、受け板506の下側表面に係合する上面(装着表面)522と、内側のテーパ表面544、水平表面546、および外側のテーパ表面548を含む下面(プラズマ曝露段状表面)530と、ロックピンを装入される上面540内の8個のポケット550とを含む。
図7は、受け板506の斜視図である。受け板は、シャワーヘッド電極502内の通路528に位置合わせされた13列のガス通路584を含む。受け板の上面586は、熱制御板510の環状突出に接触する3つの環状領域588a、588b、588cを含む。開示内容の全体を本明細書に組み込まれる同一出願人による米国特許公開第2005/0133160号、第2007/0068629号、第2007/0187038号、第2008/0087641号、および第2008/0090417号に開示されるように、熱制御板は、プラズマチャンバの上壁を通って熱制御板内に達する締め具によって、プラズマチャンバの上壁に取り付けることができる。上板512内および熱制御板510内の開口を通る締め具を受け入れて、受け板506を熱制御板510に接触させて保持するために、上面586の外周および環状領域588a、588b、588cに、ネジ開口590が配される。熱サイクルに適応可能な締め具についての説明は、例えば、同一出願人による米国特許公開第2008/0087641号を参照せよ。上面586内の溝592は、受け板506と熱制御板510との間にガスシールを提供するOリングを受け入れる。上面586内の位置合わせピン孔594は、熱制御板内の位置合わせピン孔にちょうど収まる位置合わせピンを受け入れる。孔560と孔560との間の位置にある横方向ネジ開口561は、シャワーヘッド電極の組み立て後にガードリングの回転を阻止するためにおよびガードリングのアクセス孔に挿し込むために使用される誘電性の締め具を受け入れる。
図8は、ガードリングを取り外された状態のシャワーヘッド電極アセンブリ500の斜視図である。前述のように、ガードリングを受け板の外周に接触しない状態に維持するためおよびそうして受け板の熱膨張を可能にするために、ガードリングは、カムロックを係合させることができる1つまたは2つ以上の組み立てポジションへ回転され、次いで、誘電性の締め具を開口561に挿し込むことができるロックポジションへ回転されることが可能である。熱膨張板は、開口596を伴うフランジ595を含み、アクチュエータは、これらの開口を通してプラズマ閉じ込めリングを支える。プラズマ閉じ込めリングアセンブリの装着の配置構成についての詳細は、開示内容の全体を本明細書に組み込まれる同一出願人による米国特許公開第2006/0207502号および第2006/0283552号に見ることができる。
電極の装着表面522は、受け板内の8個のカムロックによって保持される8個のロックピンによって付与されるクランプ力の結果として、受け板506の対向表面に当接する。ガードリング508は、受け板506内の装着穴を覆い、ガードリング内のアクセス開口は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arlon(登録商標)などの耐プラズマ性ポリマ材料、または摩擦係数が低くかつ粒子飛散が少ないフルオロポリマ、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、およびポリエーテルエーテルケトン(PEEK)などのその他の材料で作成された取り外し可能な挿入物で満たされる。
図5を参照すると、受け板506と電極502との間の電気的接触は、電極の外周に位置するQパッド556、および中央の位置合わせピンと外側のQパッドとの間の1つまたは2つ以上の場所に位置する1つまたは2つ以上のQパッド556によって提供される。例えば、約4インチ(約10cm)の直径および約12インチ(約31cm)の直径を有するQパッドを使用することができる。2007年8月31日に出願され開示内容を参照によって本明細書に組み込まれる共同所有の米国出願第11/896,375号は、Qパッドについての詳細を含んでいる。異なるプロセスガス混合および/または流速を提供するために、中央の位置合わせピンと外側のQパッドとの間に1つまたは2つ以上の随意のガス仕切りシールを提供することができる。例えば、内側のガス分布区域を外側のガス分布区域から隔てるために、電極502と受け板506との間において内側のQパッドと外側のQパッドとの間の場所に1つのOリングを提供することができる。電極502と受け板506との間において外側のQパッドの内周沿いに配されたOリング558は、電極と受け板との間にガスおよび粒子のシールを提供することができる。
本発明は、その特定の実施形態に関連させて詳細に説明されてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく様々な変更および修正を加えることおよび均等物を用いることが可能なことが明らかである。
例えば、以下の形態を挙げることができる。
[形態1]
プラズマ反応チャンバ内において使用するためのシャワーヘッド電極であって、
前記シャワーヘッド電極の上面および下面によって定められる中央部分および周縁部分であって、前記上面は、前記中央部分に広がる平坦表面を含み、前記下面は、前記中央部分に広がる平坦な内側表面および前記周縁部分に広がる段状の外側表面によって定められ、前記段状の外側表面は、前記シャワーヘッド電極の厚み増加領域を定める環状の平坦表面を含む、中央部分および周縁部分と、
前記電極の前記中央部分内の複数のガス出口であって、前記シャワーヘッド電極と、ウエハを支える下側電極と、の間のギャップに、プロセスガスを送ることができる複数のガス出口と、
前記周縁部分の前記上面内の、円周方向に互いに隔てられた複数のポケットであって、前記シャワーヘッド電極を受け板にクランプするように適応されたカムロックを受け入れるように構成された複数のポケットと、を備えるシャワーヘッド電極。
[形態2]
形態1に記載の電極であって、さらに、
前記上面内に位置合わせピン孔を備え、前記位置合わせピン孔は、前記受け板内に達する位置合わせピンに位置合わせされるように構成される、電極。
[形態3]
形態1に記載の電極であって、さらに、
前記段状の外側表面内に、チャンバ内における真空圧力測定を提供するためにマノメータユニットと連携するように適応されたガス穴の閉じ込めパターンを備える電極。
[形態4]
形態1に記載の電極であって、
前記シャワーヘッド電極の前記上面は、その外縁に環状の棚部を含み、前記棚部は、ガードリングの外側表面が前記シャワーヘッド電極の前記外側表面と同一面であるように前記ガードリングを支えるように構成される、電極。
[形態5]
形態1に記載の電極であって、さらに、
前記周縁部分内の前記上面上に環状凹部を備え、12インチ(約31cm)を超える直径を有し、前記環状凹部は、0.25インチ(約6.4mm)未満の深さと、少なくとも0.5インチ(約1.3cm)の幅とを有し、前記ポケットは、少なくとも0.5インチ(約1.3cm)の直径と、少なくとも0.3インチ(約7.6mm)の深さとを前記環状凹部内において有し、前記段状表面は、内側の平坦表面から少なくとも0.15インチ(約3.8mm)広がり、前記環状の平坦表面は、少なくとも1.5インチ(約3.8cm)の幅を有する、電極。
[形態6]
形態1に記載の電極であって、
前記段状表面は、内側および外側の傾斜表面を有し、前記内側の傾斜表面は、前記内側の平坦表面と前記環状の平坦表面との間に広がり、前記外側の傾斜表面は、前記環状の平坦表面と前記シャワーヘッド電極の外縁との間に広がり、前記外側の傾斜表面は、前記環状の平坦表面の面と30度未満の角度を形成し、前記内側の傾斜表面は、前記環状の平坦表面の面と30度を超える角度を形成する、電極。
[形態7]
電極アセンブリであって、
形態1に記載のシャワーヘッド電極と、
前記シャワーヘッド電極内の前記ポケットに位置合わせされた軸方向孔と、前記軸方向孔と連通する半径方向孔とを含む受け板と、
前記半径方向孔に装入される回転可能なカムシャフトと、
前記シャワーヘッド電極内の前記ポケット内に位置するロックピンであって、前記ロックピンは、その自由端に拡大ヘッドを含み、前記カムシャフトは、前記シャワーヘッド電極を前記受け板に機械的にクランプするために前記ロックピンの前記ヘッドに係合して前記ヘッドをロックするように適応された切り欠きを含む、ロックピンと、
を備える電極アセンブリ。
[形態8]
形態7に記載の電極アセンブリであって、
前記ロックピンの基部はソケット内に位置し、前記ソケットは、その外側表面上に、前記ポケットの内側表面上のネジ山に係合するネジ山を含み、前記ソケットは、前記シャワーヘッド電極の前記上面に係合するフランジを含み、前記受け板内の前記軸方向孔は幅広部分と幅狭部分とを含み、前記幅広部分は前記フランジを受け入れ、前記幅狭部分は前記ロックピンを受け入れる、電極アセンブリ。
[形態9]
形態8に記載の電極アセンブリであって、
前記ロックピンは、前記受け板と前記シャワーヘッド電極との間の熱膨張の差に適応するために前記ソケット内において軸方向および側方に移動可能である、電極アセンブリ。
[形態10]
形態7に記載の電極アセンブリであって、
前記シャワーヘッド電極は、多結晶シリコン、単結晶シリコン、炭化ケイ素、アルミニウム、陽極酸化アルミニウム、またはイットリアをコーティングされたアルミニウムの板であり、前記受け板は、アルミニウムの板である、電極アセンブリ。
[形態11]
形態7に記載の電極アセンブリであって、
前記受け板は、熱制御冷却通路および加熱素子を有さない、電極アセンブリ。
[形態12]
形態7に記載の電極アセンブリであって、さらに、
前記受け板に取り付けられた熱制御板を備え、前記熱制御板は、その下側表面上に、前記受け板内のガス通路と連通するガスプレナムを定める環状突出を有する、電極アセンブリ。
[形態13]
形態7に記載の電極アセンブリであって、さらに、
前記受け板と前記シャワーヘッド電極との間にガスシールを備え、前記ガスシールは、ガス通路の外側に位置する、電極アセンブリ。
[形態14]
プラズマチャンバ内において半導体基板を処理する方法であって、
前記半導体基板を前記チャンバ内の底部電極上で支えるステップと、
前記チャンバにプロセスガスを供給するステップと、
上側電極の暴露表面の付近においてプラズマを発生させるステップと、
前記プラズマによって前記半導体基板を処理するステップと、を備え、
前記上側電極は、形態1に記載のシャワーヘッド電極である、方法。
[形態15]
形態14に記載の方法であって、
前記シャワーヘッド電極の温度は、前記チャンバの温度制御上壁と、熱制御板と、受け板とによって制御され、前記熱制御板は、前記熱制御板と前記受け板との間にプレナムを形成する環状突出を含み、前記プレナムは、前記シャワーヘッド電極内のガス通路に位置合わせされた前記受け板内のガス通路と流体連通しており、前記受け板は、前記シャワーヘッド電極と前記熱制御板との間に熱経路を提供する、方法。
[形態16]
形態14に記載の方法であって、
前記半導体基板は、半導体ウエハであり、前記処理するステップは、前記プラズマによって前記半導体ウエハをエッチングすることを含む、方法。
[形態17]
形態14に記載の方法であって、
前記処理するステップ中、前記上側電極は接地され、前記底部電極は通電される、方法。
[形態18]
形態14に記載の方法であって、
前記シャワーヘッド電極と前記受け板との間に熱膨張の差を生じさせる高温に前記シャワーヘッド電極および前記受け板を加熱することと、
ロックピンの動きによって前記熱膨張に適合することと、を備える方法。
[形態19]
形態7に記載のシャワーヘッド電極アセンブリのシャワーヘッド電極を交換する方法であって、
前記カムロックを解除して前記カムロックを前記ロックピンから切り離すことと、
前記シャワーヘッド電極を取り外すことと、
新しいまたは修復されたシャワーヘッド電極のロックピンを前記受け板内の前記軸方向孔に位置合わせすることと、
前記カムロックを回転させて前記ロックピンの前記ヘッドに係合させることと、を備える方法。

Claims (19)

  1. プラズマ反応チャンバ内において使用するためのシャワーヘッド電極であって、
    前記シャワーヘッド電極の上面および下面によって定められる中央部分および周縁部分であって、前記上面は、前記中央部分に広がる平坦表面を含み、前記下面は、前記中央部分に広がる平坦な内側表面および前記周縁部分に広がる段状の外側表面によって定められ、前記段状の外側表面は、前記シャワーヘッド電極の厚み増加領域を定める環状の平坦表面を含む、中央部分および周縁部分と、
    前記電極の前記中央部分内の複数のガス出口であって、前記シャワーヘッド電極と、ウエハを支える下側電極と、の間のギャップに、プロセスガスを送ることができる複数のガス出口と、
    前記周縁部分の前記上面内の、円周方向に互いに隔てられた複数のポケットであって、前記シャワーヘッド電極を受け板にクランプするように適応されたカムロックを受け入れるように構成された複数のポケットと、を備えるシャワーヘッド電極。
  2. 請求項1に記載の電極であって、さらに、
    前記上面内に位置合わせピン孔を備え、前記位置合わせピン孔は、前記受け板内に達する位置合わせピンに位置合わせされるように構成される、電極。
  3. 請求項1又は請求項2に記載の電極であって、さらに、
    前記段状の外側表面内に、チャンバ内における真空圧力測定を提供するためにノメータユニットと連携するように適応されたガス穴の閉じ込めパターンを備える電極。
  4. 請求項1又は請求項2に記載の電極であって、
    前記シャワーヘッド電極の前記上面は、その外縁に環状の棚部を含み、前記棚部は、ガードリングの第1の表面が前記シャワーヘッド電極の前記外側表面と同一面であるように前記ガードリングを支えるように構成され、前記第1の表面は、前記ガードリングの外側表面である、電極。
  5. 請求項1又は請求項2に記載の電極であって、さらに、
    前記周縁部分内の前記上面上に環状凹部を備え、12インチ(約31cm)を超える直径を有し、前記環状凹部は、0.25インチ(約6.4mm)未満の深さと、少なくとも0.5インチ(約1.3cm)の幅とを有し、前記ポケットは、少なくとも0.5インチ(約1.3cm)の直径と、少なくとも0.3インチ(約7.6mm)の深さとを前記環状凹部内において有し、前記段状表面は、前記平坦な内側表面から少なくとも0.15インチ(約3.8mm)広がり、前記環状の平坦表面は、少なくとも1.5インチ(約3.8cm)の幅を有する、電極。
  6. 請求項1又は請求項2に記載の電極であって、
    前記段状表面は、内側および外側の傾斜表面を有し、前記内側の傾斜表面は、前記平坦な内側表面と前記環状の平坦表面との間に広がり、前記外側の傾斜表面は、前記環状の平坦表面と前記シャワーヘッド電極の外縁との間に広がり、前記外側の傾斜表面は、前記環状の平坦表面の面と30度未満の角度を形成し、前記内側の傾斜表面は、前記環状の平坦表面の面と30度を超える角度を形成する、電極。
  7. 電極アセンブリであって、
    請求項1又は請求項2に記載のシャワーヘッド電極と、
    前記シャワーヘッド電極内の前記ポケットに位置合わせされた軸方向孔と、前記軸方向孔と連通する半径方向孔とを含む受け板と、
    前記半径方向孔に装入される回転可能なカムシャフトと、
    前記シャワーヘッド電極内の前記ポケット内に位置するロックピンであって、その自由端に拡大ヘッドを含む、ロックピンと、を備え、
    前記カムシャフトは、前記シャワーヘッド電極を前記受け板に機械的にクランプするために前記ロックピンの前記ヘッドに係合して前記ヘッドをロックするように適応された切り欠きを含む、電極アセンブリ。
  8. 請求項7に記載の電極アセンブリであって、
    前記ロックピンの基部はソケット内に位置し、前記ソケットは、その外側表面上に、前記ポケットの内側表面上のネジ山に係合するネジ山を含み、前記ソケットは、前記シャワーヘッド電極の前記上面に係合するフランジを含み、前記受け板内の前記軸方向孔は幅広部分と幅狭部分とを含み、前記幅広部分は前記フランジを受け入れ、前記幅狭部分は前記ロックピンを受け入れる、電極アセンブリ。
  9. 請求項8に記載の電極アセンブリであって、
    前記ロックピンは、前記受け板と前記シャワーヘッド電極との間の熱膨張の差に適応するために前記ソケット内において軸方向および側方に移動可能である、電極アセンブリ。
  10. 請求項7に記載の電極アセンブリであって、
    前記シャワーヘッド電極は、多結晶シリコン、単結晶シリコン、炭化ケイ素、アルミニウム、陽極酸化アルミニウム、またはイットリアをコーティングされたアルミニウムの板であり、前記受け板は、アルミニウムの板である、電極アセンブリ。
  11. 請求項7に記載の電極アセンブリであって、
    前記受け板は、熱制御冷却通路および加熱素子を有さない、電極アセンブリ。
  12. 請求項7に記載の電極アセンブリであって、さらに、
    前記受け板に取り付けられた熱制御板を備え、前記熱制御板は、その下側表面上に、前記受け板内のガス通路と連通するガスプレナムを定める環状突出を有する、電極アセンブリ。
  13. 請求項7に記載の電極アセンブリであって、さらに、
    前記受け板と前記シャワーヘッド電極との間にガスシールを備え、前記ガスシールは、ガス通路の外側に位置する、電極アセンブリ。
  14. プラズマチャンバ内において半導体基板を処理する方法であって、
    前記半導体基板を前記チャンバ内の底部電極上で支えるステップと、
    前記チャンバにプロセスガスを供給するステップと、
    上側電極の暴露表面の付近においてプラズマを発生させるステップと、
    前記プラズマによって前記半導体基板を処理するステップと、を備え、
    前記上側電極は、請求項1又は請求項2に記載のシャワーヘッド電極である、方法。
  15. 請求項14に記載の方法であって、
    前記シャワーヘッド電極の温度は、前記チャンバの温度制御上壁と、熱制御板と、受け板とによって制御され、前記熱制御板は、前記熱制御板と前記受け板との間にプレナムを形成する環状突出を含み、前記プレナムは、前記シャワーヘッド電極内のガス通路に位置合わせされた前記受け板内のガス通路と流体連通しており、前記受け板は、前記シャワーヘッド電極と前記熱制御板との間に熱経路を提供する、方法。
  16. 請求項14に記載の方法であって、
    前記半導体基板は、半導体ウエハであり、前記処理するステップは、前記プラズマによって前記半導体ウエハをエッチングすることを含む、方法。
  17. 請求項14に記載の方法であって、
    前記処理するステップ中、前記上側電極は接地され、前記底部電極は通電される、方法。
  18. 請求項14に記載の方法であって、
    前記シャワーヘッド電極と受け板との間に熱膨張の差を生じさせる高温に前記シャワーヘッド電極および前記受け板を加熱することと、
    前記シャワーヘッド電極を前記受け板に取りつけるロックピンの動きによって前記熱膨張に適合することと、を備える方法。
  19. 請求項7に記載のシャワーヘッド電極アセンブリのシャワーヘッド電極を交換する方法であって、
    前記カムロックを解除して前記カムロックを前記ロックピンから切り離すことと、
    前記シャワーヘッド電極を取り外すことと、
    新しいまたは修復されたシャワーヘッド電極のロックピンを前記受け板内の前記軸方向孔に位置合わせすることと、
    前記カムロックを回転させて前記ロックピンの前記ヘッドに係合させることと、を備える方法。
JP2011510519A 2008-07-07 2009-07-06 クランプされた一体的なシャワーヘッド電極 Active JP5409778B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,524 US8221582B2 (en) 2008-07-07 2008-07-07 Clamped monolithic showerhead electrode
US12/216,524 2008-07-07
PCT/US2009/003952 WO2010005540A2 (en) 2008-07-07 2009-07-06 Clamped monolithic showerhead electrode

Publications (2)

Publication Number Publication Date
JP2011521472A JP2011521472A (ja) 2011-07-21
JP5409778B2 true JP5409778B2 (ja) 2014-02-05

Family

ID=41464714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011510519A Active JP5409778B2 (ja) 2008-07-07 2009-07-06 クランプされた一体的なシャワーヘッド電極

Country Status (8)

Country Link
US (3) US8221582B2 (ja)
EP (1) EP2301308B1 (ja)
JP (1) JP5409778B2 (ja)
KR (1) KR101183509B1 (ja)
CN (1) CN102037790B (ja)
MY (1) MY159992A (ja)
TW (1) TWI536871B (ja)
WO (1) WO2010005540A2 (ja)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI484576B (zh) 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
JP5265700B2 (ja) 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
KR101708060B1 (ko) * 2008-03-14 2017-02-17 램 리써치 코포레이션 캠 고정 전극 클램프
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5879069B2 (ja) 2011-08-11 2016-03-08 東京エレクトロン株式会社 プラズマ処理装置の上部電極の製造方法
US8545639B2 (en) * 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8532353B2 (en) 2011-11-23 2013-09-10 Vital Images, Inc. Synthetic visualization and quantification of perfusion-related tissue viability
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US10537013B2 (en) * 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
FI126491B (en) 2014-09-09 2017-01-13 Suunto Oy A system and method for opening a wireless device to communicate with a laptop computer via an inductive connection
US20160177544A1 (en) 2014-12-19 2016-06-23 Caterpillar Inc. Lock for ground engaging tool
JP1545606S (ja) * 2015-08-26 2016-03-14
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
USD834686S1 (en) * 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110838458B (zh) * 2018-08-17 2022-08-09 台湾积体电路制造股份有限公司 半导体制程系统以及方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN115388278A (zh) * 2022-08-12 2022-11-25 贵州电网有限责任公司 一种用于变电站伸缩旋转支架的旋转限位机构

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
DE20021221U1 (de) * 2000-12-15 2001-03-22 Ejot Verbindungstech Gmbh & Co Befestigungsvorrichtung für an einer Platte zu befestigendes Bauteil
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
KR101075046B1 (ko) 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
EP1690031A2 (en) * 2003-11-25 2006-08-16 Garlock Sealing Technologies LLC Corrugated gasket core with profiled surface cross reference to related applications
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7732012B2 (en) * 2004-06-22 2010-06-08 Shin-Etsu Film Co., Ltd Method for manufacturing polycrystalline silicon, and polycrystalline silicon for solar cells manufactured by the method
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
KR101708060B1 (ko) 2008-03-14 2017-02-17 램 리써치 코포레이션 캠 고정 전극 클램프
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWI527114B (zh) 2009-08-31 2016-03-21 蘭姆研究公司 射頻接地回流設備
JP5794988B2 (ja) 2009-08-31 2015-10-14 ラム リサーチ コーポレーションLam Research Corporation 局所的なプラズマ閉じ込め及び圧力制御の構成、並びにその方法
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
WO2012082757A2 (en) 2010-12-13 2012-06-21 Altria Client Services Inc. Process of preparing printing solution and making patterned cigarette wrappers

Also Published As

Publication number Publication date
MY159992A (en) 2017-02-15
WO2010005540A3 (en) 2010-04-22
KR20100118997A (ko) 2010-11-08
TW201016079A (en) 2010-04-16
US8221582B2 (en) 2012-07-17
US20100003829A1 (en) 2010-01-07
US8796153B2 (en) 2014-08-05
EP2301308A2 (en) 2011-03-30
US8414719B2 (en) 2013-04-09
EP2301308A4 (en) 2013-08-07
KR101183509B1 (ko) 2012-09-21
US20120258603A1 (en) 2012-10-11
CN102037790A (zh) 2011-04-27
WO2010005540A4 (en) 2010-06-24
TWI536871B (zh) 2016-06-01
CN102037790B (zh) 2014-04-16
EP2301308B1 (en) 2014-09-03
WO2010005540A2 (en) 2010-01-14
JP2011521472A (ja) 2011-07-21
US20130337654A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
JP5409778B2 (ja) クランプされた一体的なシャワーヘッド電極
JP3167751U (ja) クランプ式モノリシックシャワーヘッド電極
JP3172461U (ja) 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット
JP5615813B2 (ja) クランプ式シャワーヘッド電極組立体
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US7875824B2 (en) Quartz guard ring centering features
KR200481236Y1 (ko) 캠-로킹된 샤워헤드 전극 및 어셈블리
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130304

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130311

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131105

R150 Certificate of patent or registration of utility model

Ref document number: 5409778

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250