JP3167751U - クランプ式モノリシックシャワーヘッド電極 - Google Patents

クランプ式モノリシックシャワーヘッド電極 Download PDF

Info

Publication number
JP3167751U
JP3167751U JP2010007637U JP2010007637U JP3167751U JP 3167751 U JP3167751 U JP 3167751U JP 2010007637 U JP2010007637 U JP 2010007637U JP 2010007637 U JP2010007637 U JP 2010007637U JP 3167751 U JP3167751 U JP 3167751U
Authority
JP
Japan
Prior art keywords
showerhead electrode
electrode
inches
gas
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2010007637U
Other languages
English (en)
Inventor
グレゴリー・アール.・ベテンコート
ガウタム・バッタチャリヤ
サイモン・ゴセリン・イーエヌジー.
サンディー・チャオ
ラ レラ アンソニー・デ
ラ レラ アンソニー・デ
パトリック・マンキディー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of JP3167751U publication Critical patent/JP3167751U/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】ガス分布および温度制御が改良された、半導体基板処理において使用されるプラズマ反応チャンバ用のクランプ式モノリシック(一体型)シャワーヘッド電極と、電極組立体を提供する。【解決手段】シャワーヘッド電極は、周辺部の上面に複数のソケットと、中央部に複数のガス出口と、上面に温度センサ収容孔及び整合ピンとを備える。シャワーヘッド電極組立体は、ガス出口に整合されたガス通路及びソケットに整合された孔を含む受け板と、シャワーヘッド電極と、孔内に装着されたカムシャフトと、ソケット内に配置されたロッキングピンとを備える。カムシャフトが、シャワーヘッド電極を受け板に対して機械的にクランプする。【選択図】図5

Description

[関連出願の相互参照]
本願は、米国特許法第119条に基づき、出典を明記することによりその開示内容全体を本願明細書の一部とした2009年9月18日提出の米国仮特許出願第61/243,647号「クランプ式モノリシックシャワーヘッド電極」に基づく優先権を主張する。
集積回路チップの製造は、一般に、「ウェーハ」と呼ばれる高純度単結晶半導体材料基板(シリコンまたはゲルマニウム等)の研磨薄片から開始される。各ウェーハに対しては、ウェーハ上に様々な回路構造を形成する一連の物理および化学処理ステップが施される。製造処理中には、二酸化シリコン膜を形成する熱酸化と、シリコン、二酸化シリコン、および窒化シリコン膜を形成する化学気相堆積と、他の金属膜を形成するスパッタリングまたは他の手法とのような様々な手法を使用して、ウェーハ上に様々な種類の薄膜を堆積させ得る。
半導体ウェーハ上に膜を堆積させた後、ドーピングと呼ばれる処理を使用して、半導体結晶格子中において、選択された不純物による置換を行うことにより、半導体の特異的電気特性を生成する。ドーピングされたシリコンウェーハは、次に、「レジスト」と呼ばれる感光性または放射線感受性材料の薄層により均一に被覆し得る。次に、リソグラフィとして知られる処理を使用して、回路内の電子経路を構成する小さな幾何学的パターンをレジスト上に転写し得る。リソグラフィ処理中、リソグラフィ回路パターンは、「マスク」と呼ばれるガラス板上に描画し、その後、光学的に縮小投影して、感光性被覆上に転写し得る。
次に、エッチングとして知られる処理により、リソグラフレジストパターンを、半導体材料の下層結晶表面上へ転写する。一般には、真空処理チャンバが使用され、真空チャンバにエッチングまたは堆積ガスを供給し、ガスへの高周波(RF)電界の印加によりガスを励起してプラズマ状態にすることにより、基板上の材料のエッチングおよび化学気相堆積(CVD)を行う。
反応性イオンエッチングシステムは、一般に、上部電極または陽極と下部電極または陰極とが内部に位置決めされたエッチングチャンバからなる。陰極は、陽極および容器壁に対して負にバイアスされる。エッチング対象のウェーハは、適切なマスクに覆われた状態で陰極上に直接配置される。CF4、CHF3、CClF3、HBr、Cl2、およびSF6等の化学反応性ガス、あるいはO2、N2、He、またはArとのその混合物を、エッチングチャンバへ導入し、通常はミリトールの範囲の圧力に維持する。上部電極は、電極を介してガスをチャンバ内に均一に分散させることが可能なガス出口(群)を設けたシャワーヘッド電極である。陽極と陰極との間に形成された電界は、プラズマを形成する反応性ガスを解離させる。ウェーハの表面は、活性イオンとの化学的相互作用と、ウェーハの表面に衝突するイオンの運動量伝達とによりエッチングされる。電極により形成された電界は、イオンを陰極に引き付け、主に垂直方向でイオンを表面に衝突させ、処理により明確な垂直エッチング側壁が生成されるようにする。
半導体基板プラズマ処理中のシャワーヘッド電極に対する信頼性の高い反復可能な温度制御は、シャワーヘッド電極のプラズマ露出面において所望のプラズマ化学反応を達成する上で望ましい。出典を明記することによりその開示内容を本願明細書の一部とする、本願権利者所有の米国公開特許出願第2009/0081878号および第2008/0308228号では、シャワーヘッド電極組立体用の温度制御モジュールが開示されている。
シャワーヘッド電極の補修は、複雑な取り付けの仕組みのため、困難となる場合がある。本考案の譲受人に譲渡された2008年7月7日提出の米国非仮特許出願第12/216524号では、一連のカムロックにより受け板に取り外し可能に取り付けられたモノリシックシャワーヘッド電極が開示されている。図示された実施形態において、受け板は、カムロックを収納する環状の突出部を有し、シャワーヘッド電極は、受け板の突出部と結合する環状の陥凹部を有する。
一部のプラズマ処理では、より均一に処理ガスをチャンバ内に分布させるガス出口パターンを提供することが望ましい。
本明細書では、ガス分布および温度制御が改良されたクランプ式モノリシック(一体型)シャワーヘッド電極を開示する。
一実施形態によれば、プラズマ反応チャンバ内において使用するシャワーヘッド電極は、シャワーヘッド電極の上面および下面により規定された中央部および周辺部を含む。上面は、中央部および周辺部に広がる平面を含み、下面は、中央部に広がる平坦内面と、周辺部に広がる段付き外面とにより規定される。段付き外面は、シャワーヘッド電極の厚さが増加している領域を規定する少なくとも一つの環状平面を含み、シャワーヘッド電極を受け板にクランプすることに適したカムロックを内部に収容するように構成された、円周方向に間隔を空けた複数のソケットが、周辺部内の上面に配置される。複数のガス出口は、シャワーヘッド電極の中央部に配置され、これを介して、シャワーヘッド電極と、ウェーハを支持する下部電極との間の隙間に、処理ガスを送給可能となる。ガス出口は、一個の中心ガス出口、および、シャワーヘッド電極の中心から約0.5インチに位置する第1の列内の10個のガス出口と、中心から約0.9インチに位置する第2の列内の18個のガス出口と、中心から約1.4インチに位置する第3の列内の28個のガス出口と、中心から約1.8インチに位置する第4の列内の38個のガス出口と、中心から約2.3インチに位置する第5の列内の46個のガス出口と、中心から約2.7インチに位置する第6の列内の56個のガス出口と、中心から約3.2インチに位置する第7の列内の66個のガス出口と、中心から約3.6インチに位置する第8の列内の74個のガス出口と、中心から約4.1インチに位置する第9の列内の84個のガス出口と、中心から約4.6インチに位置する第10の列内の94個のガス出口と、中心から約5.1インチに位置する第11の列内の104個のガス出口と、中心から約5.4インチに位置する第12の列内の110個のガス出口と、中心から約5.7インチに位置する第13の列内の120個のガス出口と、を有する円周方向に延びる13列のガス出口を備えたパターンにより配置される。上面の温度センサ収容孔は、温度センサを収容するように構成される。
段付き外面は、単一段または多段構成を含むことができる。単一段構成は、単一環状平面と、内側および外側傾斜面とを含み、内側傾斜面は、平坦内面と単一環状平面との間に延び、外側傾斜面は、単一環状平面とシャワーヘッド電極の外縁部との間に延びる。
多段構成は、内側および外側環状平面と、内側、中間、および外側傾斜面とを含む。内側傾斜面は、平坦内面と内側環状平面との間に延び、中間傾斜面は、内側環状平面と外側環状平面との間に延び、外側傾斜面は、外側環状平面とシャワーヘッド電極の外縁部との間に延びる。平坦内面全体での多段シャワーヘッド電極の厚さは、内側環状平面全体での厚さ未満であり、内側環状平面全体での厚さは、外側環状平面全体での厚さ未満である。
シャワーヘッド電極組立体を示す部分断面図である。
図1に示したリアクタ内にシャワーヘッド電極をクランプするためのカムロックの一例を示す三次元図である。
図2Aのカムロック電極クランプの一例を示す断面図である。
図2Aおよび図2Bのカムロッククランプにおいて使用されたロッキングピンの一例を示す側面図および組立図である。
図2Aおよび図2Bのカムロッククランプにおいて使用されたカムシャフトの一例を示す側面図および組立図である。
図4Aのカムシャフトの一部のカッタパスエッジの一例を示す断面図である。
シャワーヘッド電極と、受け板と、熱制御板と、ガードリングと、頂板とを備えたシャワーヘッド電極組立体を示す図である。
シャワーヘッド電極を示す上面図である。
シャワーヘッド電極の一実施形態による、シャワーヘッド電極を示す断面図である。
図6Bの部分Cを示す拡大図である。
温度センサを収容するための陥凹部を通ったシャワーヘッド電極の部分断面図である。
シャワーヘッド電極の他の実施形態を示す部分断面図である。
シャワーヘッド電極の他の実施形態を示す部分断面図である。
図5に示した受け板を示す斜視図である。図示したガス通路パターンおよび整合ピン孔パターンは、正確ではない。
ガードリングが無い状態のシャワーヘッド電極組立体を示す斜視図である。図示したガス通路パターンは、正確ではない。
図1は、基板をエッチングするためのプラズマ処理システムにおけるシャワーヘッド電極組立体100の実施形態の部分断面図を示す。図1に示したように、シャワーヘッド電極組立体100は、シャワーヘッド電極110と、受け板140と、ガードリング(または外輪)170とを含む。シャワーヘッド電極組立体100は、さらに、シャワーヘッド電極110および受け板140の外周を取り囲むプラズマ閉じ込めリング組立体(またはウェーハ領域圧力(WAP)組立体)180を含む。
組立体100は、さらに、熱制御板120と、内部に液体流路を有すると共にチャンバの温度制御壁を形成する上(頂)板104とを含む。シャワーヘッド電極110は、好ましくは、円板であり、単結晶シリコン、多結晶シリコン、炭化ケイ素、または他の適切な材料(アルミニウムまたはその合金、陽極酸化アルミニウム、イットリア被覆アルミニウム)等の導電性高純度材料により作成し得る。サーモカップル、光ファイバ温度センサ、または抵抗温度検出器等、適切な温度範囲を有する温度センサ580(図5)は、シャワーヘッド電極110に直接接触するように構成される。受け板140は、後述する機械的留め具により、シャワーヘッド電極110に機械的に固定される。ガードリング170は、受け板140を取り囲み、後述するように、カムロッキング部材へのアクセスを可能にする。温度センサ580は、シャワーヘッド電極の温度を調節する一個以上のヒータ582を作動させるコントローラ581に対して、温度データを出力する。
図1に示したシャワーヘッド電極組立体100は、一般に、シャワーヘッド電極110の下方に約1ないし2cmの距離を置いてウェーハを支持する平面下部電極を組み込んだ静電チャック(図示せず)と共に使用される。こうしたプラズマ処理システムの例は、カリフォルニア州フレモントのLam Research Corporation製のExelan(R)誘電体エッチングシステム等の平行平板型リアクタである。こうしたチャッキングの仕組みは、ウェーハとチャックとの間の伝熱率を制御する裏面ヘリウム(He)圧力を供給することにより、ウェーハの温度制御をもたらす。
シャワーヘッド電極110は、定期的に交換の必要がある消耗部品である。処理ガスをウェーハとシャワーヘッド電極110との間の隙間に供給するために、シャワーヘッド電極110には、処理ガスを供給することに適したサイズおよび分布を有するガス出口106が設けられており、処理ガスは、電極により励起され、シャワーヘッド電極110の下方の反応域にプラズマを形成する。
シャワーヘッド電極組立体100は、さらに、シャワーヘッド電極110および受け板140の外周を取り囲むプラズマ閉じ込めリング組立体(またはウェーハ領域プラズマ(WAP)組立体)180を含む。プラズマ閉じ込めリング組立体180は、好ましくは、シャワーヘッド電極110および受け板140の外周を取り囲む多数または複数の間隔を空けたリング190を含む。処理中、プラズマ閉じ込め組立体180は、反応域において圧力差を発生させて、反応チャンバ壁とプラズマとの間の電気抵抗を増加させることにより、プラズマをシャワーヘッド電極110と下部電極(図示せず)との間に閉じ込める。
使用中、閉じ込めリング190は、プラズマをチャンバ容積に閉じ込め、反応チャンバ内のプラズマの圧力を制御する。プラズマの反応チャンバへの閉じ込めは、閉じ込めリング190間の間隔と、閉じ込めリング外部およびプラズマ内における反応チャンバ内の圧力と、ガスの種類および流量と、RF電力のレベルおよび周波数とを含む多数の要因の関数となる。プラズマの閉じ込めは、閉じ込めリング190間の間隔が非常に小さい場合に、達成が容易になる。一般には、0.15インチ以下の間隔が閉じ込めに必要となる。しかしながら、閉じ込めリング190の間隔は、プラズマの圧力を決定するものでもあり、プラズマを維持しつつ、最適な処理性能に必要な圧力を達成するために間隔を調整可能であることが望ましい。ガス供給源からの処理ガスは、ウェーハ上方の単一の区域または複数の区域へ処理ガスを供給可能とする上板104内の1本以上の通路を介して、シャワーヘッド電極110へ供給される。
シャワーヘッド電極110は、好ましくは、外縁部から内側へ延びるプラズマ露出面上に少なくとも一つの段差を形成する厚み増加領域までは、中心(図1の左側)から均一な厚さを有する円板である。シャワーヘッド電極110は、好ましくは、処理対象のウェーハより大きな、例えば、300mmを超える直径を有する。シャワーヘッド電極110の直径は、300mmウェーハを処理するために約15インチないし約17インチにすることができる(本明細書において、「約」は±10%を示す)。
単結晶シリコンおよび多結晶シリコンは、シャワーヘッド電極110のプラズマ露出面に好適な材料である。高純度単結晶または多結晶シリコンは、望ましくない要素を最低限の量のみ反応チャンバ内に持ち込むため、プラズマ処理中に基板の汚染を最小化すると共に、プラズマ処理中に円滑に摩耗することにより、微粒子を最小限に抑える。シャワーヘッド電極110のプラズマ露出面に使用可能な、材料の合成物を含む他の材料には、例えば、アルミニウム(本明細書において、「アルミニウム」は、陽極酸化または他の被覆表面の有無を問わず、純アルミニウムおよびその合金を示す)、多結晶シリコン、イットリア被覆アルミニウム、SiC、SiN、およびAlNが含まれる。
受け板140は、好ましくは、プラズマ処理チャンバ内において半導体基板を処理するために使用される処理ガスと化学的に適合し、電極材料と厳密に一致する熱膨張係数を有し、および/または導電性および熱伝導性を有する材料により作成される。受け板140を作成するために使用できる好適な材料は、グラファイト、SiC、アルミニウム(Al)、または他の適切な材料等を含む。
シャワーヘッド電極110は、受け板140に対して、電極と受け板との間に接着性の結合を全く含むことなく、機械的に取り付けられ、すなわち、電極を受け板に取り付けるために、熱伝導性および導電性のエラストマ結合材料は使用されない。
受け板140は、好ましくは、ネジ付きボルト、ネジ等にすることができる適切な機械的留め具により熱制御板102に取り付ける。例えば、ボルト(図示せず)を、熱制御板102の孔に挿入し、受け板140内のネジ付き開口部へねじ込むことが可能である。熱制御板102は、屈曲部184を含み、好ましくは、アルミニウム等の機械加工された金属材料により作成される。上部温度制御板104は、好ましくは、アルミニウムにより作成される。プラズマ閉じ込め組立体(またはウェーハ領域プラズマ組立体(WAP))180は、シャワーヘッド電極組立体100の外側に位置決めされる。複数の垂直調整可能なプラズマ閉じ込めリング190を含む適切なプラズマ閉じ込め組立体180は、出典を明記することによりその全体を本願明細書の一部とする、本願権利者所有の米国特許第5,534,751号において説明されている。
シャワーヘッド電極110は、出典を明記することによりその開示内容を本願明細書の一部とした2008年3月14日提出の米国特許出願第61/036,862号の優先権を主張する、本願権利者所有のPCT/US2009/001593において説明されるようなカムロック機構により、受け板140に機械的に取り付けることが可能である。図2Aを参照すると、カムロック電極クランプの一例の三次元図は、電極201および受け板203の一部を含む。電極クランプは、図1に示したプラズマエッチングチャンバ等、様々な製造関連ツールにおいて、素早く清潔克つ正確に消耗電極201を受け板に対して取り付けることが可能である。
電極クランプは、ソケット213内に装着されるスタッド(ロッキングピン)205を含む。スタッドは、例えば、鋼製ベルビルワッシャ等のディスクスプリングスタック215により取り囲んでもよい。スタッド205およびディスクスプリングスタック215は、その後、ソケット213内へ圧入するか、接着剤または機械的留め具の使用により別の形で固定し得る。スタッド205およびディスクスプリングスタック215は、電極201と受け板203との間において限定された量の側方運動が可能となるように、ソケット213内に配置される。側方運動の量を限定することにより、電極201と受け板203との密着が可能となり、これにより良好な熱的接触を確保する一方、ある程度の移動を依然として提供して、二部品間の熱膨張における差に対応する。限定側方運動機能についての付加的な詳細は、さらに詳しく後述する。
特定の実施形態例において、ソケット213は、ベアリンググレードのTorlon(R)から製造される。あるいは、ソケット213は、良好な強度および耐衝撃性、耐クリープ性、寸法安定性、耐放射線性、および耐化学性といった特定の機械的特性を有する他の材料から製造し、容易に利用し得る。ポリアミド、ポリイミド、アセタール、および超高分子量ポリエチレン材料といった様々な材料は、全て適切となり得る。エッチングチャンバ等の用途において遭遇する一般的な最高温度は230℃であるため、高温特異的プラスチックおよび他の関連する材料は、ソケット213を形成するために必要ではない。一般に、通常の動作温度は、130℃前後である。
電極クランプの他の部分は、一対のカムシャフトベアリング209により各端部を囲まれたカムシャフト207を含む。カムシャフト207およびカムシャフトベアリング組立体は、受け板203内に機械加工された受け板孔211内に装着される。300mm半導体ウェーハ用に設計されたエッチングチャンバ用の一般的な応用では、8個以上の電極クランプが、間隔を空けて電極201/受け板203の組み合わせの周辺を囲み得る。
カムシャフトベアリング209は、Torlon(R)、Vespel(R)、Celcon(R)、Delrin(R)、Teflon(R)、Arlon(R)を含む様々な材料、あるいは、低摩擦係数および低粒子脱落性を有するフッ素重合体、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、およびポリエーテルエーテルケトン(PEEK)等の他の材料から機械加工し得る。スタッド205およびカムシャフト207は、ステンレス鋼(例えば、316、316L、17−7等)または良好な強度および耐腐食性を提供する他の任意の材料から機械加工し得る。
次に図2Bを参照すると、電極カムクランプの断面図は、さらに、電極201を受け板203に極めて近接して引き付けることにより、カムクランプがどのように動作するかを例示している。スタッド205/ディスクスプリングスタック215/ソケット213組立体は、電極201内に装着される。図示したように、組立体は、ソケット213の外ネジを用いて、電極201内のネジ付きソケット内へねじ込み得る。しかしながら、ソケットは、接着剤または他の種類の機械的留め具により装着してもよい。
図3において、拡大した頭部と、ディスクスプリングスタック215と、ソケット213とを有するスタッド205の正面組立図300は、カムロック電極クランプの設計の一例をさらに詳細に示している。特定の実施形態例において、スタッド/ディスクスプリング組立体301は、ソケット213へ圧入される。ソケット213は、軽いトルク(例えば、特定の実施形態例において、約20インチポンド)による電極201(図2Aおよび図2B参照)への容易な挿入を可能にする、外ネジおよび六角形の頂部部材を有する。上述したように、ソケット213は、様々な種類のプラスチックから機械加工し得る。プラスチックを使用することにより、粒子の発生は最小化され、ソケット213を電極201上の嵌合ソケット内へ摩耗なく設置することが可能となる。
スタッド/ソケット組立体303は、ソケット213上部の内径がスタッド205の中央部の外径よりも大きいことを示している。両部分の直径の差により、上述したように、組み立てた電極クランプにおいて限定された側方運動が可能となる。スタッド/ディスクスプリング組立体301は、ソケット213の基部において、ソケット213と堅固に接触した状態で維持されるが、直径の差により、ある程度の側方運動が可能となる(図2Bも参照)。
図4Aを参照すると、カムシャフト207およびカムシャフトベアリング209の分解図400は、さらに、キーイングピン401を示している。キーイングピンを有するカムシャフト207の端部は、受け板孔211(図2B参照)へ最初に挿入される。受け板孔211の遠端部にある一対の小さな嵌合孔(図示せず)により、受け板孔211に入るカムシャフト207は適切な整合状態となる。カムシャフト207の側面図420は、カムシャフトの一方の端部における六角開口部403と、他方の端部におけるキーイングピン401との考えられる配置を明確に示している。
例えば、引き続き図4Aおよび図2Bを参照すると、電極カムクランプは、カムシャフト207を受け板孔211へ挿入することにより組み立てられる。キーイングピン401は、一対の小さな嵌合孔の一方と相互作用することにより、受け板孔211内におけるカムシャフト207の回転移動を制限する。カムシャフトは、最初に、スタッド205のカムシャフト207への進入が可能となるように、六角開口部403を使用して一方向、例えば、反時計回りに回転させ、その後、時計回りに回転させ、スタッド207を完全に係合させてロックし得る。電極201を受け板203に対して保持するために必要なクランプ力は、自由積層高さを超えてディスクスプリングスタック215を圧縮することにより供給される。カムシャフト207は、シャフト205の拡大頭部と係合する内部偏心切欠を有する。ディスクスプリングスタック215が圧縮すると、クランプ力は、ディスクスプリングスタック215の個々のスプリングからソケット213へ伝達されると共に、電極201を介して受け板203へ伝達される。
動作モードの一例において、カムシャフトベアリングをカムシャフト207に取り付け、受け板孔211へ挿入した後、カムシャフト207を反時計回りに回転させ、完全に回転移動させる。次に、スタッド/ソケット組立体303(図3)に軽いトルクを加え、電極201内へ入れる。次に、スタッド205の頭部を、水平に延びる受け板孔211の下方に垂直に延びる貫通孔へ挿入する。電極201は、受け板203に対して保持され、二つの小さな嵌合孔(図示せず)の第2のものにキーイングピンが落ち込むか、あるいはクリック音が聞こえる(詳細に後述する)まで、カムシャフト207を時計回りに回転させる。動作モードの一例は、受け板203から電極201を取り外すために逆転させ得る。しかしながら、クリック音等の機能は、カムロックの仕組みにおいて随意的なものである。
図4Bを参照すると、図4Aのカムシャフト207の側面図420の断面図A−Aは、スタッド205の頭部が完全に固定されるカッタパスエッジ440を示している。特定の実施形態例において、二つの半径R1およびR2は、スタッド205の頭部が上述した随意的な可聴クリックノイズを発生させ、スタッド205が完全に固定された時点を示すように選択される。
図5は、以下の特徴部を含む容量結合プラズマチャンバ用のシャワーヘッド電極組立体500を示す:(a)カムロック式非接着シャワーヘッド電極502、(b)受け板506、および(c)電極を受け板506に対して保持するカムロックへのアクセスを可能にするガードリング508。
電極組立体500は、チャンバの外部からチャンバの温度制御頂壁512にボルト留めされた熱制御板510を含む。シャワーヘッド電極502は、図2ないし4を参照して上述したカムロック機構514により、チャンバ内部から受け板506に解放可能に取り付けられている。
好適な実施形態において、電極組立体500のシャワーヘッド電極502は、(a)ガードリング508の4個の孔を受け板506の外側部内の間隔を空けた位置に配置された4個のカムロック514に整合させる第1の位置まで、ガードリング508を回転させ、(b)ガードリング508の各孔にアレンレンチ等の工具を挿入し、各カムロック514を回転させて、対応する各カムロック514において垂直に延びるロッキングピン562を解放し、(c)ガードリング508の4個の孔を別の4個のカムロック514に整合させる第2の位置まで、ガードリング508を90°回転させ、(d)ガードリング508の各孔にアレンレンチ等の工具を挿入し、対応する各カムロック514を回転させて、対応する各カムロック514のロッキングピン562を解放することにより分解可能であり、これにより、シャワーヘッド電極502を降下させ、プラズマチャンバから取り外すことが可能となる。
図5は、さらに、回転可能カムロック514を受け板506の外側部において水平に延びる孔560内に配置したカムロックの仕組みの一つの断面図を示す。円筒カムロック514は、アレンレンチ等の工具により、(a)ロッキングピン562の拡大端部が、ロッキングピンの拡大頭部を持ち上げるカムロック514のカム表面により係合されるロック位置、または(b)ロッキングピン562がカムロック514により係合されない解放位置へ回転可能である。受け板506の下面には、カムロック514との係合のためにロッキングピン562が挿入される孔が垂直に延びる。
シャワーヘッド電極502は、好ましくは、高純度(不純物10ppm未満)低抵抗率(0.005ないし0.02オームcm)の単結晶シリコンのプレートである。シャワーヘッド電極組立体500は、シャワーヘッド電極502の上面522にある3個の整合ピン孔521に係合する3本の整合ピン524と、一つ以上のOリング558と、シャワーヘッド電極502と受け板506との間にあるQパッド566等の複数の熱ガスケットとを含む。各Qパッド566は、上面522にある陥凹部520に係合する突起を有する。こうしたガスケットの詳細は、出典を明記することによりその開示内容を本願明細書の一部とした2009年4月10日提出の、本願権利者所有の米国特許出願第12/421,845号に開示されている。シャワーヘッド電極502のプラズマ露出面530は、チャンバ内において処理中の基板に対向する。
図6Aおよび図6Cは、シャワーヘッド電極502の装着面および部分断面図を示す。装着面は、外縁部近くまで延びる平面610と、シャワーヘッド電極502の外縁部において平面610から凹んだ、狭い環状外側棚部620を有する。環状外側棚部620は、ガードリング508の環状突起を支持する。平面610は、約16.75インチの外径を有する。環状外側棚部620は、約16.75インチの内径と、約17インチの外径と、長さ約0.076インチの垂直面620aと、約0.124インチの水平面620bとを有する。深さ0.325インチの8個の0.5インチ径ソケット550は、装着面の縁部近くに配置され、ロッキングピン562を収容する。ソケット550は、互いに等距離であり、中心から半径約7.62インチに位置する。
平面610は、中心から約7.93インチ離れて配置された深さ約0.2インチの3個の0.116インチ径整合ピン孔521と、3個のQパッド566の突起を収容する深さ約0.04インチの7個の0.215インチ径陥凹部520とを備える。2個の陥凹部520は、中心から約1.59インチ離れて配置され、互いに方位角で180°オフセットしている。別の2個の陥凹部520は、中心から約3.39インチ離れて配置され、互いに方位角で180°オフセットしている。別の3個の陥凹部520は、中心から約7.30インチ離れて配置され、互いに方位角で120°オフセットしている。
平面610は、さらに、温度センサ580を収容する孔590を備える。孔590は、中心から約4.83インチ離れて配置される。図6Dに示した好適な実施形態において、孔590は、深さ最大0.08インチであり、孔590は、直径最大0.029インチ、孔590の基部における高さ約0.0035インチの円筒側面590aと、直径約0.153インチの円形基部および約90°の開口角度を備え、円筒側面と装着面との間に延びる円錐台状側面590bとを有する。頂板、熱制御板、および受け板の開口部を介して延びる温度センサ(サーモカップル)580は、孔590の底部590aに、バネ付勢された先端部を含む。円錐面590bにより、センサ580の先端部は、孔590の底部の中心に配置される。
ガス出口528は、装着面からプラズマ露出面へ延びており、任意の適切なパターンで配置できる。図示した実施形態では、直径0.017インチの849個のガス出口孔528が、一個の中心ガス出口、および、電極の中心から約0.5インチに位置する第1の列内の10個のガス出口と、中心から約0.9インチに位置する第2の列内の18個のガス出口と、中心から約1.4インチに位置する第3の列内の28個のガス出口と、中心から約1.8インチに位置する第4の列内の38個のガス出口と、中心から約2.3インチに位置する第5の列内の46個のガス出口と、中心から約2.7インチに位置する第6の列内の56個のガス出口と、中心から約3.2インチに位置する第7の列内の66個のガス出口と、中心から約3.6インチに位置する第8の列内の74個のガス出口と、中心から約4.1インチに位置する第9の列内の84個のガス出口と、中心から約4.6インチに位置する第10の列内の94個のガス出口と、中心から約5.1インチに位置する第11の列内の104個のガス出口と、中心から約5.4インチに位置する第12の列内の110個のガス出口と、中心から約5.7インチに位置する第13の列内の120個のガス出口と、を有する円周方向に延びる13列のガス出口のパターンにより配置される。
図6Cに示したように、単一段シャワーヘッド電極502は、直径約12インチの円形内面640と、内径約12.55インチ、外径約16インチの環状外面650と、表面640に対して約145°の角度で円形内面640と環状外面650との間に延びる内側傾斜面645と、表面650に対して約155°の角度で環状外面650とシャワーヘッド電極502の円筒周囲面630との間に延びる外側傾斜面635と、を含むプラズマ露出面を有する。環状外面650と表面610との間の厚さは、約0.44インチである。円形内面640と表面610との間の厚さは、約0.26インチである。
多段シャワーヘッド電極502は、図6Eに図示されており、ここでプラズマ露出面は、直径約12インチの円形内面640と、内径約12.2インチ、外径約13.2インチの内側環状面660と、内径約13.4インチ、外径約16インチの外側環状面670と、表面640に対して約145°の角度で円形内面640と内側環状面660との間に延びる内側傾斜面646と、表面670に対して約135°の角度で内側環状面660と外側環状面670との間に延びる中間傾斜面667と、表面670に対して約155°の角度で外側環状面670とシャワーヘッド電極の円筒周囲面630との間に延びる外側傾斜面637と、を含む。外側環状面670と表面610との間の厚さは、約0.44インチである。内側環状面660と表面610との間の厚さは、約0.36インチである。円形内面640と表面610との間の厚さは、約0.26インチである。
図6Fに断面を図示した多段シャワーヘッド電極502のさらに別の実施形態において、プラズマ露出面は、直径約12インチの円形内面640と、内径約12.4インチ、外径約13.3インチの内側環状面680と、内径約13.4インチ、外径約16インチの外側環状面690と、表面640に対して約145°の角度で円形内面640と内側環状面680との間に延びる内側傾斜面648と、表面690に対して約135°の角度で内側環状面680と外側環状面690との間に延びる中間傾斜面689と、表面690に対して約155°の角度で外側環状面690とシャワーヘッド電極502の円筒周囲面630との間に延びる外側傾斜面639と、を含む。外側環状面690と表面610との間の厚さは、約0.44インチである。内側環状面680と表面610との間の厚さは、約0.40インチである。円形内面640と表面610との間の厚さは、約0.26インチである。
図7は、受け板506の斜視図である。受け板506は、シャワーヘッド電極502の出口528と整合する中心ガス通路および13列のガス通路を含む。受け板の上面586は、熱制御板510の環状突起に接触する三つの環状領域588a、588b、588cを含む。熱制御板は、出典を明記することによりその開示内容全体を本願明細書の一部とした、本考案の譲受人に譲渡された米国特許公開第2005/0133160号、第2007/0068629号、第2007/0187038号、第2008/0087641号、および第2008/0090417号において開示された、頂板を介して熱制御板内へ延びる留め具により、プラズマチャンバの頂壁に取り付け可能である。ネジ付き開口部599が、上面586の外周と、環状領域588a、588b、588cとに配置されており、頂板512および熱制御板510の開口部を介して延びる留め具を収容し、熱制御板510に接触した状態で受け板506を保持する。温度サイクリングに対応可能な留め具の説明については、例えば、本考案の譲受人に譲渡された米国特許公開第2008/0087641号を参照されたい。上面586の溝592は、受け板506と熱制御板510との間のガスシールを提供するOリングを収容する。上面586の整合ピン孔594は、熱制御板の整合ピン孔に嵌合する整合ピンを収容する。孔560の間の位置にある水平に延びるネジ付き開口部561は、シャワーヘッド電極502の組立後にガードリング508の回転を防止すると共にガードリング508のアクセス孔を塞ぐために使用される誘電体留め具を収容する。
図8は、ガードリング508を取り外した状態のシャワーヘッド電極組立体500の斜視図である。既に説明したように、ガードリング508は、カムロック514を係合させることが可能な一つ以上の組立位置へ回転させることが可能であり、さらに、誘電体留め具を開口部561へ挿入して、ガードリングが受け板の外周に接触しない状態を維持し、これにより受け板が熱膨張できるようにするロック位置へ回転させることが可能である。熱制御板は、アクチュエータがプラズマ閉じ込めリングを支持する際に通過する開口部596を備えたフランジ595を含む。プラズマ閉じ込めリング組立体の装着方法の詳細は、出典を明記することによりその開示内容全体を本願明細書の一部とした、本考案の譲受人に譲渡された米国特許公開第2006/0207502号および第2006/0283552号において確認できる。
シャワーヘッド電極の装着面610は、受け板内の8個のカムロックにより保持された8本のロッキングピンが及ぼすクランプ力の結果として、受け板506の対向表面に接する。ガードリング508は、受け板506の装着孔を覆い、ガードリングのアクセス開口部には、Torlon(R)、Vespel(R)、Celcon(R)、Delrin(R)、Teflon(R)、Arlon(R)等のプラズマ耐性ポリマ材料、あるいは、低摩擦係数および低粒子脱落性を有するフッ素重合体、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、およびポリエーテルエーテルケトン(PEEK)等の他の材料から作成された取り外し可能な挿入物が充填される。
図5を参照すると、受け板506とシャワーヘッド電極502との間の電気的および熱的接触は、電極の外周と、外側のQパッドより内側の一つ以上の場所とに配置されたQパッド556等のガスケットにより提供される。例えば、直径約3.2、6.8、および12インチのQパッドを使用可能である。出典を明記することによりその開示内容を本願明細書の一部とした、2007年8月31日提出の、本願権利者所有の米国特許出願第11/896,375号には、Qパッドの詳細が含まれる。異なる処理ガス混合物および/または流量を提供するために、一つ以上のガス仕切りシールを電極の上面全体に設置できる。例えば、単一のOリングを、シャワーヘッド電極502と受け板506との間で、内側および外側Qパッド間の位置に設けて、内側のガス分布域を外側のガス分布域から分離することができる。外側Qパッドの内周に沿って、シャワーヘッド電極502と受け板506との間に位置したOリング558は、電極と受け板との間にガスおよび微粒子シールを提供することができる。
以上、具体的な実施形態を参照して本考案を詳細に説明してきたが、添付の実用新案登録請求の範囲から逸脱することなく、様々な変更および変形の実施および等価物の利用が可能であることは、当業者には明らかであろう。

Claims (20)

  1. プラズマ反応チャンバ内において使用するシャワーヘッド電極であって、
    前記シャワーヘッド電極の上面および下面により規定された中央部および周辺部であって、前記上面は、前記中央部および前記周辺部に広がる平面を含み、前記下面は、前記中央部に広がる平坦内面と、前記周辺部に広がる段付き外面とにより規定され、前記段付き外面は、前記シャワーヘッド電極の厚さが増加した領域を規定する少なくとも一つの環状平面を含む、中央部および周辺部と、
    前記シャワーヘッド電極を受け板にクランプすることに適したカムロックを内部に収容するように構成された、前記周辺部内の前記上面において円周方向に間隔を空けた複数のソケットと、
    前記シャワーヘッド電極の前記中央部にあり、それを介して前記シャワーヘッド電極と、ウェーハを支持する下部電極と、の間の隙間に、処理ガスを送給可能となる複数のガス出口であって、一個の中心ガス出口および円周方向に延びる13列のガス出口を備えたパターンにより配置され、前記13列のガス出口は、前記シャワーヘッド電極の中心から約0.5インチに位置する第1の列内の10個のガス出口と、前記中心から約0.9インチに位置する第2の列内の18個のガス出口と、前記中心から約1.4インチに位置する第3の列内の28個のガス出口と、前記中心から約1.8インチに位置する第4の列内の38個のガス出口と、前記中心から約2.3インチに位置する第5の列内の46個のガス出口と、前記中心から約2.7インチに位置する第6の列内の56個のガス出口と、前記中心から約3.2インチに位置する第7の列内の66個のガス出口と、前記中心から約3.6インチに位置する第8の列内の74個のガス出口と、前記中心から約4.1インチに位置する第9の列内の84個のガス出口と、前記中心から約4.6インチに位置する第10の列内の94個のガス出口と、前記中心から約5.1インチに位置する第11の列内の104個のガス出口と、前記中心から約5.4インチに位置する第12の列内の110個のガス出口と、前記中心から約5.7インチに位置する第13の列内の120個のガス出口と、を有する、ガス出口と、
    温度センサの先端部を収容するように構成された、前記上面内の温度センサ収容孔と、を備える、シャワーヘッド電極。
  2. 請求項1記載のシャワーヘッド電極であって、さらに、
    前記受け板内へ延びる整合ピンと整合するように構成された、前記上面内の整合ピン孔を備え、前記温度センサ収容孔は、ガス出口の第10の列と第11の列との間に配置される、シャワーヘッド電極。
  3. 請求項1記載のシャワーヘッド電極であって、さらに、
    前記段付き外面内のガス出口の閉じ込めパターンであって、マノメータユニットと協働して前記チャンバ内での真空圧測定を提供することに適した、ガス出口の閉じ込めパターンを備える、シャワーヘッド電極。
  4. 請求項1記載のシャワーヘッド電極であって、
    前記シャワーヘッド電極の前記上面は、その外縁部に環状の棚部を含み、前記棚部は、ガードリングを、前記ガードリングの外面が前記シャワーヘッド電極の前記外面と同一平面となる形で支持するように構成される、シャワーヘッド電極。
  5. 請求項1記載のシャワーヘッド電極であって、
    前記段付き外面は、単一環状平面と、内側および外側傾斜面とを含み、前記内側傾斜面は、前記平坦内面と前記単一環状平面との間に延び、前記外側傾斜面は、前記単一環状平面と前記シャワーヘッド電極の外縁部との間に延びる、シャワーヘッド電極。
  6. 請求項1記載のシャワーヘッド電極であって、
    前記段付き外面は、内側および外側環状平面と、内側、中間、および外側傾斜面とを含み、前記内側傾斜面は、前記平坦内面と前記内側環状面との間に延び、前記中間傾斜面は、前記内側環状面と前記外側環状面との間に延び、前記外側傾斜面は、前記外側環状面と、前記シャワーヘッド電極の外縁部との間に延び、前記平坦内面での前記シャワーヘッド電極の厚さは、前記内側環状面での前記シャワーヘッド電極の厚さ未満であり、第1の環状面での前記シャワーヘッド電極の厚さは、第2の環状面での前記シャワーヘッド電極の厚さ未満である、シャワーヘッド電極。
  7. シャワーヘッド電極組立体であって、
    請求項1記載のシャワーヘッド電極と、
    前記シャワーヘッド電極内の前記ソケットに整合された軸線方向に延びる孔、および前記軸線方向に延びる孔と連通した半径方向に延びる孔を含む受け板と、
    前記半径方向に延びる孔内に装着された回転可能なカムシャフトと、
    前記シャワーヘッド電極内の前記ソケット内に配置されたロッキングピンと、を備え、前記ロッキングピンは、その自由端部に拡大した頭部を含み、カムシャフトは、前記シャワーヘッド電極を前記受け板に対して機械的にクランプするために、前記ロッキングピンの前記頭部を係合およびロックすることに適した切欠を含む、シャワーヘッド電極組立体。
  8. 請求項7記載のシャワーヘッド電極組立体であって、さらに、
    前記シャワーヘッド電極の前記上面内の前記温度センサ収容孔に直接接触する温度センサを備える、シャワーヘッド電極組立体。
  9. 請求項7記載のシャワーヘッド電極組立体であって、
    前記ロッキングピンの基部は、ソケット内に位置しており、前記ソケットは、前記ソケットの内面のネジ山と係合するネジ山を外面に含み、前記ソケットは、前記シャワーヘッド電極の前記上面に係合するフランジを含み、前記受け板内の前記軸線方向に延びる孔は、幅広部分と狭小部分とを含み、前記幅広部分は前記フランジを収容し、前記狭小部分は前記ロッキングピンを収容する、シャワーヘッド電極組立体。
  10. 請求項9記載のシャワーヘッド電極組立体であって、
    前記ロッキングピンは、前記受け板と前記シャワーヘッド電極との熱膨張の差を調整するため、前記ソケット内において軸線方向および側方に移動可能である、シャワーヘッド電極組立体。
  11. 請求項9記載のシャワーヘッド電極組立体であって、
    前記シャワーヘッド電極は、多結晶シリコン、単結晶シリコン、炭化ケイ素、アルミニウム、陽極酸化アルミニウム、またはイットリア被覆アルミニウムの板であり、前記受け板は、アルミニウムの板である、シャワーヘッド電極組立体。
  12. 請求項9記載のシャワーヘッド電極組立体であって、
    前記受け板は、熱制御用の冷却剤通路および加熱要素を有していない、シャワーヘッド電極組立体。
  13. 請求項8記載のシャワーヘッド電極組立体であって、さらに、
    前記受け板に取り付けられた熱制御板を備え、前記熱制御板は、前記受け板内の前記ガス通路と連通したガスプレナムを規定する下面上の環状突起と、前記温度センサから受信したデータに基づいて、前記シャワーヘッド電極の温度を調節するために、一つ以上の加熱要素を作動させるコントローラにより制御された一つ以上の加熱要素と、を有する、シャワーヘッド電極組立体。
  14. 請求項7記載のシャワーヘッド電極組立体であって、さらに、
    前記ガス通路の外側に配置されたガスシールであって、前記受け板と前記シャワーヘッド電極との間のガスシールと、前記ガスシールの内側の複数の環状ガスケットと、を備える、シャワーヘッド電極組立体。
  15. プラズマチャンバ内において半導体基板を処理する方法であって、
    前記チャンバ内の底部電極上において前記半導体基板を支持するステップと、
    前記チャンバに処理ガスを供給するステップと、
    シャワーヘッド電極の露出面に離接してプラズマを生成するステップと、
    前記半導体基板を前記プラズマにより処理するステップと、を備え、
    前記シャワーヘッド電極は、請求項1記載の前記シャワーヘッド電極を備える、方法。
  16. 請求項15記載の方法であって、
    前記シャワーヘッド電極の温度は、前記シャワーヘッド電極に直接接触する温度センサにより測定されると共に、前記温度センサから受信したデータに基づいて熱制御板を加熱する一つ以上の加熱要素により制御され、前記熱制御板は、前記熱制御板と前記受け板との間にプレナムを形成する環状突起を含み、前記プレナムは、前記シャワーヘッド電極内の前記ガス出口と整合した前記受け板内のガス通路と流体連通しており、前記受け板は、前記シャワーヘッド電極と前記熱制御板との間に熱経路を提供する、方法。
  17. 請求項15記載の方法であって、
    前記半導体基板は、半導体ウェーハを含み、前記処理ステップは、前記半導体ウェーハを前記プラズマによりエッチングするステップを備える、方法。
  18. 請求項15記載の方法であって、
    前記処理ステップ中、前記シャワーヘッド電極は接地され、前記底部電極は通電される、方法。
  19. 請求項15記載の方法であって、
    前記シャワーヘッド電極と前記受け板との間に熱膨張差を引き起こす高温まで、前記シャワーヘッド電極および受け板を加熱するステップと、前記熱膨張をロッキングピンの移動により調整するステップとを備える、方法。
  20. 請求項7記載のシャワーヘッド電極組立体のシャワーヘッド電極を交換する方法であって、前記ロッキングピンから前記カムロックを分離するために前記カムロックを解放するステップと、前記シャワーヘッド電極を取り外すステップと、新品または修復済みのシャワーヘッド電極のロッキングピンを、前記受け板内の前記軸線方向の孔に整合させるステップと、前記ロッキングピンの前記頭部に係合させるために前記カムロックを回転させるステップとを備える方法。
JP2010007637U 2009-09-18 2010-11-22 クランプ式モノリシックシャワーヘッド電極 Expired - Lifetime JP3167751U (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24364709P 2009-09-18 2009-09-18
US12/884,269 US8419959B2 (en) 2009-09-18 2010-09-17 Clamped monolithic showerhead electrode

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010210805 Continuation 2010-09-21

Publications (1)

Publication Number Publication Date
JP3167751U true JP3167751U (ja) 2011-05-19

Family

ID=43728862

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010007637U Expired - Lifetime JP3167751U (ja) 2009-09-18 2010-11-22 クランプ式モノリシックシャワーヘッド電極

Country Status (7)

Country Link
US (1) US8419959B2 (ja)
JP (1) JP3167751U (ja)
CN (1) CN201919233U (ja)
DE (1) DE202010012763U1 (ja)
FR (1) FR2950478B1 (ja)
SG (1) SG169960A1 (ja)
TW (1) TWM412457U (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체
KR20160007409A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
US9773647B2 (en) 2014-07-11 2017-09-26 Tokyo Electron Limited Plasma processing apparatus and upper electrode assembly
JP2019091885A (ja) * 2017-10-17 2019-06-13 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバのための電極

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN101971321B (zh) * 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9404174B2 (en) * 2011-12-15 2016-08-02 Applied Materials, Inc. Pinned target design for RF capacitive coupled plasma
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103779166A (zh) * 2014-01-17 2014-05-07 北京京东方光电科技有限公司 一种刻蚀设备反应腔的电极和刻蚀设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9847599B2 (en) * 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 ASM知識產權私人控股有&#x9 用於半導體製造設備之氣體供應板
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI610329B (zh) * 2016-11-08 2018-01-01 財團法人工業技術研究院 電漿處理裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP1624668S (ja) * 2018-06-08 2019-02-18
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
JP3959745B2 (ja) * 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) * 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) * 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) * 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) * 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) * 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) * 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6899786B2 (en) * 2000-05-17 2005-05-31 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) * 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) * 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) * 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7863099B2 (en) 2007-06-27 2011-01-04 Stats Chippac Ltd. Integrated circuit package system with overhanging connection stack
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101971321B (zh) 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체
KR20160007409A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
US9773647B2 (en) 2014-07-11 2017-09-26 Tokyo Electron Limited Plasma processing apparatus and upper electrode assembly
US9941101B2 (en) 2014-07-11 2018-04-10 Tokyo Electron Limited Plasma processing apparatus and upper electrode assembly
US10811234B2 (en) 2014-07-11 2020-10-20 Tokyo Electron Limited Plasma processing apparatus and upper electrode assembly
KR102455670B1 (ko) 2014-07-11 2022-10-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
JP2019091885A (ja) * 2017-10-17 2019-06-13 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバのための電極
JP7254473B2 (ja) 2017-10-17 2023-04-10 ラム リサーチ コーポレーション プラズマ処理チャンバのための電極

Also Published As

Publication number Publication date
US20110070740A1 (en) 2011-03-24
SG169960A1 (en) 2011-04-29
CN201919233U (zh) 2011-08-03
FR2950478A1 (fr) 2011-03-25
TWM412457U (en) 2011-09-21
US8419959B2 (en) 2013-04-16
FR2950478B1 (fr) 2017-04-28
DE202010012763U1 (de) 2011-04-07

Similar Documents

Publication Publication Date Title
JP3167751U (ja) クランプ式モノリシックシャワーヘッド電極
US8796153B2 (en) Clamped monolithic showerhead electrode
JP5615813B2 (ja) クランプ式シャワーヘッド電極組立体
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US8470127B2 (en) Cam-locked showerhead electrode and assembly
US8573152B2 (en) Showerhead electrode
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110311

R150 Certificate of patent or registration of utility model

Ref document number: 3167751

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140420

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term