JP5615813B2 - クランプ式シャワーヘッド電極組立体 - Google Patents

クランプ式シャワーヘッド電極組立体 Download PDF

Info

Publication number
JP5615813B2
JP5615813B2 JP2011517410A JP2011517410A JP5615813B2 JP 5615813 B2 JP5615813 B2 JP 5615813B2 JP 2011517410 A JP2011517410 A JP 2011517410A JP 2011517410 A JP2011517410 A JP 2011517410A JP 5615813 B2 JP5615813 B2 JP 5615813B2
Authority
JP
Japan
Prior art keywords
electrode
backing plate
electrode assembly
plate
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011517410A
Other languages
English (en)
Other versions
JP2011527520A (ja
JP2011527520A5 (ja
Inventor
カドコダヤン・ババク
ディンドサ・ラジンダー
ラ レラ・アンソニー デ
ラ レラ・アンソニー デ
ケロッグ・マイケル・シー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011527520A publication Critical patent/JP2011527520A/ja
Publication of JP2011527520A5 publication Critical patent/JP2011527520A5/ja
Application granted granted Critical
Publication of JP5615813B2 publication Critical patent/JP5615813B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Description

本発明は、半導体部品を製造可能なプラズマ処理チャンバのシャワーヘッド電極組立体に関する。
一実施形態によれば、シャワーヘッド電極組立体は、受け板にクランプされた内側電極及び外側電極を備え、シャワーヘッド電極組立体は、容量結合プラズマ処理チャンバの上部電極を備える。内側電極は、下面にプラズマ露出面を有し、上面に装着面を有する円形プレートであり、下面は、プレートの外周に内側及び外側段差部を含む。内側段差部は、外側段差部より小さな直径を有し、外側段差部は、内側段差部と装着面との間に位置する。外側段差部は、クランプリングの内側に延びるフランジと接合するように構成され、内側段差部は、外側電極の内側段差部と接合するように構成され、外側電極は、その内側テーパ面がプラズマ露出面の外縁部から延びるように内側電極を取り囲む。装着面は、クランプリングによりプレートを接触させて保持する受け板内の整合ピン孔と一致するパターンで配列された整合ピンを収容するように構成された複数の整合ピン凹部を含み、プレートは、受け板内のガス供給孔と一致するパターンで配列された処理ガス出口を含む。
外側電極は、下面にプラズマ露出面を有し、上面に装着面を有する環状プレートを備え、上面は、内側段差部と外側段差部との間に平坦環状面を含み、プラズマ露出面は、内側及び外側傾斜面を含む。平坦環状面において円周方向に間隔を空けた複数のポケットは、外側電極を受け板に対してクランプすることに適したロッキングピンを内部に収容するように構成される。
別の実施形態によれば、容量結合プラズマ処理チャンバのシャワーヘッド電極組立体は、熱制御板と、受け板と、ガードリングと、内側電極と、外側電極と、クランプリングとを備える。熱制御板は、プラズマ処理チャンバの温度制御された壁により支持され、熱制御板は、プラズマ処理チャンバ内での処理対象となるウェーハより大きな直径を有し、下面上の環状突起を、環状突起間のガスプレナムと共に含む。受け板は、熱制御板により支持され、熱制御板より小さな直径と、その内部を通過するガス通路と、水平に延びる孔内のカムロックとを有する。ガードリングは、受け板を取り囲み、その内部のアクセス孔がカムロックの少なくとも一つと整合するように回転させることができる。内側電極は、受け板内のガス通路と流体連通するガス通路を内部に有し、内側電極の外周は、内側及び外側段差部を含み、外側段差部は、内側段差部と、受け板に対向する内側電極の表面との間に位置している。クランプリングは、任意の圧縮リングを間に挟んで、内側電極の外側段差部の上に位置する内側フランジを有し、クランプリングは、垂直に延びる段付き開口部を含み、段付き開口部は、受け板内のネジ孔と整合しており、段付き開口部内の留め具は、クランプリングを受け板に取り付け、内側電極の外側段差部に圧縮力を加える。外側電極は、内側電極を取り囲んでおり、カムロックに係合する垂直に延びたロッキングピンを含み、外側電極は、ガードリングを支持しており、ロッキングピンをカムロックから解放することにより取り外し可能となる。
基板をエッチングするための容量結合プラズマリアクタの上部電極を形成する、ガードリングを有するシャワーヘッド電極組立体を示す部分断面図である。
図1に示したリアクタにおいて外側電極をクランプするためのカムロックの一例を示す三次元図である。
図2Aのカムロック電極クランプの一例を示す断面図である。
図2A及び図2Bのカムロッククランプにおいて使用されたスタッドの一例を示す側面図及び組立図である。
図2A及び図2Bのカムロッククランプにおいて使用されたカムシャフトの一例を示す側面図及び組立図である。
図4Aのカムシャフトの一部のカッタパスエッジの一例を示す断面図である。
受け板に機械的にクランプされた内側及び外側電極を備えたシャワーヘッド電極組立体を示す断面図である。 異なる位置においてシャワーヘッド電極組立体を示す断面図である。 図5Bの一部の拡大図である。
内側電極の詳細を示す図である。 内側電極の詳細を示す図である。 内側電極の詳細を示す図である。 内側電極の詳細を示す図である。
外側電極の詳細を示す図である。 外側電極の詳細を示す図である。 外側電極の詳細を示す図である。 外側電極の詳細を示す図である。 外側電極の詳細を示す図である。 外側電極の詳細を示す図である。 外側電極の詳細を示す図である。
内側電極をクランプするクランプリングの詳細を示す図である。 内側電極をクランプするクランプリングの詳細を示す図である。 内側電極をクランプするクランプリングの詳細を示す図である。 内側電極をクランプするクランプリングの詳細を示す図である。
熱膨張領域を備えたクランプリングの詳細を示す図である。 熱膨張領域を備えたクランプリングの詳細を示す図である。 熱膨張領域を備えたクランプリングの詳細を示す図である。 熱膨張領域を備えたクランプリングの詳細を示す図である。 熱膨張領域を備えたクランプリングの詳細を示す図である。 熱膨張領域を備えたクランプリングの詳細を示す図である。
受け板の詳細を示す図である。 受け板の詳細を示す図である。 受け板の詳細を示す図である。
集積回路チップの製造は、一般に、「ウェーハ」と呼ばれる高純度単結晶半導体材料基板(シリコン又はゲルマニウム等)の研磨薄片から開始される。各ウェーハに対しては、ウェーハ上に様々な回路構造を形成する一連の物理及び化学処理ステップが施される。製造処理中には、二酸化シリコン膜を形成する熱酸化と、シリコン、二酸化シリコン、及び窒化シリコン膜を形成する化学気相堆積と、他の金属膜を形成するスパッタリング又は他の手法とのような様々な手法を使用して、ウェーハ上に様々な種類の薄膜を堆積させ得る。
半導体ウェーハ上に膜を堆積させた後、ドーピングと呼ばれる処理を使用して、半導体結晶格子中において、選択された不純物による置換を行うことにより、半導体の特異的電気特性を生成する。ドーピングされたシリコンウェーハは、次に、「レジスト」と呼ばれる感光性又は放射線感受性材料の薄層により均一に被覆し得る。次に、リソグラフィとして知られる処理を使用して、回路内の電子経路を画成する小さな幾何学的パターンをレジスト上に転写し得る。リソグラフィ処理中、リソグラフィ回路パターンは、「マスク」と呼ばれるガラス板上に描画し、その後、光学的に縮小投影して、感光性被覆上に転写し得る。
次に、エッチングとして知られる処理により、リソグラフレジストパターンを、半導体材料の下層結晶表面上へ転写する。一般には、真空処理チャンバが使用され、真空チャンバにエッチング又は堆積ガスを供給し、ガスへの高周波(RF)電界の印加によりガスを励起してプラズマ状態にすることにより、基板上の材料のエッチング及び化学気相堆積(CVD)を行う。
反応性イオンエッチングシステムは、一般に、上部電極又は陽極と下部電極又は陰極とが内部に位置決めされたエッチングチャンバからなる。陰極は、陽極及び容器壁に対して負にバイアスされる。エッチング対象のウェーハは、適切なマスクに覆われた状態で陰極上に直接配置される。CF4、CHF3、CClF3、HBr、Cl2、及びSF6等の化学反応性ガス、或いはO2、N2、He、又はArとのその混合物を、エッチングチャンバへ導入し、通常はミリトールの範囲の圧力に維持する。上部電極には、電極を介してガスをチャンバ内に均一に分散させることが可能なガス孔(群)を設ける。陽極と陰極との間に形成された電界は、プラズマを形成する反応性ガスを解離させる。ウェーハの表面は、活性イオンとの化学的相互作用と、ウェーハの表面に衝突するイオンの運動量伝達とによりエッチングされる。電極により形成された電界は、イオンを陰極に引き付け、主に垂直方向でイオンを表面に衝突させ、処理手順により明確な垂直エッチング側壁が生成されるようにする。エッチングリアクタ電極は、機械的な柔軟性及び/又は熱伝導性を有する接着剤で二つ以上の異なる部材を接合することにより製造し、多数の機能を可能にする場合が多い。
図1は、基板をエッチングするためのプラズマ処理システムにおけるシャワーヘッド電極組立体100の一部の断面図を示す。図1に示したように、シャワーヘッド電極組立体100は、上部電極110と、受け板140と、ガードリング170とを含む。シャワーヘッド電極組立体100は、更に、上部電極110及び受け板140の外周を取り囲むプラズマ閉じ込め組立体(又はウェーハ領域圧力(WAP)組立体)180を含む。
組立体100は、更に、熱制御部材102と、内部に液体流路を有すると共にチャンバの温度制御壁を形成する上板104とを含む。上部電極110は、好ましくは、内側電極120と、外側電極130とを含む。内側電極120は、好ましくは、円筒形のプレートであり、単結晶シリコン、多結晶シリコン、炭化ケイ素、又は他の適切な材料等の伝導性高純度材料により作成し得る。受け板140は、後述する機械的留め具により、内側電極120及び外側電極130に機械的に固定される。ガードリング170は、受け板140を取り囲み、後述するように、カムロッキング部材へのアクセスを可能にする。
図1に示したシャワーヘッド電極組立体100は、一般に、上部電極110の下方に1乃至2cmの間隔を空けてウェーハを支持する平面下部電極を有する静電チャック(図示せず)と共に使用される。こうしたプラズマ処理システムの例は、カリフォルニア州フレモントのLam Research Corporation製のExelan誘電体エッチングシステム等の平行平板型リアクタである(Exelanは商標)。こうしたチャッキングの仕組みは、ウェーハとチャックとの間の伝熱率を制御する裏面ヘリウム(He)圧力を供給することにより、ウェーハの温度制御をもたらす。
上部電極110は、定期的に交換の必要がある消耗部品である。処理ガスをウェーハと上部電極との間の隙間に供給するために、上部電極110には、処理ガスを供給することに適したサイズ及び分布を有するガス放出路106が設けられており、処理ガスは、電極により励起され、上部電極110の下方の反応域にプラズマを形成する。
シャワーヘッド電極組立体100は、更に、上部電極110及び受け板140の外周を取り囲むプラズマ閉じ込め組立体(又はウェーハ領域プラズマ(WAP)組立体)180を含む。プラズマ閉じ込め組立体180は、好ましくは、上部電極110及び受け板140の外周を取り囲む多数又は複数の間隔を空けた石英リング190を含む。処理中、プラズマ閉じ込め組立体180は、反応域において圧力差を発生させて、反応チャンバ壁とプラズマとの間の電気抵抗を増加させることにより、プラズマを上部電極110と下部電極(図示せず)との間に閉じ込める。
使用中、閉じ込めリング190は、プラズマをチャンバ容積に閉じ込め、反応チャンバ内のプラズマの圧力を制御する。プラズマの反応チャンバへの閉じ込めは、閉じ込めリング190間の間隔と、閉じ込めリング外部及びプラズマ内における反応チャンバ内の圧力と、ガスの種類及び流量と、RF電力のレベル及び周波数とを含む多数の要因の関数となる。プラズマの閉じ込めは、閉じ込めリング190間の間隔が非常に小さい場合に、達成が容易になる。一般には、0.15インチ以下の間隔が閉じ込めに必要となる。しかしながら、閉じ込めリング190の間隔は、プラズマの圧力を決定するものでもあり、プラズマを維持しつつ、最適な処理性能に必要な圧力を達成するために間隔を調整可能であることが望ましい。ガス供給源からの処理ガスは、ウェーハ上方の単一の区域又は複数の区域へ処理ガスを供給可能とする上板104内の一本以上の通路を介して、電極110へ供給される。
内側電極120は、好ましくは、中心(図示せず)から外縁部まで均一な厚さを有する平坦な円盤又はプレートである。内側電極120は、処理対象ウェーハと比較して、小さい、等しい、又は大きい直径を有することが可能であり、例えば、プレートが単結晶シリコンにより作成される場合には、300mmウェーハに対して使用される現在利用可能な単結晶シリコン材料の直径である300mmまでにすることができる。300mmウェーハを処理するために、外側電極130は、上部電極110の直径を約15インチから約17インチに拡張するように構成される。外側電極130は、連続的な部材(例えば、単結晶シリコン、多結晶シリコン、炭化ケイ素、又はリングの形態の他の適切な材料)又はセグメント化された部材(例えば、単結晶シリコン、多結晶シリコン、炭化ケイ素、又は他の材料のセグメント等、リング構造に配置された二乃至六個の分離したセグメント)にすることができる。内側電極120は、好ましくは、上部電極110の下方にあるプラズマ反応チャンバ内の空間へ処理ガスを噴射する複数のガス通路106を含む。
単結晶シリコンは、内側電極120及び外側電極130のプラズマ露出面に好適な材料である。高純度単結晶シリコンは、望ましくない要素を反応チャンバ内に最低限の量しか持ち込まないため、プラズマ処理中に基板の汚染を最小化すると共に、プラズマ処理中に円滑に摩耗することにより、微粒子を最小限に抑える。上部電極110のプラズマ露出面に使用可能な、材料の合成物を含む他の材料には、例えば、SiC、SiN、及びAlNが含まれる。
構造として、シャワーヘッド電極組立体100は、直径300mmの半導体ウェーハ等の大型基板を処理するために十分な大きさを有する。300mmウェーハのためには、上部電極110は、少なくとも300mmの直径を有する。しかしながら、シャワーヘッド電極組立体100は、他のウェーハサイズを処理するようにサイズを定めることもできる。
受け板140は、好ましくは、プラズマ処理チャンバ内において半導体基板を処理するために使用される処理ガスと化学的に適合し、電極材料と厳密に一致する熱膨張係数を有し、及び/又は導電性及び熱伝導性を有する材料により作成される。受け板140を作成するために使用できる好適な材料は、グラファイト、SiC、アルミニウム(Al)、又は他の適切な材料等を含む。
内側及び外側電極120、130は、受け板140に対して、電極と受け板との間に接着性の結合を全く含むことなく、機械的に取り付けることが可能であり、即ち、電極を受け板に取り付けるために、熱伝導性及び導電性のエラストマ結合材料は使用されない。
受け板140は、好ましくは、ネジ付きボルト、ネジ等にすることができる適切な機械的留め具により熱制御部材102に取り付ける。例えば、ボルト(図示せず)を、熱制御板102の孔に挿入し、受け板140内のネジ付き開口部へねじ入れることが可能である。熱制御部材102は、屈曲部184を含み、好ましくは、アルミニウム又はアルミニウム合金等の機械加工された金属材料により作成される。上部温度制御板104は、好ましくは、アルミニウム又はアルミニウム合金により作成される。プラズマ閉じ込め組立体(又はウェーハ領域プラズマ組立体(WAP))180は、シャワーヘッド電極組立体100の外側に位置決めされる。複数の垂直調整可能なプラズマ閉じ込めリング190を含む適切なプラズマ閉じ込め組立体180は、出典を明記することによりその全体を本願明細書の一部とした本願権利者所有の米国特許第5,534,751号において説明されている。
外側電極は、出典を明記することによりその開示内容を本願明細書の一部とする、本発明の譲受人に譲渡された2008年3月14日提出の米国特許出願第61/036,862号において説明されるようなカムロック機構により、受け板に機械的に取り付けることが可能である。図2Aを参照すると、カムロック電極クランプの一例の三次元図は、電極201及び受け板203の一部を含む。電極クランプは、図1に示したプラズマエッチングチャンバ等、様々な製造関連ツールにおいて、素早く清潔克つ正確に消耗電極201を受け板に対して取り付けることが可能である。電極201は、例えば、シリコン(Si)、炭化ケイ素(SiC)、又はポリシリコン(α−Si)を含む、様々な材料により作成し得る。受け板は、アルミニウム又は他の適切な材料により形成される場合が多い。
電極カムロッククランプは、ソケット213内に装着されるスタッド(ロッキングピン)205を含む。スタッドは、例えば、鋼製ベルビルワッシャ等のディスクスプリングスタック215により取り囲んでもよい。スタッド205及びディスクスプリングスタック215は、その後、ソケット213内へ圧入するか、接着剤又は機械的留め具の使用により別の形で固定し得る。スタッド205及びディスクスプリングスタック215は、電極201と受け板203との間において限定された量の側方運動が可能となるように、ソケット213内に配置される。側方運動の量を限定することにより、電極201と受け板203との密着が可能となり、これにより良好な熱的接触を確保する一方、ある程度の移動を依然として提供して、二部品間の熱膨張における差に対応する。限定側方運動機能についての付加的な詳細は、更に詳しく後述する。
特定の実施形態例において、ソケット213は、ベアリンググレードのTorlon(R)から製造される。或いは、ソケット213は、良好な強度といった特定の機械的特性と耐衝撃性、耐クリープ性、寸法安定性、耐放射線性、及び耐化学性とを有する他の材料から製造し、容易に利用し得る。ポリアミド、ポリイミド、アセタール、及び超高分子量ポリエチレン材料といった様々な材料は、全て適切となり得る。エッチングチャンバ等の用途において遭遇する一般的な最高温度は230℃であるため、高温特異的プラスチック及び他の関連する材料は、ソケット213を形成するために必要ではない。一般に、通常の動作温度は、130℃前後である。
電極カムロッククランプの他の部分は、一対のカムシャフトベアリング209により各端部を囲まれたカムシャフト207を含む。カムシャフト207及びカムシャフトベアリング組立体は、受け板203内に機械加工された受け板孔211内に装着される。300mm半導体ウェーハ用に設計されたエッチングチャンバ用の一般的な応用では、八個以上の電極クランプが、間隔を空けて電極201/受け板203の組み合わせの周囲を囲み得る。
カムシャフトベアリング209は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arion(登録商標)を含む様々な材料、或いは、低摩擦係数及び低粒子脱落性を有するフッ素重合体、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、及びポリエーテルエーテルケトン(PEEK)等の他の材料から機械加工し得る。スタッド205及びカムシャフト207は、ステンレス鋼(例えば、316、316L、17−7等)又は良好な強度及び耐腐食性を提供する他の任意の材料から機械加工し得る。
次に図2Bを参照すると、電極カムクランプの断面図は、更に、電極201を受け板203に極めて近接して引き付けることにより、カムクランプがどのように動作するかを例示している。スタッド205/ディスクスプリングスタック215/ソケット213組立体は、電極201内に装着される。図示したように、組立体は、ソケット213の外ネジを用いて、電極201内のネジ付きポケット内へねじ入れ得る。しかしながら、ソケットは、接着剤又は他の種類の機械的留め具により装着してもよい。
図3において、拡大した頭部と、ディスクスプリングスタック215と、ソケット213とを有するスタッド205の正面組立図300は、カムロック電極クランプの設計の一例を更に詳細に示している。特定の実施形態例において、スタッド/ディスクスプリング組立体301は、ソケット213へ圧入される。ソケット213は、軽いトルク(例えば、特定の実施形態例において、約20インチポンド)による電極201(図2A及び図2B参照)への容易な挿入を可能にする、外ネジ及び六角形の頂部部材を有する。上述したように、ソケット213は、様々な種類のプラスチックから機械加工し得る。プラスチックを使用することにより、粒子の発生は最小化され、ソケット213を電極201上の嵌合ポケット内へ摩耗無く設置することが可能となる。
スタッド/ソケット組立体303は、ソケット213上部の内径がスタッド205の中央部の外径よりも大きいことを示している。両部分の直径の差により、上述したように、組み立てた電極クランプにおいて限定された側方運動が可能となる。スタッド/ディスクスプリング組立体301は、ソケット213の基部において、ソケット213と堅固に接触した状態で維持されるが、直径の差により、ある程度の側方運動が可能となる(図2Bも参照)。
図4Aを参照すると、カムシャフト207及びカムシャフトベアリング209の分解図400は、更に、キーイングピン401を示している。キーイングピン401を有するカムシャフト207の端部は、受け板孔211(図2B参照)へ最初に挿入される。受け板孔211の遠端部にある一対の小さな嵌合孔(図示せず)により、受け板孔211に入るカムシャフト207は適切な整合状態となる。カムシャフト207の側面図420は、カムシャフトの一方の端部における六角開口部403と、他方の端部におけるキーイングピン401との考えられる配置を明確に示している。
例えば、引き続き図4A及び図2Bを参照すると、電極カムクランプは、カムシャフト207を受け板孔211へ挿入することにより組み立てられる。キーイングピン401は、一対の小さな嵌合孔の一方と相互作用することにより、受け板孔211内におけるカムシャフト207の回転移動を制限する。カムシャフトは、最初に、スタッド205のカムシャフト207への進入が可能となるように、六角開口部403を使用して一方向、例えば、反時計回りに回転させ、その後、時計回りに回転させ、スタッド205を完全に係合させてロックし得る。電極201を受け板203に対して保持するために必要なクランプ力は、自由積層高さを超えてディスクスプリングスタック215を圧縮することにより供給される。カムシャフト207は、シャフト205の拡大頭部と係合する内部偏心切欠を有する。ディスクスプリングスタック215が圧縮されると、クランプ力は、ディスクスプリングスタック215の個々のスプリングからソケット213へ伝達されると共に、電極201を介して受け板203へ伝達される。
動作モードの一例において、カムシャフトベアリングをカムシャフト207に取り付け、受け板孔211へ挿入した後、カムシャフト207を反時計回りに回転させ、完全に回転移動させる。次に、スタッド/ソケット組立体303(図3)に軽いトルクを加え、電極201内へ入れる。次に、スタッド205の頭部を、水平に延びる受け板孔211の下方に垂直に延びる貫通孔へ挿入する。電極201は、受け板203に対して保持され、二つの小さな嵌合孔(図示せず)の第二のものにキーイングピンが落ち込むか、或いはクリック音が聞こえる(詳細は後述する)まで、カムシャフト207を時計回りに回転させる。動作モードの一例は、受け板203から電極201を取り外すために逆転させ得る。
図4Bを参照すると、図4Aのカムシャフト207の側面図420の断面図A−Aは、スタッド205の頭部が完全に固定されるカッタパスエッジ440を示している。特定の実施形態例において、二つの半径R1及びR2は、スタッド205の頭部が上述した可聴クリックノイズを発生させ、スタッド205が完全に固定された時点を示すように選択される。
図5Aは、以下の特徴部を含む容量結合プラズマチャンバ用の上部電極組立体500の断面図である:(a)カムロック式非接着外側電極502、(b)クランプ式非接着内側電極504、(C)受け板506、及び(d)外側電極を受け板506に対して保持するカムロックへのアクセスを可能にするガードリング508。図5Bは、異なる位置における電極組立体の断面図であり、図5Cは、受け板から内側電極を分離するためのネジジャッキの仕組みの詳細を示す図5Bの一部の拡大図である。
電極組立体500は、チャンバの外部からチャンバの温度制御頂壁512にボルト留めされた熱制御板510を含む。外側電極は、図2乃至4を参照して上述したカムロック514により、受け板506に解放可能に取り付けられている。内側電極は、クランプリング516により熱制御板にクランプされる。クランプリングは、受け板506の裏側にあるネジ付き開口部にねじ入れるボルト(ネジ)等の留め具を収容する一連の孔を含む。クランプリングが内側電極の外縁部にある段差と接触することを回避するために、CIRLEXのような硬質ポリイミド材料等の硬い材料の圧縮リング518が、内側電極とクランプリングの対向面間において圧縮される。
好適な実施形態において、電極組立体500の外側電極502は、(a)ガードリングの四個の孔を受け板の外側部内の間隔を空けた位置に配置された四個のカムロック514に整合させる第一の位置まで、ガードリング508を回転させ、(b)ガードリングの各孔にアレンレンチを挿入し、各カムロックを回転させて、対応する各カムロックにおいて垂直に延びるロッキングピンを解放し、(c)ガードリングの四個の孔を別の四個のカムロックに整合させる第二の位置まで、ガードリングを90度回転させ、(d)ガードリングの各孔にアレンレンチを挿入し、対応する各カムロックを回転させて、対応する各カムロックのロッキングピンを解放することにより分解可能であり、これにより、外側電極502を降下させ、プラズマチャンバから取り外すことが可能となる。
図5Aは、更に、回転可能カムロック514を受け板506の外側部において水平に延びる孔560内に配置したカムロックの仕組みの一つの断面図を示す。円筒カムロック514は、ロッキングピン562の拡大端部が、ロッキングピンの拡大頭部を持ち上げるカムロック514のカム表面により係合されるロック位置、或いは、ロッキングピン562がカムロック514により係合されない解放位置へ、アレンレンチ等の工具により回転可能である。受け板では、カムロックとの係合のためにロッキングピンが挿入される孔が垂直に延びている。
図5Bは、ネジジャッキ570と、ガードリング508のアクセス開口部574を埋めて、受け板のネジ付き孔にねじ入れることでガードリングの回転を防止する挿入部571とを通過する位置における電極組立体の断面図を示している。好ましくは、ステンレス鋼等の適切な材料の三個のネジジャッキ570が、120度離れて配置され、内側電極の取り外しを容易にする。挿入部571は、好ましくは、受け板506のネジ付き開口部にねじ入れるポリマ又はセラミックネジである。外側電極の外側段差部は、ガードリングの接合段差部と係合して、受け板506の外周とガードリング508の内周との間に環状の隙間575を維持する。ネジジャッキ570は、受け板506内のネジ付き開口部に収容される上部ネジ付きシャフト570aと、アレンレンチ等の工具との係合するための鍵付き開口部570cを有する下部シャフト570bと、上部及び下部シャフトの中間にある環状フランジ570dとを含む。CIRLEXリング等のポリマリング572は、受け板506と各フランジ570dとの対向面に接触しており、TEFLONワッシャ等のポリマワッシャ573は、フランジ570dのそれぞれの下面と、内側電極504及びクランプリング516の対向面とに接触している。好適な実施形態において、ポリマリング572は、厚さ約0.031インチ、幅約0.5インチであり、クランプリングを受け板に対して保持する留め具を受け入れる12個の孔と、ネジジャッキのシャフト570aを受け入れる三個の孔を有する。受け板506は、部分的に内側電極の外縁部の下に延びる開口部を含み、各開口部はそれぞれのフランジ570d及びワッシャ573を収容する上で十分な深さを有する。ポリマリング572は、受け板の環状路に収容される。内側電極の受け板からの分解中には、フランジ570dを内側電極の上面に向けて移動させる方向へネジジャッキを回転させ、これにより、介在する熱界面リング、Oリング等により生じる可能性のある、受け板に対する内側電極の粘着を克服する。
図6A乃至6Dは、内側電極504の詳細を示す。内側電極504は、好ましくは、高純度(不純物10ppm未満)低抵抗率(0.005乃至0.02オームcm)の単結晶シリコンのプレートであり、TORLON5030等のポリマ材料の整合ピン524を収容する整合ピン孔520を上面(装着面)522に有し、クランプリング516及び外側電極502の内側舌部と接合する段差部を外縁部526に有する。適切な直径及び/又は構成のガス孔528(例えば、直径0.017インチの孔)は、上面から下面(プラズマ露出面)530へ延びており、任意の適切なパターンに配列することができる。図示した実施形態において、ガス孔は、円周方向に延びる13列に配置されており、電極の中心から約0.25インチに位置する第一の列内の4個のガス孔と、中心から約0.7インチに位置する第二の列内の10個のガス孔と、中心から約1.25インチに位置する第三の列内の20個のガス孔と、中心から約1.95インチに位置する第四の列内の26個のガス孔と、中心から約2.3インチに位置する第五の列内の30個のガス孔と、中心から約2.7インチに位置する第六の列内の36個のガス孔と、中心から約3.05インチに位置する第七の列内の40個のガス孔と、中心から約3.75インチに位置する第八の列内の52個のガス孔と、中心から約4.1インチに位置する第九の列内の58個のガス孔と、中心から約4.5インチに位置する第十の列内の62個のガス孔と、中心から約5.2インチに位置する第十一の列内の70個のガス孔と、中心から約5.45インチに位置する第十二の列内の74個のガス孔と、中心から約5.75インチに位置する第十三の列内の80個のガス孔とを備える。
電極の上面は、六個の整合ピン孔520を含み、三個のピン孔は中心に近く、三個のピン孔は電極の外縁部に近い。ピン孔は、約0.116インチの直径を有することができる。三個の中央ピン孔は、半径方向に整列しており、内側電極の中心に位置する深さ約0.160インチのピン孔と、中心ピン孔から約1.6インチ離れて、ガス孔の第三及び第四の列間の位置に配置された深さ約0.200インチの二個のピン孔とを含む。外側ピン孔は、深さ約0.100インチであり、中央ピン孔と半径方向に整列し、中心ピン孔から約6インチである一個のピン孔と、そのピン孔から97.5度及び170度オフセットした二個の他のピン孔とを含み、第二及び第三の外側ピン孔は、中心ピン孔からは同じ距離であるが、互いに92.5度オフセットしている。
外側段差部は、シリコン板を完全に囲んで延びるようにシリコン板に機械加工された内側段差部532及び外側段差部534を含む。好適な実施形態において、シリコン板は、厚さ約0.400インチ、外径約12.560インチであり、内側段差部532は、内径約12.004インチ、外径約12.135インチであり、プラズマ露出面530内へ約0.13インチ延びており、外側段差部534は、内径約12.135インチ、外径約12.560インチであり、プラズマ露出面530内へ約0.24インチ延びている。内側段差部532は、長さ約0.13インチの垂直面532aと、長さ約0.065インチの水平面532bとを有し、外側段差部534は、長さ約0.11インチの垂直面534aと、長さ約0.218インチの水平面534bとを有する。
図6Aは、13列のガス孔を備えた内側電極504のプラズマ露出面530を示す正面図である。図6Bは、13列のガス孔と六個のピン孔520とを備えた上面522の正面図である。図6Cは、プラズマ露出面に最も近い内側段差部と、上面に最も近い外側段差部とを含む、内側電極の側面図である。図6Dは、図6Cの詳細部Dの拡大図であり、上面522の外縁部、下面530の外縁部、及び水平面及び垂直面532a、532b、534a、534bと互いの角部及び上面及び下面522、530との遷移部に六個の丸みを帯びた角部(例えば、半径0.025インチの丸みを付ける)が設けられた内側及び外側段差部を示す。
外側電極502は、ガードリング508を支持する外側段差部536と、クランプリング及び内側電極の内側段差部の上に位置する内側段差部538と、受け板506の下面に係合する上面(装着面)540と、内側テーパ面544、水平面546、及び外側テーパ面548を含む下面(プラズマ露出段付き面)542と、ロッキングピンが装着される上面540内の八個のポケット550とを含む。
図7A乃至7Gは、外側電極の様々な図を示す。図7Aは、外側電極502のプラズマ露出面542の平面図であり、図7Bは、外側電極の側面図である。外側電極は、プラズマ露出面542を形成する、内側及び外側テーパ面544、548と、その間の水平面546とを有する環状リングである。外側電極は、好ましくは、高純度低抵抗率の単結晶シリコン又は高純度多結晶シリコンの単一部品である。或いは、外側電極は、高純度の単結晶又は多結晶シリコンのセグメントにより構成することができる。図7Cは、外側電極全体の断面を示し、図7Dは、図7Cの詳細部Dを引き伸ばしたものを示す。好適な実施形態において、外側電極は、約17インチの外径、及び約12.024インチの内径を有する。水平面546は、その内径約12.552インチから、その外径約15.97インチまで、半径方向に約1.709インチ延びる。外側電極の上面は、内側段差部538及び外側段差部536を、その間に延びる環状水平装着面552と共に含む。装着面552は、約13.655インチの内径、及び約16.752インチの外径を有する。図7Cには、ロッキングピンを収容する八個のポケット550のうち二個が図示されている。
図7Dは、テーパ面が水平プラズマ露出面546に対して20乃至40度の角度を成す、外側電極502の内側及び外側テーパ面544、548と内側及び外側段差部536、538との好適な実施形態の詳細を示す。更に好ましくは、内側テーパ面544は、34.3度の角度であり、外側テーパ面548は、24.9度の角度である。内側段差部538は、高さ約0.145インチの垂直面538aと、半径方向に約0.825インチ延びる水平面538bとを含む。外側段差部536は、高さ0.076インチの垂直面536aと、半径方向に約0.124インチだけ 延びる水平面536bとを含む。内側段差部538と内側テーパ面548との間の内側垂直面538cは、約0.115インチの高さを有する。外側段差部536と外側テーパ面544との間の外側垂直面536cは、約0.125インチの高さを有する。ロッキングピン用のポケット550は、深さ約0.325インチであり、外側電極の合計厚さは、約0.440インチである。ポケットは、ロッキングピンのネジ付きの装着基部(図2乃至3において説明したソケット213)を収容する幅0.5インチのネジ付きの孔にすることができる。ポケットの入口にはテーパを含めることが可能であり、ポケットの底部には、最大0.040インチの長さを有する、ネジ山の無いネジ逃がし区域を含めることができる。内側及び外側段差部と、内側及び外側テーパ面の全ての角部には丸みが付いている(例えば、半径0.025インチの丸みを付ける)。
図7Eは、ポケットの中心が約15.244インチ離れた状態にある、八本のロッキングピンを収容する八個のポケット550の位置を示す外側電極502の上面540の平面図である。更に、約0.025インチの直径を有し、約0.07インチ離れて七列に配置された37個の孔552(マノメータ等の真空圧感知機器と連通する)の集合を示しており、約0.480インチの半径に沿って僅かに内側段差部内へ延びる装着面540の一部において、六角形の孔のパターンを形成している。直径約0.116インチ、深さ0.220インチの三個の整合ピン孔554は、装着面の外周に近い位置において120度の間隔を空けている。図7Fは、上面を示す斜視図であり、図7Gは、外側電極502の下面を示す斜視図である。
クランプリング516は、受け板506内にボルト留めされ、高硬度のポリマ圧縮リング518を圧迫する。ポリマリング518は、内側電極504の外側段差部534を圧迫し、外側電極502の内側段差部538は、クランプリング516上に密着すると共に、内部電極の内側段差部534に嵌合して、内側テーパ面544が内側電極504の平坦露出面から伸びる状態となる。外側電極の装着面540は、受け板内の八個のカムロックにより保持された八本のロッキングピンが及ぼすクランプ力の結果として、受け板506の対向面に隣接する。ガードリング508は、受け板506の装着孔を覆い、ガードリングのアクセス開口部524は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arion(登録商標)等の耐プラズマ性のポリマ材料、又は低摩擦係数及び低粒子脱落性を有するフッ素重合体、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、及びポリエーテルエーテルケトン(PEEK)等の他の材料により作成された取り外し可能な挿入部571により埋められる。
図5を参照すると、受け板506と内側電極504との間の電気的接触は、一つ以上の熱界面材料(TIM)及び/又はQパッド556により提供され、これらは内側電極の外周と、中央整合ピン及び外側Qパッド間の一つ以上の位置とに配置される。こうしたTIMは、電導性材料を含有した高分子積層体のリングにすることが可能であり、例えば、Geltecの界面材料COH−4000、COH−2003、RE−10、COH−1002、DP−100、DP−200、又はDP−300を、0.5mm、1.0mm、2.0mm、又は3.0mの厚さで使用可能であり、好ましくは、厚さ0.012又は0.020インチ、幅0.31インチとなる。例えば、TIMリング又はQパッドは、内側電極の中心から約2インチ及び6インチの距離に配置することができる。異なる処理ガス混合物及び/又は流量を提供するためには、一つ以上の任意のガス分割シールを、中心整合ピンと外側Qパッドとの間に設けることができる。例えば、内側電極504と受け板506との間において、単一のOリングを、内側及び外側Qパッド間の位置に設け、内側ガス分布区域を外側ガス分布区域から分離することができる。外側Qパッドの内周に沿って、内側電極504と受け板506との間に位置するOリング558は、内側電極と受け板との間にガス及び粒子シールを提供することができる。
図8A乃至8Dは、0.419インチの厚さ、約13.575インチの外径、約12.590インチの内径を有し且つ内径から約0.212インチ延びるフランジを有するクランプリング516の詳細を示す。クランプリング516は、内側電極504の外側段差部534と接合する、半径方向内側に延びるフランジ564を含む。クランプリングの上面及び下面を通過する12個の段付き孔566は、受け板506内のネジ付き開口部にねじ入れるネジ、ボルト、又は他の適切な機械的締結要素等の留め具を受け入れる。フランジ564と内側電極504の対向面との間の圧縮リング518は、ネジの締め付け時に生じるAl受け板による摩耗から内側電極を保護する。リングは、好ましくは、厚さ約0.031インチ、幅0.071インチの寸法を有する矩形断面のCIRLEX製となる。フランジ564は、圧縮リング518を収容するための矩形溝を含むことができる。例えば、溝は、幅約0.10インチ、深さ約0.01インチにすることができる。
図8Aは、クランプリング516の側面図であり、図8Bは、均等に間隔を空けた孔566を示す底面図である。図8Cは、図8Aの詳細部Cにおけるクランプリングの断面図であり、図8Dは、図8Bの詳細部Dにおけるクランプリングの平面図である。図8B及び8Dにおいて確認できるように、段付き孔566の大部分は、多角形となっており、ネジの頭部に対向する鋸歯を有する多角形ロックワッシャの回転を防止し、シャワーヘッド電極組立体の温度サイクル中にネジの緩みを抑制する。クランプリングは、受け板506から延びるネジジャッキ570の下部シャフトを収容するために開口部568を含むことができる。ネジジャッキのフランジは、クランプリングとは反対側の窪みに収容される。そのため、内側電極の取り付け中、ネジジャッキは、クランプリングを受け板に整合させることを容易にする。分解のためには、上述したように、三個の均等に間隔を空けたネジジャッキを使用して、受け板506から内側電極を取り除くことができる。
上述したように、クランプリング516は、クランプリングを受け板506に対して保持する留め具が熱膨張及び収縮の差により緩むことを防止するために、ロックワッシャを含むことができる。例えば、ロックワッシャは、一方の側に放射状の溝、反対側に一連のテーパ付き段差部を有する上部及び下部用の半ワッシャを備え、テーパ面が互いに向かい合うようにネジに密着させ、ネジの締め付け中に溝付きの上面及び下面を互いに平行に維持する。熱膨張及び収縮の差は、チャンバ内での個々のウェーハの連続処理中の留め具(例えば、ネジ又はボルト)の脱落につながる恐れがある。クランプリングは、少なくとも500RF時間(ウェーハ処理中にプラズマがチャンバ内で生成される時間)に渡って内側電極を十分にクランプすることが望ましい。プラズマ処理時間がウェーハ当たり300秒であるとすると、500RF時間では、6000枚のウェーハの処理が可能となる。
熱膨張差を補うために、クランプリング516は、温度サイクル中に実質的に一定のクランプリング直径を維持するために円周方向に縮む熱膨張領域577を組み込むことにより、膨張による熱負荷を吸収するように設計することができる。適切なクランプリングの設計は、出典を明記することによりその全体を本願明細書の一部とした本願権利者所有の米国特許第6,200,415号において説明されている。
他の最適なクランプリング設計は、図9A乃至9Fに例示されており、図9Aは、クランプリング576の側面図であり、図9Bは、図9Aの詳細部Bの特徴を示し、図9Cは、スロット578により形成された熱膨張領域577の特徴を示す、クランプリングの部分上面図であり、図9Dは、図9Aに示したリングの上面図であり、図9Eは、図9Dの詳細部Eの特徴を示し、図9Fは、図9Dの詳細部Fの特徴を示す。
図9Aに示したように、クランプリング576は、半径方向に延びるスロット578の形態で熱膨張領域577を含むことができる。好ましくは、スロット578は、隣り合ったスロットがクランプリングの内周又は外周から延びたスロットの集合として配置される。例えば、スロットの各集合は、一つ以上のU字形膨張/収縮領域577を形成する二本以上のスロットを含むことができる(例えば、三本のスロットが一つのU字形領域を形成し、五本のスロットが二つのU字形領域を形成する)。五本のスロットの実施形態では、三本のスロット578aは、外周576aから内側に延び、二本のスロット578bは、内周576bから外側へ延び、外側へ延びるスロットが内側へ延びるスロットの間に位置する状態となる。各スロットは、内周又は外周に近い円形端壁578cで終了させることができる。例えば、内側へ延びるスロットは、円形端壁が内周までの距離の1/2以内に位置するようにクランプリングの幅の50%を超えて延ばすことができる。好ましくは、スロットは、クランプリングの幅の75%を超えて、より好ましくは80%を超えて、最も好ましくは90%を超えて延びる。
300mmウェーハを処理するために、内側電極は、12乃至13インチの直径を有し、クランプリングは、僅かに大きな直径を、内側へ延びるフランジ580と共に有して、内側電極504の外側段差部534と係合する。クランプリング576は、スロットの集合を少なくとも四組、好ましくはスロットの集合を少なくとも八組、更に好ましくはスロットの集合を少なくとも16組、最も好ましくはスロットの集合を少なくとも24組含むことができる。好適な実施形態において、スロットの幅は、約0.03乃至0.1インチ、好ましくは、0.05乃至0.09インチ、最も好ましくは、0.06乃至0.08インチであり、円形端壁は、スロット幅より大きな直径を有する。クランピング留め具を受け入れる各段付き孔582は、各スロット集合間に配置可能であり、或いは、使用される留め具がスロットの集合の数より少ない場合、段付き孔582は、一つおきのスロット集合間に配置すること、或いは他の任意の望ましい配置にすることができる。
留め具の脱落を防止するために、留め具のシャフトは、上述したペアの半ロックワッシャ等のロックワッシャを含むことができる。例えば、段付き孔の大部分の対応形状により回転しなくなる一個以上のロックワッシャを使用して、留め具頭部の裏側と係合させて、クランプリングの温度サイクル中に留め具の回転を防止することができる。
図10A乃至10Cは、受け板506の詳細を示しており、図10Aは、受け板の上面584の平面図であり、図10Bは、受け板の下面586の平面図であり、図10Cは、二個のカムロック用の孔560を横断する位置における横断面図である。
受け板506の上面584は、受け板を取り付けるために熱制御板510を介して延びる留め具を受領するためのネジ付き開口部を含む環状領域588において、熱制御板510上の三本の環状突起511(図5A参照)と係合する。追加のネジ付き開口部は、上面の周辺部に配置され、追加の留め具を受領する。13列の孔590は、内側電極のガス孔と整合するように、上面及び下面間に延びる。孔590は、内側電極のガス通路より大きく、熱膨張差を補いつつ、整合性を維持する。熱膨張に対応する孔サイズの詳細は、出典を明記することによりその開示内容を本願明細書の一部とする、本発明の譲受人に譲渡された米国特許出願公開第2008/0141941号において開示されている。熱界面パッドを突起511と領域588との間に介在させ、熱及び電気伝導性を高めることが好ましい。チャンバの真空圧をモニタするために、外側電極の孔552に一致する孔592の集合を、Oリング等のガスシールを収容する溝594の内側に配置する。
下面586は、Qパッド等の熱界面材料を受け板と内側電極との間に介在させる環状領域596を含む。ガスシール(Oリング)を収容する溝598は、ガス孔590を中央区域と外側環状区域とに分離する。整合ピン孔600は、内側及び外側電極から上方へ延びる整合ピンを収容するサイズとし、中心ピン孔から遠くに位置する整合孔は、電極と受け板との間の熱膨張及び収縮の差に対応するために拡大又は延長される。下面は、外側電極から上方に延びるロッキングピン562を収容する、八個の垂直に延びる段付き孔602を含む。水平に延びる孔604は、上述した円筒カムロック514を収容する。
以上、具体的な実施形態を参照して本発明を詳細に説明してきたが、添付の特許請求の範囲から逸脱することなく、様々な変更及び変形の実施及び等価物の利用が可能であることは、当業者には明らかであろう。例えば、本発明は、以下の適用例として実現することができる。
[適用例1]
シャワーヘッド電極が容量結合プラズマ処理チャンバの上部電極に含まれるシャワーヘッド電極組立体であって、
上面と下面との間に延びるガス通路を有する受け板と、
内側に延びるフランジと、前記受け板の前記下面内のネジ付き開口部と係合する留め具を受け入れるように構成された段付き孔とを有するクランプリングと、
下面にプラズマ露出面を有し、上面に装着面を有する円形プレートを含む前記シャワーヘッド電極であって、前記内面が前記プレートの外周に、前記クランプリングの前記内側に延びるフランジと接合するように構成された段差部を含み、前記プレートは前記受け板の前記ガス通路に一致するパターンで配置された処理ガス出口を含む、前記シャワーヘッド電極と、
前記クランプリングフランジと前記シャワーヘッド電極の前記段差部との対向面間にある圧縮リングと、
前記受け板の前記下面内に装着され、前記内側電極の分解中に、前記内側電極の前記上面に対してその環状フランジを移動させて、前記内側電極を前記受け板から分離するために回転可能であるネジジャッキと、を備えるシャワーヘッド電極。
[適用例2]
前記ネジジャッキは、前記受け板の前記下面内のネジ付き開口部に係合する上部ネジ付きシャフトと、前記ネジジャッキのそれぞれを回転させる工具と係合するための鍵付き開口部を有する下部シャフトとを含み、前記電極組立体は、更に、前記クランプリングを前記受け板に対して保持する前記留め具を受け入れる開口部及び前記上部シャフトを受け入れる開口部を有し且つ前記環状フランジのそれぞれと前記受け板との対向面間に配置された上部ポリマリングと、前記下部シャフトを取り囲み、前記環状フランジのそれぞれと前記シャワーヘッド電極との対向面間に配置されたポリマワッシャとを備える、適用例1記載のシャワーヘッド電極組立体。
[適用例3]
前記クランプリングは、前記クランプリングの熱膨張に対応するために熱膨張領域を含む、適用例1記載のシャワーヘッド電極組立体。
[適用例4]
前記熱膨張領域は、前記クランプリングの外周から延びる内向きスロットと、前記クランプリングの内周から延びる外向きスロットとを含む、適用例3記載のシャワーヘッド電極組立体。
[適用例5]
前記スロットは、交互に並んだ内向き及び外向きスロットの集合として配置され、スロットの各集合は、前記クランプリングの前記内周から延び、円形端壁を終端とする二本の外向きスロットと、前記クランプリングの前記外周から延び、円形端壁を終端とする三本の内向きスロットとを含む、適用例4記載のシャワーヘッド電極組立体。
[適用例6]
更に、前記受け板と前記シャワーヘッド電極との間の熱界面リング及びガスシールを備え、前記ガスシールは、前記ガス通路及び前記熱界面リングの外側に配置される、適用例1記載のシャワーヘッド電極組立体。
[適用例7]
更に、前記クランプリングの前記フランジと前記シャワーヘッド電極の前記外側段差部との間に圧縮リングを備える、適用例1記載のシャワーヘッド電極組立体。
[適用例8]
シャワーヘッド電極が容量結合プラズマ処理チャンバの上部電極に含まれるシャワーヘッド電極組立体において、内部電極を取り囲む外部電極であって、
内側及び外側傾斜面を含むプラズマ露出面を下面に有し、上部内側段差部と上部外側段差部との間に平坦環状面を含む上面に装着面を有する環状プレートと、
前記シャワーヘッド電極組立体の受け板に対して前記外側電極をクランプすることに適したロッキングピンを収容するように構成された、前記平坦環状面内にある複数の円周方向に間隔を空けたポケットと、を備える外側電極。
[適用例9]
更に、前記受け板内へ延びる整合ピンと整合するように構成された整合ピン孔を前記上面内に備え、前記外側上部段差部は、前記シャワーヘッド電極組立体のガードリングを支持して、前記ガードリングの外面が前記外側電極の前記外面と同一平面になるように構成される、適用例8記載の外側電極。
[適用例10]
更に、前記チャンバ内の真空圧測定値を提供するマノメータユニットとの連係に適したガス孔を前記下面の平坦環状面内に備え、前記平坦環状面は、前記内側及び外側傾斜面間に延び、前記外側傾斜面は、前記平坦環状面と30度未満の角度を成し、前記内側傾斜面は、前記平坦環状面と30度より大きな角度を成す、適用例8記載の外側電極。
[適用例11]
容量結合プラズマ処理チャンバのシャワーヘッド電極組立体であって、
前記プラズマ処理チャンバの温度制御された頂壁に支持され、プラズマ処理チャンバでの処理対象であるウェーハより大きな直径を有し且つ少なくとも一つのガスプレナムを下面に含む熱制御板と、
前記熱制御板に支持された受け板であって、前記熱制御板より小さな直径と、内部を垂直に延びて前記少なくとも一つのガスプレナムと連通するガス通路と、前記受け板の外周へ水平に延びる孔内の回転可能なカムロックとを有する受け板と、
前記受け板を取り囲むガードリングであって、水平に延びて前記ガードリングを通過する少なくとも一本のアクセス孔を含み、前記カムロックの少なくとも一つに前記アクセス孔を整合させるために、前記受け板の周囲を回転させることが可能なガードリングと、
内部を垂直に延びて前記受け板内の前記ガス通路と流体連通するガス通路を有する内側電極であって、前記内側電極の外周が内側及び外側段差部を含み、前記外側段差部が前記内側段差部と前記受け板に対向する前記内側電極の表面との間に配置された内側電極と、
任意の圧縮リングを間に挟んだ状態で前記内側電極の前記外側段差部の上に位置する内側フランジを有し且つ垂直に延びる段付き開口部を含むクランプリングであって、前記段付き開口部は、前記受け板内のネジ孔と整合しており、前記段付き開口部内の留め具が前記クランプリングを前記受け板に取り付けるクランプリングと、
前記内側電極を取り囲み、前記カムロックに係合する垂直に延びたロッキングピンを含み、前記ガードリングを支持し、前記ロッキングピンを前記カムロックから解放することにより取り外し可能となる外側電極と、を備えるシャワーヘッド電極組立体。
[適用例12]
前記受け板は、前記外側電極内の前記ロッキングピンと整合した垂直に延びる孔と、前記軸線方向に延びる孔と連通する水平に延びる孔とを含み、前記カムロックは、前記水平に延びる孔内に装着された回転可能なカムシャフトを含み、前記ロッキングピンは、その自由端部の拡大した頭部と、ソケット内に配置された前記ピンの基部とを備えたシャフトを含み、前記カムシャフトは、前記外側電極を前記受け板に対して機械的にクランプするために、前記ロッキングピンの前記頭部を係合することに適したカム表面を含む、適用例11記載の電極組立体。
[適用例13]
前記ロッキングピンは、前記受け板及び前記外側電極の熱膨張差に対応するために前記ソケット内において垂直方向及び横方向へ移動可能である、適用例12記載の電極組立体。
[適用例14]
前記クランプリングは、前記クランプリングの熱膨張に対応するために熱膨張領域を含む、適用例11記載の電極組立体。
[適用例15]
前記内側電極は、単結晶シリコンのプレートであり、前記受け板は、アルミニウム合金のプレートである、適用例11記載の電極組立体。
[適用例16]
更に、前記受け板の前記下面に装着されたネジジャッキを備え、前記ネジジャッキは、前記内側電極の分解中に、前記内側電極の前記上面に対して前記ネジジャッキのフランジを移動させ、前記内側電極を前記受け板から分離するために回転可能である、適用例11記載の電極組立体。
[適用例17]
前記熱制御板は、前記受け板内の前記ガス通路と連通したガスプレナムを画成する下面上の環状突起を含み、少なくとも二本の熱界面リングが、前記内側電極と前記受け板との間に存在する、適用例11記載の電極組立体。
[適用例18]
更に、前記ガス通路及び最も外側の前記熱界面リングの外側に配置された、前記受け板と前記シャワーヘッド電極との間のガスシールを備える、適用例17記載の電極組立体。
[適用例19]
適用例11のシャワーヘッド電極組立体に含まれた上部電極と、下部電極とを有する容量結合プラズマチャンバにおいて半導体基板を処理する方法であって、
前記下部電極上において前記半導体基板を支持するステップと、
前記チャンバに処理ガスを供給するステップと、
前記上部及び下部電極間に高周波エネルギを供給することにより、前記処理ガスを励起してプラズマ状態にするステップと、
前記プラズマにより前記半導体基板を処理するステップと、を備える方法。
[適用例20]
前記半導体基板は、半導体ウェーハを含み、前記処理するステップは、前記プラズマにより前記半導体ウェーハをエッチングするステップを含む、適用例19記載の方法。
[適用例21]
適用例11記載の電極組立体を分解する方法であって、前記ガードリング内のアクセス孔内の挿入物を除去するステップと、前記アクセス孔がカムロックの第一のグループと整合する第一の位置へ、前記ガードリングを回転させるステップと、前記カムロックの第一のグループにより保持されたロッキングピンを解放するために前記カムロックを回転させるステップと、前記アクセス孔がカムロックの第二のグループと整合する第二の位置へ、前記ガードリングを回転させるステップと、前記カムロックの第二のグループにより保持されたロッキングピンを解放し、これにより前記受け板から前記外側電極を解放するために、前記カムロックの第二のグループを回転させるステップと、前記クランプリング内の留め具を取り外し、これにより前記受け板から前記クランプリング及び内側電極を解放するステップとを備える方法。

Claims (14)

  1. シャワーヘッド電極が容量結合プラズマ処理チャンバの上部電極に含まれるシャワーヘッド電極組立体において、内部電極を取り囲む外側電極であって、
    内側及び外側傾斜面を含むプラズマ露出面を下面に有し、上部内側段差部と上部外側段差部との間に平坦環状面を含む上面に装着面を有する環状プレートと、
    前記シャワーヘッド電極組立体の受け板に対して前記外側電極をクランプすることに適したロッキングピンを収容するように構成された、前記平坦環状面内にある複数の円周方向に間隔を空けたポケットと、
    を備え
    更に、前記受け板内へ延びる整合ピンと整合するように構成された整合ピン孔を前記上面内に備え、前記上部外側段差部は、前記シャワーヘッド電極組立体のガードリングを支持して、前記ガードリングの径方向外面が前記外側電極の径方向外面と同一平面になるように構成される外側電極。
  2. 更に、前記チャンバ内の真空圧測定値を提供するマノメータユニットとの連係に適したガス孔を前記下面の平坦環状面内に備え、前記平坦環状面は、前記内側及び外側傾斜面間に延び、前記外側傾斜面は、前記平坦環状面と30度未満の角度を成し、前記内側傾斜面は、前記平坦環状面と30度より大きな角度を成す請求項1記載の外側電極。
  3. シャワーヘッド電極が容量結合プラズマ処理チャンバの上部電極に含まれるシャワーヘッド電極組立体において、内部電極を取り囲む外側電極であって、
    内側及び外側傾斜面を含むプラズマ露出面を下面に有し、上部内側段差部と上部外側段差部との間に平坦環状面を含む上面に装着面を有する環状プレートと、
    前記シャワーヘッド電極組立体の受け板に対して前記外側電極をクランプすることに適したロッキングピンを収容するように構成された、前記平坦環状面内にある複数の円周方向に間隔を空けたポケットと、
    を備え、
    更に、前記チャンバ内の真空圧測定値を提供するマノメータユニットとの連係に適したガス孔を前記下面の平坦環状面内に備え、前記平坦環状面は、前記内側及び外側傾斜面間に延び、前記外側傾斜面は、前記平坦環状面と30度未満の角度を成し、前記内側傾斜面は、前記平坦環状面と30度より大きな角度を成す外側電極。
  4. 容量結合プラズマ処理チャンバのシャワーヘッド電極組立体であって、
    前記プラズマ処理チャンバの温度制御された頂壁に支持され、プラズマ処理チャンバでの処理対象であるウェーハより大きな直径を有し且つ少なくとも一つのガスプレナムを下面に含む熱制御板と、
    前記熱制御板に支持された受け板であって、前記熱制御板より小さな直径と、内部を垂直に延びて前記少なくとも一つのガスプレナムと連通するガス通路と、前記受け板の外周へ水平に延びる孔内の回転可能なカムロックとを有する受け板と、
    前記受け板を取り囲むガードリングであって、水平に延びて前記ガードリングを通過する少なくとも一本のアクセス孔を含み、前記カムロックの少なくとも一つに前記アクセス孔を整合させるために、前記受け板の周囲を回転させることが可能なガードリングと、
    内部を垂直に延びて前記受け板内の前記ガス通路と流体連通するガス通路を有する内側電極であって、前記内側電極の外周が内側及び外側段差部を含み、前記外側段差部が前記内側段差部と前記受け板に対向する前記内側電極の表面との間に配置された内側電極と、
    任意の圧縮リングを間に挟んだ状態で内側電極の段差部の一つの平面と当接する内側フランジを有し且つ垂直に延びる段付き開口部を含むクランプリングであって、前記段付き開口部は、前記受け板内のネジ孔と整合しており、前記段付き開口部内の留め具が前記クランプリングを前記受け板に取り付けるクランプリングと、
    前記内側電極を取り囲み、前記カムロックに係合する垂直に延びたロッキングピンを含み、前記ガードリングを支持し、前記ロッキングピンを前記カムロックから解放することにより取り外し可能となる外側電極と、
    を備えるシャワーヘッド電極組立体。
  5. 前記受け板は、前記外側電極内の前記ロッキングピンと整合した垂直に延びる孔を含み、前記垂直に延びる孔は前記水平に延びる孔と連通しており、前記カムロックは、前記水平に延びる孔内に装着された回転可能なカムシャフトを含み、前記ロッキングピンは、その自由端部の拡大した頭部と、ソケット内に配置された前記ピンの基部とを備えたシャフトを含み、前記カムシャフトは、前記外側電極を前記受け板に対して機械的にクランプするために、前記ロッキングピンの前記頭部を係合することに適したカム表面を含む請求項4記載の電極組立体。
  6. 前記ロッキングピンは、前記受け板及び前記外側電極の熱膨張差に対応するために前記ソケット内において垂直方向及び横方向へ移動可能である請求項5記載の電極組立体。
  7. 前記クランプリングは、前記クランプリングの熱膨張に対応するために熱膨張領域を含む請求項4記載の電極組立体。
  8. 前記内側電極は、単結晶シリコンのプレートであり、前記受け板は、アルミニウム合金のプレートである請求項4記載の電極組立体。
  9. 更に、前記受け板の前記下面に装着されたネジジャッキを備え、前記ネジジャッキは、前記内側電極の分解中に、前記内側電極の前記上面に対して前記ネジジャッキのフランジを移動させ、前記内側電極を前記受け板から分離するために回転可能である請求項4記載の電極組立体。
  10. 前記熱制御板は、前記受け板内の前記ガス通路と連通したガスプレナムを画成する下面上の環状突起を含み、少なくとも二本の熱界面リングが、前記内側電極と前記受け板との間に存在する請求項4記載の電極組立体。
  11. 更に、前記ガス通路及び最も外側の前記熱界面リングの外側に配置された、前記受け板と前記シャワーヘッド電極との間のガスシールを備える請求項10記載の電極組立体。
  12. 請求項4のシャワーヘッド電極組立体に含まれた上部電極と、下部電極とを有する容量結合プラズマチャンバにおいて半導体基板を処理する方法であって、
    前記下部電極上において前記半導体基板を支持するステップと、
    前記チャンバに処理ガスを供給するステップと、
    前記上部及び下部電極間に高周波エネルギを供給することにより、前記処理ガスを励起してプラズマ状態にするステップと、
    前記プラズマにより前記半導体基板を処理するステップと、
    を備える方法。
  13. 前記半導体基板は、半導体ウェーハを含み、前記処理するステップは、前記プラズマにより前記半導体ウェーハをエッチングするステップを含む請求項12記載の方法。
  14. 請求項4記載の電極組立体を分解する方法であって、
    前記ガードリング内のアクセス孔内の挿入物を除去するステップと、
    前記アクセス孔がカムロックの第一のグループと整合する第一の位置へ、前記ガードリングを回転させるステップと、
    前記カムロックの第一のグループにより保持されたロッキングピンを解放するために前記カムロックを回転させるステップと、
    前記アクセス孔がカムロックの第二のグループと整合する第二の位置へ、前記ガードリングを回転させるステップと、
    前記カムロックの第二のグループにより保持されたロッキングピンを解放し、これにより前記受け板から前記外側電極を解放するために、前記カムロックの第二のグループを回転させるステップと、
    前記クランプリング内の留め具を取り外し、これにより前記受け板から前記クランプリング及び内側電極を解放するステップと
    を備える方法。
JP2011517410A 2008-07-07 2009-07-06 クランプ式シャワーヘッド電極組立体 Active JP5615813B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,526 2008-07-07
US12/216,526 US8161906B2 (en) 2008-07-07 2008-07-07 Clamped showerhead electrode assembly
PCT/US2009/003953 WO2010005541A2 (en) 2008-07-07 2009-07-06 Clamped showerhead electrode assembly

Publications (3)

Publication Number Publication Date
JP2011527520A JP2011527520A (ja) 2011-10-27
JP2011527520A5 JP2011527520A5 (ja) 2012-08-23
JP5615813B2 true JP5615813B2 (ja) 2014-10-29

Family

ID=41464712

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011517410A Active JP5615813B2 (ja) 2008-07-07 2009-07-06 クランプ式シャワーヘッド電極組立体

Country Status (7)

Country Link
US (2) US8161906B2 (ja)
EP (1) EP2301309B1 (ja)
JP (1) JP5615813B2 (ja)
KR (1) KR101168847B1 (ja)
CN (1) CN102084726B (ja)
TW (2) TWI504317B (ja)
WO (1) WO2010005541A2 (ja)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
TWI455239B (zh) * 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009239067A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd 結合部材およびプラズマ処理装置
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
TWI368543B (en) * 2009-01-12 2012-07-21 Inotera Memories Inc A showerhead clean rack and a ultrasonic cleaning method thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101050463B1 (ko) * 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) * 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
CN104952678A (zh) * 2014-03-28 2015-09-30 中微半导体设备(上海)有限公司 用于等离子体处理的电极组件及其制造方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101593086B1 (ko) * 2015-05-06 2016-02-11 하나머티리얼즈(주) 원터치 공정 가스 분사체 조립체
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10460978B2 (en) * 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
KR102496053B1 (ko) * 2021-05-13 2023-02-07 플라텍(주) 체결용 조립체
KR102492702B1 (ko) * 2021-05-13 2023-01-31 플라텍(주) 체결용 조립체

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3456549A (en) * 1967-10-10 1969-07-22 Herbert C Horton Hexagonal t-nuts
US4324036A (en) * 1979-06-04 1982-04-13 Quanta Chemical Ltd. "Method of making orthodontic screw-type device"
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) * 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) * 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) * 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6558506B1 (en) * 1999-02-01 2003-05-06 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
AUPQ270599A0 (en) * 1999-09-08 1999-09-30 Varian Australia Pty Ltd Spectrophotometer apparatus and phosphorescence measurement
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) * 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
CN1327493C (zh) * 2000-05-17 2007-07-18 东京毅力科创株式会社 处理装置部件的装配机构及其装配方法
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
KR100472410B1 (ko) * 2002-03-29 2005-03-10 삼성전자주식회사 반도체 기판을 가공하기 위한 전극 조립체 및 이를 갖는가공장치
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) * 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101508026B1 (ko) * 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극

Also Published As

Publication number Publication date
KR101168847B1 (ko) 2012-07-30
US8161906B2 (en) 2012-04-24
US8313805B2 (en) 2012-11-20
CN102084726A (zh) 2011-06-01
US20100003824A1 (en) 2010-01-07
EP2301309A2 (en) 2011-03-30
WO2010005541A3 (en) 2010-04-22
TWM402580U (en) 2011-04-21
TWI504317B (zh) 2015-10-11
JP2011527520A (ja) 2011-10-27
EP2301309A4 (en) 2013-07-31
EP2301309B1 (en) 2015-05-06
CN102084726B (zh) 2014-10-08
TW201018321A (en) 2010-05-01
WO2010005541A2 (en) 2010-01-14
US20120171872A1 (en) 2012-07-05
KR20110016489A (ko) 2011-02-17

Similar Documents

Publication Publication Date Title
JP5615813B2 (ja) クランプ式シャワーヘッド電極組立体
US8796153B2 (en) Clamped monolithic showerhead electrode
JP3167751U (ja) クランプ式モノリシックシャワーヘッド電極
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US8573152B2 (en) Showerhead electrode
KR200481236Y1 (ko) 캠-로킹된 샤워헤드 전극 및 어셈블리
KR20090080520A (ko) 석영 가드 링 센터링 피쳐들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120704

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130702

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140609

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140826

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140910

R150 Certificate of patent or registration of utility model

Ref document number: 5615813

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250