KR20110016489A - 클램핑된 샤워헤드 전극 어셈블리 - Google Patents

클램핑된 샤워헤드 전극 어셈블리 Download PDF

Info

Publication number
KR20110016489A
KR20110016489A KR1020117000367A KR20117000367A KR20110016489A KR 20110016489 A KR20110016489 A KR 20110016489A KR 1020117000367 A KR1020117000367 A KR 1020117000367A KR 20117000367 A KR20117000367 A KR 20117000367A KR 20110016489 A KR20110016489 A KR 20110016489A
Authority
KR
South Korea
Prior art keywords
electrode
backing plate
ring
plate
clamp ring
Prior art date
Application number
KR1020117000367A
Other languages
English (en)
Other versions
KR101168847B1 (ko
Inventor
바바크 카드코다얀
라진더 딘드사
라 렐라 안토니 데
마이클 씨 켈로그
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110016489A publication Critical patent/KR20110016489A/ko
Application granted granted Critical
Publication of KR101168847B1 publication Critical patent/KR101168847B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판 프로세싱에 사용되는 플라즈마 반응 챔버를 위한 전극 어셈블리. 어셈블리는 클램프 링에 의해 백킹 플레이트에 기계적으로 부착된 내부 전극 및 이격된 캠 록의 시리즈에 의해 백킹 플레이트에 부착된 외부 전극을 포함하는, 상부 샤워헤드 전극을 포함한다. 가드 링은 백킹 플레이트를 둘러싸고, 캠 록이 외부 전극의 상부면으로부터 위쪽 방향으로 연장하는 캠 핀을 릴리징하기 위한 툴과 함께 회전될 수 있도록 가드 링의 개구부가 백킹 플레이트의 개구부와 정렬되는 위치로 움직일 수 있다. 상이한 열 팽창을 보상하기 위해, 클램프 링은 클램프 링이 열 스트레스를 흡수하는 것을 허용하는 이격된 위치에서 팽창 연결부를 포함할 수 있다.

Description

클램핑된 샤워헤드 전극 어셈블리 {CLAMPED SHOWERHEAD ELECTRODE ASSEMBLY}
본 발명은 반도체 컴포넌트들이 제조될 수 있는 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리에 관한 것이다.
일 실시형태에 따르면, 샤워헤드 전극 어셈블리는 백킹 플레이트에 클램핑된 내부 전극 및 외부 전극을 포함하고, 샤워헤드 전극 어셈블리는 용량성 커플링된 플라즈마 프로세싱 챔버의 상부 전극을 포함한다. 내부 전극은, 그 하부면에 플라즈마 노출면을 갖고 그 상부면에 탑재면을 갖는 원형 플레이트로, 하부면은 플레이트의 외주부 (outer periphery) 에서 내부 단차 및 외부 단차를 포함한다. 내부 단차는 외부 단차보다 작은 직경을 갖고, 외부 단차는 내부 단차와 탑재면 사이에 위치한다. 외부 단차는 클램프 링의 내부로 연장하는 플랜지와 끼워 맞도록 구성되고, 내부 단차는 외부 전극의 내부 단차와 끼워 맞도록 구성되며, 외부 전극의 내부 테이퍼링면 (tapered surface) 은 플라즈마 노출면의 외부 에지로부터 연장되도록 하여 외부전극은 내부 전극을 둘러싼다. 탑재면은, 백킹 플레이트 내의 정렬 핀 홀에 매칭하는 패턴으로 배열된 정렬 핀을 수용하도록 구성된 복수 개의 정렬 핀 리세스 (alignment pin recess) 를 포함하고, 이 때, 플레이트는 클램프 링에 의해 지지되고, 백킹 플레이트 내의 가스 공급 홀에 매칭하는 패턴으로 배열된 프로세스 가스 배출구 (outlet) 를 포함한다.
외부 전극은, 하부면에 플라즈마 노출면 및 상부면에 탑재면을 갖는 환상 플레이트를 포함하고, 상부면은 내부 단차와 외부 단차 사이에 평면 환상면 (planar annular surface) 을 포함하고, 플라즈마 노출면은 내부 경사면 및 외부 경사면을 포함한다. 평면 환상면 내의 복수 개의 원주방향으로 이격된 포켓은, 외부 전극을 백킹 플레이트에 클램핑하도록 구성된 로킹 핀 (locking pin) 을 그 포켓 내에 수용하도록 구성된다.
다른 실시형태에 따르면, 용량성 커플링된 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리는 열 제어 플레이트, 백킹 플레이트, 가드 링, 내부 전극, 외부 전극 및 클램프 링을 포함한다. 열 제어 플레이트는 플라즈마 프로세싱 챔버의 온도 제어된 벽에 의해 지지되고, 열 제어 플레이트는 플라즈마 프로세싱 챔버에서 프로세싱될 웨이퍼보다 큰 직경을 갖으며, 그 하부면에 환상 돌출부 (annular projection) 를 환상 돌출부 사이의 가스 플레넘 (gas plenum) 과 함께 포함한다. 백킹 플레이트는 열 제어 플레이트에 의해 지지되고, 열 제어 플레이트보다 작은 직경을 가지며, 가스 통로는 그 백킹 플레이트를 관통하고, 캠 록 (cam lock) 은 수평으로 연장하는 보어 (bore) 내에 있다. 가드 링은 백킹 플레이트를 둘러싸고, 백킹 플레이트 내의 액세스 보어 (access bore) 를 적어도 하나의 캠 록에 맞추어 정렬하도록 회전가능하다. 내부 전극은 백킹 플레이트 내의 가스 통로와 유체 소통하는 내부 전극을 관통하는 가스 통로를 갖고, 내부 전극의 외주부는 내부 단차 및 외부 단차를 가지며, 외부 단차는 내부 단차와 내부 전극의 백킹 플레이트를 마주보는 면 사이에 위치한다. 클램프 링은 내부 전극의 외부 단차 위에 놓인 내부 플랜지를 그 사이의 선택적인 (optional) 압축 링과 함께 가지고, 클램프 링은 수직으로 연장하는 단차부가 형성된 개구부 (stepped opening) 를 포함하고, 단차부가 형성된 개구부는 백킹 플레이트 내의 나사산이 있는 홀 (threaded hole) 에 맞추어 정렬되며, 단차부가 형성된 개구부의 패스너 (fastner) 는 클램프 링을 백킹 플레이트에 부착하고 내부 전극의 외부 단차에 압축적인 힘을 부여한다. 외부 전극은 내부 전극을 둘러싸고, 캠 록과 맞물리는 수직으로 연장하는 로킹 핀을 포함하며, 외부 전극은 가드 링을 지지하고, 로킹 핀을 캠 록으로부터 릴리징 (release) 함으로써 제거가능하다.
도 1 은 가드 링을 갖는 기판을 에칭하기 위한, 용량성 커플링된 플라즈마 리액터의 상부 전극을 형성하는 샤워헤드 전극 어셈블리의 단면도를 도시한다.
도 2a 는 도 1 에 나타난 리액터에서 외부 전극을 클램핑하기 위한 일 예시적인 캠 록의 3 차원 도면이다.
도 2b 는 도 2a 의 일 예시적인 캠 록 전극 클램프의 단면도이다.
도 3 은 도 2a 및 도 2b 의 캠 록 클램프에 사용되는 일 예시적인 스터드의 정면 및 조립도이다.
도 4a 는 도 2a 및 도 2b 의 캠 록 클램프에 사용되는 일 예시적인 캠샤프트의 정면 및 조립도이다.
도 4b 는 도 4a 의 캠샤프트의 일부분의 일 예시적인 커터-경로 에지의 단면도이다.
도 5a 는 백킹 플레이트에 기계적으로 클램핑된 내부 및 외부 전극을 갖는 샤워헤드 전극 어셈블리의 단면을 도시하고, 도 5b 는 상이한 위치에서의 샤워헤드 전극의 단면도를 도시하며, 도 5c 는 도 5b 의 일부분의 확대도이다.
도 6a 내지 6d 는 내부 전극의 상세도이다.
도 7a 내지 7g 는 외부 전극의 상세도이다.
도 8a 내지 8d 는 내부 전극을 클램핑하는 클램프 링의 상세도이다.
도 9a 내지 9f 는 열 팽창 영역을 갖는 클램프 링의 상세도이다.
도 10a 내지 10c 는 백킹 플레이트의 상세도이다.
집적 회로 칩의 제작은 통상적으로 "웨이퍼" 라고 불리는 (실리콘 또는 게르마늄과 같은) 고순도, 단결정 반도체 재료 기판의 얇고, 연마된 슬라이스에서 시작된다. 각 웨이퍼에는 그 웨이퍼 상에 다양한 회로 구조를 형성하는 물리적 및 화학적 프로세싱 단계들의 시퀀스가 실시된다. 제작 프로세스 동안, 실리콘 이산화물 막을 생성하기 위한 열 산화, 실리콘, 실리콘 이산화물, 및 실리콘 질화물 막을 생성하기 위한 화학 기상 증착, 및 다른 금속 막들을 생성하기 위한 스퍼터링 (sputtering) 또는 다른 기술들과 같은 다양한 기술을 이용하여, 다양한 타입의 얇은 막들이 웨이퍼 상에 증착될 수도 있다.
반도체 웨이퍼 상에 막을 증착한 후에, 도핑이라 불리는 프로세스를 이용하여 선택된 불순물을 반도체 결정 격자로 치환함으로써, 반도체의 고유한 전기적 특성이 생성된다. 그 후, 도핑된 실리콘 웨이퍼에는 "레지스트" 라고 불리는, 감광성, 또는 감방사선성 재료의 얇은 층이 균일하게 코팅될 수도 있다. 그 후, 리소그래피 (lithography) 로 알려진 프로세스를 이용하여, 회로 내의 전자 경로를 정하는 작은 기하학적 패턴이 그 레지스트 상에 전사될 수도 있다. 리소그래피 프로세스 동안, 집적 회로 패턴이 "마스크" 라 불리는 유리 플레이트 상에 묘화된 후 감광성 코팅 상에 광학적으로 축소, 투영 및 전사될 수도 있다.
그 후, 리소그래피된 레지스트 패턴은 에칭으로 알려진 프로세스를 통하여, 반도체 재료의 기저의 결정면 (underlying crystalline surface) 상에 전사된다. 진공 프로세싱 챔버는 일반적으로, 에칭 또는 증착 가스를 진공 챔버에 공급하고 그 가스에 무선 주파수 (RF) 필드를 인가하여 그 가스를 플라즈마 상태로 에너자이징함으로써, 기판 상의 재료의 에칭 및 화학 기상 증착 (CVD) 을 위해 사용된다.
반응성 이온 에칭 시스템은 통상적으로, 그 내부에 위치한, 상부 전극 또는 애노드 (anode) 및 하부 전극 또는 캐소드 (cathode) 를 갖는 에칭 챔버로 구성된다. 캐소드는 애노드 및 컨테이너 벽에 대하여 네거티브로 바이어싱된다. 에칭될 웨이퍼는 적절한 마스크에 의해 커버되고 캐소드 바로 위에 배치된다. CF4, CHF3, CClF3, HBr, Cl2 및 SF6 또는 이들의 O2, N2, He 또는 Ar 과의 혼합물과 같은 화학적 반응성 가스가 에칭 챔버 내로 도입되고 통상적으로 밀리토르 범위에 있는 압력으로 유지된다. 상부 전극에는 그 전극을 통하여 챔버 내로 가스가 균일하게 소산되는 것을 허용하는 가스 홀(들)이 제공된다. 애노드와 캐소드 사이에 확립되는 전계는 플라즈마를 형성하는 반응성 가스를 해리시킬 것이다. 웨이퍼의 표면은, 활성 이온과의 화학적 상호작용에 의해, 그리고 웨이퍼의 표면에 부딪치는 이온들의 운동량 전달 (momentum transfer) 에 의해 에칭된다. 전극들에 의해 생성되는 전계는 이온들을 캐소드로 끌어당겨, 그 이온들이 대부분 수직 방향으로 표면에 부딪치도록 하여, 프로세스는 명확하게 (well-defined) 수직으로 에칭된 측벽을 생성한다. 에칭 리액터 전극은 종종 기계적 컴플라이언트 (compliant) 및/또는 열 전도성 접착제로 2 개 이상의 다른 부재들을 본딩함으로써 제작될 수도 있어, 기능의 다양성을 허용한다.
도 1 은 기판을 에칭하기 위한 플라스마 프로세싱 시스템의 샤워헤드 전극 어셈블리 (100) 의 일부분의 단면도를 도시한다. 도 1 에서 도시한 바와 같이, 샤워헤드 전극 어셈블리 (100) 는 상부 전극 (110), 백킹 플레이트 (140), 및 가드 링 (170) 을 포함한다. 샤워헤드 전극 어셈블리 (100) 는 또한, 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싸는, 플라즈마 한정 (confinement) 어셈블리 (또는 웨이퍼 영역 압력 (wafer area pressure; WAP) 어셈블리) (180) 를 포함한다.
또한, 샤워헤드 전극 어셈블리 (100) 는 열 제어 부재 (102), 및 그 내부에 액체 플로우 채널을 가지며 챔버의 온도 제어된 벽을 형성하는 상부 플레이트 (104) 를 포함한다. 상부 전극 (110) 은 내부 전극 (120), 및 외부 전극 (130) 을 포함하는 것이 바람직하다. 내부 전극 (120) 은 원통형 플레이트인 것이 바람직하고, 단결정 실리콘, 다결정 실리콘, 실리콘 탄화물 또는 다른 적절한 재료와 같은, 전도성의 고순도 재료로 제조될 수도 있다. 백킹 플레이트 (140) 는 후술되는 기계적 패스너 (fastener) 를 이용하여 내부 전극 (120) 및 외부 전극 (130) 에 기계적으로 고정된다. 가드 링 (170) 은 백킹 플레이트 (140) 를 둘러싸고, 후술되는 바와 같이 캠 로킹 부재에 액세스를 제공한다.
도 1 에 도시한 바와 같이, 샤워헤드 전극 어셈블리 (100) 는 통상적으로, 상부 전극 (110) 하방의 1cm 내지 2cm 의 거리를 둔 웨이퍼를 지지하는, 편평한 하부 전극을 갖는 정전척 (electrostatic chuck) (미도시) 으로 사용된다. 이러한 플라즈마 프로세싱 시스템의 일 예는 캘리포니아주, 프레몬트 소재의 Lam Research Corporation 에 의해 제조된 ExelanTM 유전체 에칭 시스템과 같은, 평행 플레이트 타입 리액터이다. 이러한 척킹 장치는, 웨이퍼와 척 사이의 열 전달의 레이트를 제어하는, 후면측 헬륨 (He) 압력을 공급함으로써, 웨이퍼의 온도 제어를 제공한다.
상부 전극 (110) 은 주기적으로 교체되어야 하는 소모성 부품이다. 웨이퍼와 상부 전극 사이의 갭에 프로세스 가스를 공급하기 위해, 상부 전극 (110) 에는, 전극에 의해 에너자이징되고 상부 전극 (110) 바로 밑의 반응 구역에서 플라즈마를 형성하는 프로세스 가스를 공급하기에 적합한 사이즈 및 분포의 가스 배출 통로 (106) 가 제공된다.
샤워헤드 전극 어셈블리 (100) 는 또한 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싸는, 플라즈마 한정 어셈블리 (또는 웨이퍼 영역 플라즈마 (WAP) 어셈블리) (180) 를 포함한다. 플라즈마 한정 어셈블리 (180) 는, 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싸는, 복수 개의 이격된 석영 링 (190) 또는 그 스택 (stack) 으로 구성되는 것이 바람직하다. 프로세싱 동안, 플라즈마 한정 어셈블리 (180) 는 반응 구역 내에 압력차를 야기하고, 반응 챔버 벽과 플라즈마 사이의 전기 저항을 증가시켜, 그에 의해 상부 전극 (110) 과 하부 전극 (미도시) 사이에 플라즈마를 한정한다.
이용 중에, 한정 링 (190) 은 플라즈마를 챔버 부피에 한정하고, 반응 챔버 내의 플라즈마의 압력을 제어한다. 반응 챔버로의 플라즈마의 한정은, 한정 링 (190) 들 간의 스페이싱, 한정 링 외부의 그리고 플라즈마 내에서의 반응 챔버 내의 압력, 가스의 타입 및 플로우 레이트뿐만 아니라 RF 파워의 레벨 및 주파수를 포함하는, 다수의 팩터들의 작용이다. 플라즈마의 한정은 한정 링 (190) 들간의 스페이싱이 매우 작은 경우에 보다 쉽게 달성된다. 통상적으로, 0.15 인치 이하의 스페이싱이 한정을 위해 요구된다. 그러나, 한정 링 (190) 의 스페이싱은 또한 플라즈마의 압력을 결정하고, 그 스페이싱은 플라즈마를 유지하는 동안 최적의 프로세스 성능을 위해 요구되는 압력을 달성하도록 조정될 수 있는 것이 바람직하다. 가스 공급부로부터의 프로세스 가스는, 프로세스 가스가 웨이퍼의 상방의 단일의 구역 또는 다수의 구역으로 공급되는 것을 허용하는, 상부 플레이트 (104) 내의 하나 이상의 통로를 통하여 전극 (110) 에 공급된다.
내부 전극 (120) 은, 중심 (미도시) 으로부터 외부 에지까지 균일한 두께를 갖는, 평면 디스크 또는 플레이트인 것이 바람직하다. 내부 전극 (120) 은, 그 플레이트가 단결정 실리콘인 경우에, 프로세싱될 웨이퍼보다 작은, 같은, 또는 보다 큰, 예를 들면, 300 mm 까지의 직경을 가질 수 있는데, 이는 300 mm 웨이퍼에 대해 사용되는 현재 이용할 수 있는 단결정 실리콘 물질의 직경이다. 300mm 웨이퍼를 프로세싱하기 위해, 외부 전극 (130) 은 상부 전극 (110) 의 직경이 약 15 인치 내지 약 17 인치로 연장되도록 적용될 수 있다. 외부 전극 (130) 은 연속적인 부재 (예를 들면, 링 형태의 단결정 실리콘, 다결정 실리콘, 실리콘 탄화물 또는 다른 적절한 재료) 또는 분할된 부재 (예를 들면, 단결정 실리콘, 다결정 실리콘, 실리콘 탄화물 또는 다른 재료의 조각과 같은, 링 형태로 배열된 2 내지 6 개로 분리된 조각) 일 수 있다. 내부 전극 (120) 은, 프로세스 가스를 상부 전극 (110) 하방의 플라즈마 반응 챔버 내의 공간으로 주입하기 위해 다수의 가스 통로 (106) 들을 포함하는 것이 바람직하다.
단결정 실리콘 및 다결정 실리콘은 내부 전극 (120) 및 외부 전극 (130) 의 플라즈마 노출면에 대한 바람직한 재료이다. 고순도, 단결정 실리콘은, 오직 최소량의 바람직하지 않은 엘리먼트들만을 반응 챔버 내로 도입하기 때문에 플라즈마 프로세싱 동안 기판의 오염을 최소화시키며, 또한 플라즈마 프로세싱 동안 스무스하게 마모시켜, 입자를 최소화한다. 상부 전극 (110) 의 플라즈마 노출면을 위해 사용될 수 있는 복합재료를 포함하는 대안의 재료에는, 예를 들면, SiC, SiN 및 AlN 를 포함한다.
구성에 있어서, 샤워헤드 전극 어셈블리 (100) 는 300 mm 의 직경을 갖는 반도체 웨이퍼와 같은 큰 기판을 프로세싱하기에 충분히 크다. 300 mm 웨이퍼에 대해, 상부 전극 (110) 은 직경이 최소한 300 mm 이다. 그러나, 샤워헤드 전극 어셈블리 (100) 는 다른 웨이퍼 사이즈를 프로세싱하기 위해 사이즈가 조절될 수 있다.
백킹 플레이트 (140) 는, 플라즈마 프로세싱 챔버에서 반도체 기판을 프로세싱하는데 이용되는 프로세스 가스와 화학적으로 양립가능한 재료로 제조되는 것이 바람직하고, 전극 재료의 열팽창 계수와 근접하게 매칭하는 열팽창 계수를 가지며, 및/또는 전기 및 열 전도성이 있다. 백킹 플레이트 (140) 를 제조하는데 사용될 수 있는 바람직한 재료로는 그래파이트, SiC, 알루미늄 (Al) 또는 다른 적절한 재료를 포함하지만, 이들로 제한되지는 않는다.
내부 전극 (120) 및 외부 전극 (130) 은, 전극과 백킹 플레이트 사이에 임의의 접착제 본딩 없이 백킹 플레이트 (140) 에 기계적으로 부착되고, 즉, 열 및 전기 전도성 엘라스토머 본딩 재료가 전극을 백킹 플레이트에 부착시키는데 사용되지 않는다.
백킹 플레이트 (140) 는 나사산이 있는 볼트, 스크류 등일 수 있는 적절한 기계적 패스너를 이용하여 열 제어 부재 (102) 에 부착되는 것이 바람직하다. 예를 들면, 볼트 (미도시) 는 열 제어 부재 (102) 내의 홀들 내에 삽입될 수 있고 백킹 플레이트 (140) 내의 나사산이 있는 개구 내로 스크류될 수 있다. 열 제어 부재 (102) 는 굴곡부 (flexure portion; 184) 를 포함하고, 알루미늄, 알루미늄 합금 등과 같은 머시닝된 (machined) 금속성 재료로 제조되는 것이 바람직하다. 상부 온도 제어된 플레이트 (104) 는 알루미늄 또는 알루미늄 합금으로 제조되는 것이 바람직하다. 플라즈마 한정 어셈블리 (또는 웨이퍼 영역 플라즈마 어셈블리 (WAP)) (180) 는 샤워헤드 전극 어셈블리 (100) 의 외부에 위치한다. 복수 개의 수직방향으로 조절할 수 있는 플라즈마 한정 링 (190) 을 포함하는 적절한 플라즈마 한정 어셈블리 (180) 는 본원에 참조에 의해 완전히 포함되는 공동 소유의 미국 특허 제5,534,751호에 기재되어 있다.
개시물이 본원에 참조에 의해 포함되는 2008년 3월 14일자로 출원된 공동 소유의 미국 출원 제61/036,862호에 기재된 바와 같이, 외부 전극이 캠 록 메커니즘에 의해 백킹 플레이트에 기계적으로 부착될 수 있다. 도 2a 와 관련하여, 일 예시적인 캠 록 전극 클램프의 3 차원 도면은 전극 (201) 및 백킹 플레이트 (203) 의 부분들을 포함한다. 전극 클램프는 도 1 에 도시된 플라즈마 에칭 챔버와 같은 다양한 제작-관련 툴에서 소모성 전극 (201) 을 백킹 플레이트에 신속하게, 깨끗하게, 그리고 정확하게 부착시킬 수 있다. 전극 (201) 은, 예를 들면, 실리콘 (Si), 실리콘 탄화물 (SiC), 또는 폴리실리콘을 포함하는 다양한 재료를 포함할 수도 있다. 백킹 플레이트는 대개 알루미늄 또는 다른 적합한 재료를 포함한다.
전극 캠 록 클램프는 소켓 (213) 내에 탑재되는 스터드 (로킹 핀) (205) 를 포함한다. 스터드는, 예를 들면, 스테인레스 강 벨빌 와셔 (Belleville washer) 와 같은 디스크 스프링 스택 (215) 에 의해 둘러싸이게 될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 그 때 압입 끼워맞춤 (press-fit) 될 수도 있고, 또는 그렇지 않으면 접착제 또는 기계적 패스너의 이용을 통하여 소켓 (213) 내에 고정될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 제한된 양의 측방 이동이 전극 (201) 과 백킹 플레이트 (203) 사이에서 가능하도록 소켓 (213) 내에 배열된다. 측방 이동량을 제한하는 것은 전극(201) 과 백킹 플레이트 (203) 사이에 타이트한 끼워맞춤을 허용하여 우수한 열 접촉을 보장하는 동시에, 여전히 2 개의 부품들 사이의 열팽창의 차이를 설명하기 위해 약간의 이동을 제공한다. 제한된 측방 이동 피쳐에 대한 추가적인 상세는 이하 더 상세하게 논의된다.
특정 예시적인 실시형태에서, 소켓 (213) 은 베어링-그레이드 Torlon® 으로부터 제작된다. 대안으로, 소켓 (213) 은 우수한 강도와 같은 소정의 기계적 특성들을 지닌 다른 재료들로부터 제작될 수도 있고, 내충격성, 크립 저항성 (creep resistance), 치수 안정성, 내방사선 (radiation resistance) 및 내화학성이 쉽게 이용될 수도 있다. 폴리아미드, 폴리이미드, 아세탈 및 초고분자량 폴리에틸렌 재료들과 같은 다양한 재료들이 모두 적합할 수도 있다. 230℃가 에칭 챔버와 같은 애플리케이션에서 접하게 되는 통상의 최대 온도이기 때문에, 고온-특정 플라스틱 및 다른 관련 재료들이 소켓 (213) 을 형성하기 위해 요구되지 않는다. 일반적으로, 통상의 동작 온도는 130℃에 더 가깝다.
전극 캠 록 클램프의 다른 부분들은 각 단에서 한 쌍의 캠샤프트 베어링 (209) 에 의해 둘러싸이게 되는 캠샤프트 (207) 로 구성된다. 캠샤프트 (207) 및 캠샤프트 베어링 어셈블리는 백킹 플레이트 (203) 에 머시닝된 백킹 플레이트 보어 (211) 내에 탑재된다. 300mm 반도체 웨이퍼를 위해 설계된 에칭 챔버에 대한 통상의 애플리케이션에서는, 8개 이상의 전극 클램프가 전극 (201)/백킹 플레이트 (203) 조합의 주변부 주위에 간격을 두고 배치될 수도 있다.
캠샤프트 베어링 (209) 은 Torlon®, Vespel®, Celcon®, Delrin®,Teflon®, Arlon®, 또는 낮은 마찰 계수 및 낮은 입자 박리 (particle shedding) 를 갖는 다른 재료들, 이를 테면 플루오로폴리머 (fluoropolymer), 아세탈 (acetal), 폴리아미드, 폴리이미드, 폴리테트라플루오로에틸렌 (polytetrafluoroethylene) 및 폴리에테르에테르케톤 (polyetheretherketone)(PEEK) 을 포함하는, 다양한 재료로부터 머시닝될 수도 있다. 스터드 (205) 및 캠샤프트 (207) 는 스테인레스 강 (예를 들면, 316, 316L, 17-7 등) 또는 우수한 강도 및 내식성을 제공하는 임의의 다른 재료로부터 머시닝될 수도 있다.
이제, 도 2b 를 참조하면, 전극 캠 클램프의 단면도는 또한, 전극 (201) 을 백킹 플레이트 (203) 로 밀접하게 잡아당김으로써 캠 클램프가 어떻게 동작하는지를 예시한다. 스터드 (205)/디스크 스프링 스택 (215)/소켓 (213) 어셈블리는 전극 (201) 내에 탑재된다. 도시한 바와 같이, 상기 어셈블리는 소켓 (213) 상의 외부 나사산에 의하여 전극 (201) 내의 나사산이 있는 포켓 안으로 스크류될 수도 있다. 그러나, 소켓은 접착제 또는 다른 타입의 기계적 패스너에 의해서도 탑재될 수도 있다.
도 3 에서, 확장 헤드 (enlarged head) 를 갖는 스터드 (205), 디스크 스프링 스택 (215) 및 소켓 (213) 의 정면 및 조립도 (300) 는 캠 록 전극 클램프의 일 예시적인 설계에 대해 추가적인 상세를 제공한다. 특정 예시적인 실시형태에서, 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 내에 압입 끼워맞춤된다. 소켓 (213) 은 가벼운 토크 (torque) (예를 들면, 특정 예시적인 실시형태에서는, 약 20inch-pounds) 로 전극 (201) (도 2a 및 도 2b 참조) 내로의 용이한 삽입을 허용하는, 외부 나사산 및 6각형의 최상부 부재를 갖는다. 전술한 바와 같이, 소켓 (213) 은 다양한 타입의 플라스틱으로부터 머시닝될 수도 있다. 플라스틱을 이용하는 것은 입자 생성을 최소화하고, 전극 (201) 상의 결합 (mating) 포켓 내로 소켓 (213) 의 골이 없는 (gall-free) 설치를 허용한다.
스터드/소켓 어셈블리 (303) 는 소켓 (213) 의 상부 부분에서의 내부 직경이 스터드 (205) 의 중간 부분의 외부 직경보다 크다는 것을 나타낸다. 2 개의 부분들 사이의 직경의 차이는 상기 논의한 바와 같이 어셈블링된 전극 클램프에 있어서의 제한된 측방 이동을 허용한다. 스터드/디스크 스프링 어셈블리 (301) 는 직경의 차이가 약간의 측방 이동을 허용하는 반면에 소켓 (213) 의 기저부에서 소켓 (213) 과 단단하게 접촉하여 유지된다 (또한, 도 2b 참조).
도 4a 와 관련하여, 캠샤프트 (207) 및 캠샤프트 베어링 (209) 의 분해 조립도 (400) 는 또한 키잉 핀 (401) 을 나타낸다. 키잉 핀 (401) 을 갖는 캠샤프트 (207) 의 단이 먼저 백킹 플레이트 보어 (211) (도 2b 참조) 내로 삽입된다. 백킹 플레이트 보어 (211) 의 원단 (a far end) 에 있는 한 쌍의 작은 결합 홀 (미도시) 이 캠샤프트 (207) 의 백킹 플레이트 보어 (211) 내로의 정확한 정렬을 제공한다. 캠샤프트 (207) 의 측면도 (420) 는 캠샤프트 (207) 의 일단의 6각형 개구 (403) 및 반대쪽 단의 키잉 핀 (401) 의 가능한 배치를 명확하게 나타낸다.
예를 들어, 도 4a 및 도 2b 를 계속 참조하여, 전극 캠 클램프는 캠샤프트 (207) 를 백킹 플레이트 보어 (211) 내로 삽입함으로써 어셈블링된다. 키잉 핀 (401) 은 한 쌍의 작은 결합 홀 중 하나와 인터페이스함으로써 백킹 플레이트 보어 (211) 에서의 캠샤프트 (207) 의 회전 이동을 제한한다. 캠샤프트는 먼저 6 각형 개구 (403) 의 이용을 통하여 일 방향으로, 예를 들어 반시계 방향으로 터닝되어 스터드 (205) 의 캠샤프트 (207) 로의 진입을 허용한 후, 시계방향으로 터닝되어 스터드 (205) 를 완전히 맞물리게 하여 로킹할 수도 있다. 전극 (201) 을 백킹 플레이트 (203) 에 유지하기 위해 요구되는 클램프력은 디스크 스프링 스택 (215) 을 그들의 자유 스택 높이를 넘어 압축함으로써 공급된다. 캠샤프트 (207) 는 샤프트의 확장 헤드 (205) 와 맞물리는 내부 편심 내부 컷아웃 (internal eccentric internal cutout) 을 갖는다. 디스크 스프링 스택 (215) 이 압축됨에 따라, 클램프력은 디스크 스프링 스택 (215) 내의 개별 스프링으로부터 소켓 (213) 으로, 그리고 전극 (201) 을 통하여 백킹 플레이트 (203) 로 전달된다.
예시적인 동작 모드에서, 일단 캠샤프트 베어링이 캠샤프트 (207) 에 부착되고 백킹 플레이트 보어 (211) 내로 삽입되면, 캠샤프트 (207) 는 완전 회전 이동될 때까지 반시계 방향으로 회전된다. 스터드/소켓 어셈블리 (303) (도 3) 는 그 후 전극 (201) 으로 약간 토크된다. 스터드 (205) 의 헤드는 그 후 수평으로 연장하는 백킹 플레이트 보어 (211) 하방의 수직으로 연장하는 스루 홀 내로 삽입된다. 키잉 핀이 2 개의 작은 결합 홀 (미도시) 중 두번째 홀까지 드롭할 때까지 또는 (이하 더 상세하게 논의되는) 딸각하는 소리 (audible click) 가 들리게 될 때까지, 전극 (201) 은 백킹 플레이트 (203) 에 대하여 유지되고, 캠샤프트 (207) 는 시계 방향으로 회전된다. 예시적인 동작 모드는 전극 (201) 을 백킹 플레이트 (203) 로부터 분해하기 위해 순서를 거꾸로 할 수도 있다.
도 4b 와 관련하여, 도 4a 의 캠샤프트 (207) 의 측면도 (420) 의 단면 A-A 는 스터드 (205) 의 헤드가 완전히 고정되는 커터 경로 에지 (440) 를 나타낸다. 특정 예시적인 실시형태에서, 2 개의 반경 (R1 및 R2) 은 스터드 (205) 의 헤드가, 스터드 (205) 가 완전히 고정될 때를 나타내기 위해 전술된, 딸각하는 소리 잡음을 만들도록 선택된다.
도 5a 는 다음의 피쳐들 : (a) 캠-로킹된 비-본딩 외부 전극 (502); (b) 캠-로킹된 비-본딩 내부 전극 (504) (c) 백킹 플레이트 (506); 및 (d) 외부 전극을 백킹 플레이트 (506) 에 유지하는 캠 록에 액세스를 허용하는 가드 링 (508) 을 포함하는 용량성 커플링된 플라즈마 챔버에 대한 상부 전극 어셈블리 (500) 를 나타낸다. 도 5b 는 상이한 위치에서의 전극 어셈블리의 단면도이고, 도 5c 는 내부 전극을 백킹 플레이트로부터 분리하기 위한 잭스크류 배열의 상세를 나타내는 도 5b 의 일부분의 확대도이다.
전극 어셈블리 (500) 는 챔버 외부로부터 챔버의 온도 제어된 최상부 벽 (512) 까지 볼트된 열 제어 플레이트 (510) 를 포함한다. 외부 전극은 도 2 내지 도 4 를 참조하여 전술된 캠 록 (514) 에 의해 백킹 플레이트에 릴리징할 수 있게 부착된다. 내부 전극은 클램프 링 (516) 에 의해 열 제어 플레이트에 클램핑된다. 클램프 링은 나사산이 있는 볼트 (스크류) 와 같은 패스너를 백킹 플레이트 (506) 의 하부의 나사산이 있는 개구들로 수용하는 홀들의 시리즈를 포함한다. 클램프 링과 내부 전극의 외부 에지상의 단차와의 접촉을 피하기 위해, CIRLEX 와 같이 딱딱한 폴리이미드 재료와 같은 딱딱한 재료의 압축 링 (518) 은 내부 전극의 대향면과 클램프 링 사이의 공간에서 압축된다.
바람직한 실시형태에서, 전극 어셈블리 (500) 의 외부 전극 (502) 은 (a) 가드 링 (508) 을, 그 가드 링 내의 4 개의 홀을 백킹 플레이트의 외부 부분에 간격을 두고 배치된 위치에 위치하는 4 개의 캠 록 (514) 과 정렬시키는 제 1 위치로 회전시킴으로써; (b) 가드 링 내의 각 홀을 통하여 앨렌 렌치 (allen wrench) 를 삽입하고 각각의 캠 록에서 수직으로 연장하는 로킹 핀을 릴리징하기 위해 각 캠 록을 회전시킴으로써; (c) 가드 링을, 그 가드 링 내의 4 개의 홀을 다른 4 개의 캠 록과 정렬시키는 제 2 위치로 90°회전시킴으로써; 및 (d) 가드 링 내의 각 홀을 통하여 앨렌 렌치를 삽입하고 각각의 캠 록의 로킹 핀을 릴리징하기 위해 각각의 캠 록을 회전시킴으로써 분해될 수 있으며; 이로써 외부 전극 (502)은 플라즈마 챔버로부터 하강 및 제거될 수 있다.
도 5a 는 또한 회전가능한 캠 록 (514) 이 백킹 플레이트 (506) 의 외부 부분 내의 수평으로 연장하는 보어 (560) 내에 위치되는 캠 록 배열 중 하나의 단면도를 도시한다. 원통형 캠 록 (514) 은 앨렌 렌치와 같은 툴에 의해 로킹 핀 (562) 의 확장 단이 그 로킹 핀의 확장 헤드를 리프팅하는 캠 록 (514) 의 캠 표면에 의해 맞물리게 되는 록 위치로 또는 로킹 핀 (562) 이 캠 록 (514) 에 의해 맞물리게 되지 않는 릴리즈 위치로 회전가능하다. 백킹 플레이트는 수직으로 연장하는 보어를 포함하며, 그 보어를 통하여 로킹 핀이 삽입되어 캠 록과 맞물리게 한다.
도 5b 는 가드 링 (508) 의 액세스 개구부 (574) 를 채우고 가드링이 회전하는 것을 방지하기 위해 백킹 플레이트 내의 나사산이 있는 홀을 관통하는 잭스크류 (570) 및 삽입물 (571) 을 통과하는 위치에서의 전극 어셈블리의 단면도를 도시한다. 바람직하게는, 스테인레스 스틸과 같은 적절한 재료의 세 개의 잭스크류 (570) 는 내부 전극의 제거를 용이하게 하기 위해 120°떨어져 배열된다. 백킹 플레이트 (506) 내의 나사산이 있는 개구부를 관통하는 삽입물 (571) 은 폴리머 또는 세라믹 스크류인 것이 바람직하다. 외부 전극의 외부 단차는 가드 링 상의 결합 단차와 맞물리고, 백킹 플레이트 (506) 의 외주부와 가드 링 (508) 의 내주부 사이의 환상 갭 (575) 을 유지한다. 잭스크류 (570) 는 백킹 플레이트 (506) 의 나사산이 있는 개구부에서 수용되는 상부 나사산이 있는 샤프트 (570a), 앨렌 렌치와 같은 툴과 맞물리게 하기 위한 키잉된 개구부 (570c) 를 갖는 하부 샤프트 (570b), 및 상부와 하부 샤프트 사이에 낀 환상 플랜지 (570d) 를 포함한다. CIRCLEX 링과 같은 폴리머 링 (572) 은 백킹 플레이트 (506) 의 대향면과 각각의 플랜지 (570d) 를 지탱하고, TEFLON 와셔와 같은 폴리머 와셔 (573) 는 각 플랜지 (570d) 의 하부면과 내부 전극 (504) 및 클램프 링 (516) 의 대향면을 지탱한다. 바람직한 실시형태에서, 폴리머 링 (572) 은 약 0.31 inch 의 두께 및 0.5 inch 의 너비를 갖고, 클램프 링을 백킹 플레이트에 지지하는 패스너를 수용하기 위한 12 개의 홀 및 잭스크류의 샤프트 (570a) 를 수용하기 위한 3 개의 홀을 갖는다. 백킹 플레이트 (506) 는 개구들을 포함하고, 그 개구들은 내부 전극의 외부 에지 아래에서 부분적으로 연장되며, 각각의 플랜지 (570d) 및 와셔 (573) 를 수용하기에 충분한 깊이를 갖는다. 폴리머 링 (572) 은 백킹 플레이트 내의 환상 채널에 수용된다. 외부 전극을 백킹 플레이트로부터 분해하는 동안에, 잭스크류는 플랜지 (570d) 를 내부 전극의 상부면 방향으로 이동하도록 야기하는 방향으로 회전되는데, 내부 전극과 백킹 플레이트 사이에 삽입된 열 인터페이스 링, O-링 등 때문에 그로 인해 내부 전극이 백킹 플레이트로의 잠재적인 접착을 극복하도록 한다.
도 6a 내지 도 6d 는 내부 전극 (504) 의 상세를 도시한다. 내부 전극 (504) 은 TORLON 5030 과 같은 폴리머 재료의 정렬 핀 (524) 및 클램프 링 (516) 과 외부 전극 (502) 의 내부 립 (lip) 과 결합하는 외부 에지 (526) 의 단차를 수용하는, 상부면 (탑재면; 522) 에 정렬 핀 홀 (520) 을 가진 고순도 (10ppm 미만의 불순물) 저저항율 (0.005 내지 0.02ohm-cm) 단결정 실리콘의 플레이트인 것이 바람직하다. 적절한 직경 및/또는 형태의 가스 홀 (528) (예를 들면, 0.017 인치 직경의 홀) 은 상부면으로부터 하부면 (플라즈마 노출면; 530) 까지 연장되며, 임의의 적절한 패턴으로 배열될 수 있다. 도시된 실시형태에서, 가스 홀은 13 개의 원주방향으로 연장하는 로우 (row) 들로 배열되는데, 제 1 로우의 4 개의 가스 홀은 전극의 중심으로부터 약 0.25 인치 떨어져 위치하고, 제 2 로우의 10 개의 가스 홀은 중심으로부터 약 0.7 인치 떨어져 위치하고, 제 3 로우의 20 개의 가스 홀은 중심으로부터 약 1.25 인치 떨어져 위치하고, 제 4 로우의 26 개의 가스 홀은 중심으로부터 약 1.95 인치 떨어져 위치하고, 제 5 로우의 30 개의 가스 홀은 중심으로부터 약 2.3 인치 떨어져 위치하고, 제 6 로우의 36 개의 가스 홀은 중심으로부터 약 2.7 인치 떨어져 위치하고, 제 7 로우의 40 개의 가스 홀은 중심으로부터 약 3.05 인치 떨어져 위치하고, 제 8 로우의 52 개의 가스 홀은 중심으로부터 약 3.75 인치 떨어져 위치하고, 제 9 로우의 58 개의 가스 홀은 중심으로부터 약 4.1 인치 떨어져 위치하고, 제 10 로우의 62 개의 가스 홀은 중심으로부터 약 4.5 인치 떨어져 위치하고, 제 11 로우의 70 개의 가스 홀은 중심으로부터 약 5.2 인치 떨어져 위치하고, 제 12 로우의 74 개의 가스 홀은 중심으로 부터 약 5.45 인치 떨어져 위치하며, 제 13 로우의 80 개의 가스 홀은 중심으로부터 약 5.75 인치 떨어져 위치한다.
전극의 상부면은 중심 근방의 3 개의 핀 홀 및 전극의 외부 에지 근방의 3 개의 핀 홀을 가진 6 개의 정렬 핀 홀 (520) 을 포함한다. 핀 홀은 약 0.116 인치의 직경을 가질 수 있다. 3 개의 중앙 핀 홀은 방사상으로 정렬되며 내부 전극의 중심에 약 0.160 인치 깊이의 한 개의 핀 홀 및 제 3 및 제 4 로우의 가스 홀 사이에 위치에서 중앙 핀 홀로부터 약 1.6 인치 떨어져 위치한 약 0.200 인치 깊이의 2 개의 핀 홀을 포함한다. 외부 핀 홀들은 약 0.100 인치 깊이이고, 중앙 핀 홀로부터 약 6 인치 떨어진 중앙 핀 홀과 방사상으로 정렬된 하나의 핀 홀 및 이로부터 97.5° 및 170°오프셋 된 2 개의 다른 핀 홀을 포함하며, 제 2 및 제 3 외부 핀 홀들은 중앙 핀 홀로부터 같은 거리를 갖지만 서로 92.5°의 오프셋을 갖는다.
외부 단차는 실리콘 플레이트 주변으로 완전히 연장되도록 실리콘 플레이트로 머시닝된 내부 단차 (532) 및 외부 단차 (534) 를 포함한다. 바람직한 실시형태에서, 실리콘 플레이트는 약 0.400 인치의 두께와 약 12.560 인치의 외부 직경을 갖고, 내부 단차 (532) 는 약 12.004 인치의 내부 직경, 약 12.135 인치의 외부 직경을 갖고, 플라즈마 노출면 (530) 으로 약 0.13 인치 연장되며, 외부 단차 (534) 는 약 12.135 인치의 내부 직경과 약 12.560 인치의 외부 직경을 갖고, 플라즈마 노출면 (530) 으로 약 0.24 인치 연장된다. 내부 단차 (532) 는 약 0.13 인치 길이의 수직면 (532a) 및 약 0.065 인치 길이의 수평면 (532b) 을 갖고, 외부 단차 (534) 는 약 0.11 인치 길이의 수직면 (534a) 및 약 0.218 인치 길이의 수평면 (534b) 을 갖는다.
도 6a 는 13 개의 로우의 가스 홀을 가진 내부 전극 (504) 의 플라즈마 노출면 (530) 을 도시하는 전면 평면도이다. 도 6b 는 13 개의 로우의 가스 홀 및 6 개의 핀 홀 (520) 을 가진 상부면 (522) 의 전면 평면도를 도시한다. 도 6c 는 플라즈마 노출면에 가장 가까운 내부 단차 및 내부 전극의 상부면에 가장 가까운 외부 단차의 측면도를 도시한다. 도 6d 는, 6개의 둥근 (예를 들면, 0.025 인치 반경으로 둥근) 모서리를 갖는 내부 및 외부 단차를 도시하는, 도 6c 의 상세 D 의 확대도를 도시하며, 6개의 둥근 모서리는 상부면 (522) 의 외부 에지, 하부면 (530) 의 외부 에지, 및 서로 모서리를 갖는 수평 및 수직면 (532a, 532b, 534a, 534b) 사이의 트랜지션부 (transition) 및 상부 및 하부면 (522, 530) 에서 제공된다.
외부 전극 (502) 은 가드 링 (508) 을 지지하는 외부 단차 (536), 클램프 링 및 내부 전극의 내부 단차 위에 놓인 내부 단차 (538), 백킹 플레이트 (506) 의 하부면과 맞물리는 상부면 (탑재면; 540), 내부 테이퍼링면 (544), 수평면 (546), 및 외부 테이퍼링면 (548) 을 포함하는 하부면 (단차부가 형성된 플라즈마 노출면; 542) 및 로킹 핀이 탑재되는 상부면 (540) 내의 8 개의 포켓 (550) 을 포함한다.
도 7a 내지 7g 는 외부 전극의 다양한 도면을 도시한다. 도 7a 는 외부 전극 (502) 의 플라즈마 노출면 (542) 의 평면도이고, 도 7b 는 외부 전극의 측면도이다. 외부 전극은 내부 및 외부 테이퍼링면 (544, 548) 및 그 사이에서 플라즈마 노출면 (542) 을 형성하는 수평면 (546) 을 갖는 환상 링이다. 외부 전극은 고순도 저저항률 단결정 실리콘 또는 고순도 다결정 실리콘의 단일조각인 것이 바람직하다. 대안으로는, 외부 전극은 고순도 단결정 또는 다결정 실리콘의 조각으로 구성될 수 있다. 도 7c 는 전체 외부 전극을 통과하는 단면도를 도시하고, 도 7d 는 도 7c 의 상세 D 의 확대도를 도시한다. 바람직한 실시형태에서, 외부 전극은 약 17 인치의 외부 직경 및 약 12.024 인치의 내부 직경을 갖는다. 수평면 (546) 은 약 12.552 인치의 내부 직경으로부터 약 15.97 인치의 외부 직경으로 방사형으로 약 1.709 인치 연장된다. 외부 전극의 상부면은 내부 단차 (538) 및 외부 단차 (536) 를 갖고, 이들 사이에 연장되는 평면 환상 수평 탑재면 (552) 을 갖는다. 탑재면 (552) 은 약 13.655 인치의 내부 직경 및 약 16.752 인치의 외부 직경을 갖는다. 로킹 핀을 수용하는 8 개의 포켓 (550) 중의 두 개가 도 7c 에서 도시된다.
도 7d 는 외부 전극 (502) 상의 내부 및 외부 테이퍼링면 (544, 548) 및 내부 및 외부 단차 (536, 538) 의 바람직한 실시형태의 상세를 도시하고, 이 때, 테이퍼링면은 수평 플라즈마 노출면과 20°내지 40°의 각도를 형성한다. 내부 테이퍼링면 (544) 은 34.3°의 각도로, 그리고 외부 테이퍼링면 (548) 은 24.9°의 각도인 것이 더욱 바람직하다. 내부 단차 (538) 는 약 0.145 인치의 높이를 갖는 수직면 (538a) 및 방사형으로 연장하는 약 0.825 인치의 수평면 (538b) 을 포함한다. 외부 단차 (536) 는 0.076 인치의 높이를 갖는 수직면 (536a) 및 방사형으로 연장하는 약 0.124 인치의 수평면 (536a) 을 포함한다. 내부 단차 (538) 와 내부 테이퍼링면 (548) 사이의 내부 수직면 (538c) 은 약 0.115 인치의 높이를 갖는다. 외부 단차 (536) 와 외부 테이퍼링면 (544) 사이의 외부 수직면 (536c) 은 약 0.125 인치의 높이를 갖는다. 로킹 핀에 대한 포켓 (550) 은 약 0.325 인치의 깊이를 갖고, 외부 전극의 전체 두께는 약 0.440 인치이다. 포켓은 로킹 핀의 나사산이 있는 탑재 베이스 (도 2 내지 도 3 에 기술된 소켓 (213)) 를 수용하기 위한 0.5 인치 너비의 나사산이 있는 홀일 수 있다. 포켓으로의 입구는 테이퍼를 포함할 수 있고, 포켓의 바닥은 0.040 인치의 최대 길이를 갖는 나사산이 없는 나사산 릴리프 섹션을 포함할 수 있다. 내부 및 외부 단차의 모든 모서리 및 내부 및 외부 테이퍼링면은 둥글게 (예를 들면, 0.025 인치 반경으로 둥글게) 되어있다.
도 7e 는, 8 개의 로킹 핀을 수용하기 위한 8 개의 포켓 (550) 이 위치하고 포켓들의 중심들이 약 15.244 인치씩 떨어진, 외부 전극 (502) 의 상부면 (540) 의 평면도이다. 또한, 0.480 인치의 반경을 따라 조금씩 내부 단차로 연장하는 탑재면 (540) 의 일부분에서 8 각형 패턴의 홀을 형성하고 0.07 인치씩 이격된 7 개의 로우로 배열되며 약 0.025 인치의 직경을 갖는, (압력계와 같이 진공 압력 센싱 장치와 이어진) 37 개의 홀들 (552) 의 그룹이 도시되어 있다. 약 0.116 인치의 직경 및 0.200 인치의 깊이를 갖는 3 개의 정렬 핀 홀 (554) 은 탑재면의 외주부 근방의 탑재면 내의 위치에서 120°이격되어 있다. 도 7f 는 외부전극 (502) 의 상부면을 도시하는 투시도이고, 도 7g 는 외부 전극 (502) 의 하부면을 도시하는 투시도이다.
클램프 링 (516) 은 백킹 플레이트 (506) 로 볼트되고 고경도의 폴리머 압축 링 (518) 을 프레스한다. 폴리머 링 (518) 은 내부 전극 (504) 의 외부 단차 (534) 를 프레스하고, 외부 전극 (502) 의 내부 단차 (538) 는 클램프 링 (516) 에 꼭 맞고, 내부 전극의 내부 단차 (534) 이내에 꼭 들어맞으며, 내부 전극 (504) 의 평면 노출면으로부터 연장하는 내부 테이퍼링면 (544) 을 갖는다. 외부 전극의 탑재면 (540) 은 백킹 플레이트 내의 8 개의 캠 록에 의해 유지되는 8 개의 로킹 핀에 의해 가해지는 클램핑력의 결과로서 백킹 플레이트 (506) 의 대향면과 인접한다. 가드 링 (508) 은 백킹 플레이트 (506) 내의 탑재 홀을 커버하고, 가드 링 내의 액세스 개구 (524) 는 내플라즈마성 폴리머 재료, 이를 테면, Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon®, 또는 낮은 마찰 계수 및 낮은 입자 박리를 갖는 다른 재료, 이를 테면 플루오로폴리머, 아세탈, 폴리아미드, 폴리이미드, 폴리테트라플루오로에틸렌, 및 폴리에테르에테르케톤 (PEEK) 으로 제조된 제거가능한 삽입물 (571) 로 충진된다.
도 5 와 관련하여, 백킹 플레이트 (506) 와 내부 전극 (504) 사이의 전기적 접촉은 전극의 외주부에 그리고 중앙 정렬 핀과 외부 Q-패드 사이의 하나 이상의 위치에 위치한 하나 이상의 열 인터페이스 재료 (thermal interface material; TIM) 및/또는 Q-패드 (556) 에 의해 제공된다. 그러한 TIM 은, 0.5 mm, 1.0 mm, 2.0 mm 또는 3.0 mm 의 두께로, 바람직하게는 0.012 mm 또는 0.020 인치 두께 및 0.31 인치 너비로 사용될 수 있는, 전기 전도성 재료, 예를 들면, 젤텍 (Geltec) 인터페이스 재료 COH-4000, COH-2003, RE-10, COH-1002, DP-100, DP-200 또는 DP-300 을 포함하는 폴리머 라미네이트 링일 수 있다. 예를 들면, TIM 링 또는 Q-패드는 내부 전극의 중심으로부터 약 2 인치 내지 6 인치의 거리로 위치할 수 있다. 상이한 프로세스 가스 혼합물 및/또는 플로우 레이트를 제공하기 위해, 중심 정렬 핀과 외부의 Q-패드 사이에는 하나 이상의 선택적 가스 파티션 시일 (seal) 이 제공될 수 있다. 예를 들어, 내부 가스 분배 구역을 외부 가스 분배 구역과 분리하기 위해 내부 Q-패드와 외부 Q-패드 사이의 위치에서 내부 전극 (504) 과 백킹 플레이트 (506) 사이에는 단일의 O-링이 제공될 수 있다. 외부 Q-패드의 내주부를 따라 내부 전극 (504) 과 백킹 플레이트(506) 사이에 위치한 O-링 (558) 은 내부 전극과 백킹 플레이트 사이에 가스 및 입자 시일 (seal) 을 제공할 수 있다.
도 8a 내지 8d 는 0.419 인치의 두께, 약 13.575 인치의 외부 직경, 약 12.590 인치의 내부 직경 및 내부 직경으로부터 약 0.212 인치 연장된 플랜지를 갖는 클램프 링 (516) 의 상세를 도시한다. 클램프 링 (516) 은 내부 전극 (504) 상에서 외부 단차 (534) 와 결합하는 방사형으로 내부로 연장하는 플랜지 (564) 를 포함한다. 클램프 링의 상부면 및 하부면을 통과하는 단차부가 형성된 12 개의 보어 (566) 는, 백킹 플레이트 (506) 내의 나사산이 있는 개구로 끼워넣는 엘리먼트들을 고정시키는, 스크류, 볼트 또는 다른 적절한 기계적 패스너와 같은 패스너를 수용한다. 플랜지 (564) 와 내부 전극 (504) 의 대향면 사이의 압축 링 (518) 은 스크류를 조임에 따라 부서지는 알루미늄 (Al) 백킹 플레이트의 마모로부터 내부 전극을 보호한다. 링은 약 0.031 인치 두께 및 0.071 인치 너비의 치수를 갖는 직사각형 단면을 갖는 CIRLEX 인 것이 바람직하다. 플랜지 (564) 는 압축 링 (518) 을 수용하기 위한 직사각형 홈을 포함할 수 있다. 예를 들면, 홈은 약 0.10 인치의 너비 및 약 0.01 인치의 깊이를 가질 수 있다.
도 8a 는 클램프 링 (516) 의 측면도이고 도 8b 는 고르게 이격된 보어 (566) 들을 도시하는 하면도이다. 도 8c 는 도 8a 의 상세 C 로부터의 클램프 링의 단면도이고, 도 8d 는 도 8b 의 상세 D 로부터의 클램프 링의 평면도이다. 도 8b 및 8d 에서 볼 수 있듯이, 단차부가 형성된 보어 (566) 의 확장 부분은 다각형으로, 샤워헤드 전극 어셈블리의 온도 사이클링 동안에 스크류들의 느슨해짐을 억제하기 위해 스크류들의 헤드와 마주하고 있는 톱니 모양을 갖는, 다각형 록 와셔의 회전을 방지한다. 클램프 링은 백킹 플레이트 (506) 으로부터 연장하는 잭스크류 (570) 의 하부 샤프트를 수용하기 위한 개구부 (568) 를 포함할 수 있다. 잭스크류의 플랜지는 클램프 링의 반대면의 함몰부에서 수용된다. 그러므로 내부 전극의 탑재 동안에, 잭스크류는 클램프 링을 백킹 플레이트와 정렬하는 것을 돕는다. 분해를 위해, 상기에서 설명한 바대로, 3 개의 동일하게 이격된 잭스크류는 내부 전극을 백킹 플레이트 (506) 로부터 제거하기 위해 사용될 수 있다.
상기에 설명한 대로, 클램프 링 (516) 은 클램프 링을 백킹 플레이트 (506) 에 대해 지지하고 있는 패스너가 상이한 열 팽창 및 열 수축으로 인해 느슨해지는 것으로부터 방지하기 위해 록 와셔를 포함할 수 있다. 예를 들면, 일 면에 방사형 홈을 갖고 반대 면에 연속적인 테이퍼링 단차를 갖는 상부 및 하부 와셔의 절반을 포함하는 록 와셔는, 스크류를 조이는 동안에 홈이 패인 상부면 및 하부면을 서로 평행하게 유지하기 위해 서로를 마주보고 있는 테이퍼링면과 함께 스크류에 꼭 들어맞는다. 상이한 열 팽창 및 열 수축은 챔버에서 개별적인 웨이퍼의 연속적인 프로세싱 동안에 패스너 (예를 들면, 스크류 또는 볼트) 로부터 백킹하는 것을 이끌 수도 있다. 클램프 링이 적어도 500 RF 시간동안 (플라즈마가 웨이퍼 프로세싱 동안에 챔버에서 생성되는 동안의 시간) 내부 전극의 적절한 클램핑을 제공하는 것이 바람직하다. 웨이퍼 당 300 초의 플라즈마 프로세스 시간을 가정하면, 500 RF 시간은 6000 개의 웨이퍼의 프로세싱을 허용할 것이다.
상이한 열 팽창을 보상하기 위하여, 클램프 링 (516) 은 온도 사이클링 동안에 상당히 일정한 클램프 링 직경을 유지하기 위하여 원주방향으로 압축하는 열 팽창 영역 (577) 을 포함함으로써 팽창에 의한 열 로드를 흡수하도록 설계될 수 있다. 적절한 클램프 링 설계는 본원에 참조에 의해 완전히 포함되는 공동 소유의 미국 특허 제6,200,415호에 기재되어 있다.
다른 적절한 클램프 링 설계는 도 9a 내지 9f 에 도시되고, 도 9a 는 클램프 링 (576) 의 측면도이고, 도 9b 는 도 9a 의 상세 B 의 피쳐들을 도시하고, 도 9c 는 슬롯 (578) 에 의해 형성된 열 팽창 영역 (577) 의 피쳐들을 도시하는 클램프 링의 부분 평면도이고, 도 9d 는 도 9a 에서 도시된 링의 평면도이고, 도 9e 는 도 9d 의 상세 E 의 피쳐들을 도시하며, 그리고 도 9f 는 도 9d 의 상세 F 의 피쳐들을 도시한다.
도 9a 에서 도시된 바대로, 클램프 링 (576) 은 방사형으로 연장하는 슬롯 (578) 의 형태의 열 팽창 영역 (577) 을 포함할 수 있다. 바람직하게는, 슬롯 (578) 은 클램프 링의 내주부 또는 외주부로부터 연장하는 인접한 슬롯들과 함께 슬롯의 그룹으로 배열된다. 예를 들면, 각각의 슬롯의 그룹은 하나 이상의 U-모양 팽창/수축 영역 (577) (예를 들면, 3 개의 슬롯은 하나의 U-모양 영역을 형성하고 5 개의 슬롯은 2 개의 U-모양 영역을 형성한다) 을 형성하는, 2개 이상의 슬롯을 포함할 수 있다. 5 개 슬롯 실시형태에서, 3 개의 슬롯 (578a) 는 외주부 (576a) 로부터 내부로 연장되고, 2 개의 슬롯 (578b) 은 내주부 (576b) 로부터 외부로 연장되며, 외부로 연장하는 슬롯들은 내부로 연장하는 슬롯들 사이에 위치한다. 각각의 슬롯은 내주부 또는 외주부에 가깝게 위치한 둥근 단부 벽 (578c) 을 종단할 수 있다. 예를 들면, 둥근 단부 벽이 내주부로의 거리 1/2 이내에 위치하도록 내부로 연장하는 슬롯은 클램프 링의 너비를 50% 넘게 연장할 수 있다. 바람직하게는, 슬롯은 클램프 링의 너비를 75% 넘게, 더욱 바람직하게는 80% 넘게, 가장 바람직 하게는 90% 넘게 연장한다.
300 mm 웨이퍼 프로세싱을 위해, 내부 전극은 12 내지 13 인치의 직경을 갖고, 클램프 링은 내부 전극 (504) 의 외부 단차 (534) 와 맞물리도록 하는 내부로 연장하는 플랜지 (580) 과 함께 약간 커진 직경을 갖는다. 클램프 링 (576) 은 최소한 4 개 그룹의 슬롯들을, 바람직하게는 최소한 8 개의 그룹의 슬롯들, 더욱 바람직 하게는 최소한 16 개 그룹의 슬롯들, 및 가장 바람직하게는 24 개 그룹의 슬롯들을 포함할 수 있다. 바람직한 실시형태에서, 슬롯들은 약 0.03 내지 0.1 인치, 바람직하게는 0.05 내지 0.09 인치, 및 가장 바람직하게는 0.06 내지 0.08 인치의 너비를 갖고, 둥근 단부 벽은 슬롯 너비보다 큰 직경을 갖는다. 클램핑 패스너를 수용하기 위한 각각의 단차부가 형성된 보어 (582) 는 각각의 그룹의 슬롯 사이에 위치할 수 있고, 또는 슬롯들의 그룹의 수보다 더 적은 패스너가 사용되는 경우에, 단차부가 형성된 보어 (582) 는 모든 다른 슬롯의 그룹들 사이에 위치하거나 임의의 다른 바람직한 배열로 위치할 수 있다.
패스너로부터의 백킹을 방지하기 위해, 패스너의 샤프트는 전술한 짝지어진 록 와셔 절반들과 같은 록 와셔를 포함할 수 있다. 예를 들면, 단차부가 형성된 보어의 확장 부분의 대응하는 모양 때문에 회전가능하지 않은, 하나 이상의 록 와셔는 클램프 링의 온도 사이클링 동안에 패스너의 회전을 방지하기 위해 패스너 헤드의 밑면에 맞물리게 하는데 사용될 수 있다.
도 10a 내지 10c 는 백킹 플레이트 (506) 의 상세를 도시하고, 도 10a 는 백킹 플레이트의 상부면 (584) 의 정면도이고, 도 10b 는 백킹 플레이트의 하부면 (586)의 정면도이며, 도 10c 는 2 개의 캠 록에 대해 보어 (560) 들을 가로지르는 위치에서 얻어지는 횡단면도이다.
백킹 플레이트 (506) 의 상부면 (584) 은, 열 제어 플레이트 (510) 을 통해 백킹플레이트를 거기로 접착하기 위해 연장하는 패스너들을 수용하기 위한 나사산이 있는 개구들을 포함하는, 환상 영역 (588) 에서 열 제어 플레이트 (510) 상의 3 개의 환상 돌출부 (511) (도 5a 참조) 와 맞물린다. 추가적인 나사산이 있는 개구는 추가적인 패스너들을 수용하기 위한 상부 면의 주변부에 위치한다. 13 개의 로우의 홀 (590) 은 내부 전극의 가스 홀들과 정렬되도록 상부면과 하부면 사이에서 연장된다. 홀 (590) 은 차별적인 열 팽창을 보상하는 동안에 정렬을 유지하기 위해 내부 전극 가스 통로보다 크다. 열 팽창을 수용하기 위한 홀의 사이즈의 상세는 본원에 참조에 의해 포함되는 공동 소유의 미국 출원공개 제2008/0141941호 및 2008/0090417호에 기재되었다. 열 인터페이스 패드는 열 및 전기 전도성을 강화하기 위해 돌출부 (511) 와 영역 (588) 사이에 삽입되는 것이 바람직하다. 챔버 진공 압력을 감시하기 위해, 외부 전극의 홀들 (552) 에 매칭되는 홀 들의 그룹 (592) 은 O-링과 같은 가스 시일 (seal) 을 수용하는 홈 (594) 의 내부로 위치한다.
하부면 (586) 은 Q-패드와 같은 열 인터페이스 재료가 백킹 플레이트와 내부 전극 사이에 삽입된, 환상 영역 (596) 을 포함한다. 가스 시일 (O-링) 을 수용하기 위한 홈 (598) 은 가스 홀 (590) 을 중앙 구역 및 외부 환상 영역으로 분리한다. 정렬 핀 홀 (600) 은 내부 전극 및 외부전극으로부터 위쪽으로 연장하는 정렬 핀을 수용하도록 사이즈가 조절되고, 정렬 홀들이 또한 전극과 백킹 플레이트 사이의 차별적인 열 팽창 및 수축을 수용하도록 확대되거나 연장하는 중앙 핀 홀로부터 위치하도록 한다. 하부면은 외부 전극으로부터 위쪽으로 연장하는 로킹 핀 (562) 을 수용하는, 8 개의 수직으로 연장하는 단차부가 형성된 보어 (602) 를 포함한다. 수평으로 연장하는 보어 (604) 는 전술한 원통형 캠 록 (514) 을 수용한다.
본 발명이 본 발명의 특정 실시형태들을 참조하여 상세히 설명되었지만, 첨부된 특허청구범위로부터 벗어남 없이 다양한 변경 및 변형이 행해질 수 있고 등가물이 사용될 수 있다는 것이 당업자에게 명백할 것이다.

Claims (21)

  1. 샤워헤드 전극 어셈블리로서,
    상기 샤워헤드 전극은 용량성 커플링된 플라즈마 프로세싱 챔버의 상부 전극을 포함하고,
    상기 샤워헤드 전극 어셈블리는:
    백킹 플레이트로서, 상기 백킹 플레이트의 상부면과 하부면 사이에서 연장하는 가스 통로들을 갖는, 상기 백킹 플레이트;
    상기 백킹 플레이트의 상기 하부면 내의 나사산이 있는 개구들과 맞물리는 패스너들을 수용하도록 구성된, 내부로 연장하는 플랜지 및 단차부가 형성된 보어들을 갖는 클램프 링;
    상기 샤워헤드 전극은 원형 플레이트를 포함하고, 상기 원형 플레이트는 상기 원형 플레이트의 하부면에 플라즈마 노출면, 상기 원형 플레이트의 상부면에 탑재면, 상기 원형 플레이트의 외주부에 단차를 포함하는 내부면을 갖고, 상기 단차는 상기 클램프 링의 상기 내부로 연장하는 플랜지와 끼워맞춰지도록 구성되고, 상기 원형 플레이트는 상기 백킹 플레이트 내의 상기 가스 통로들과 매칭되는 패턴으로 배열된 프로세스 가스 배출구들을 포함하는, 상기 샤워헤드 전극;
    상기 클램프 링 플랜지와 상기 샤워헤드 전극의 상기 단차의 대향면들 사이의 압축 링; 및
    상기 백킹 플레이트의 상기 하부면에 탑재된 잭스크류들을 포함하고,
    상기 잭스크류들은 내부 전극을 분해하는 동안에 상기 백킹 플레이트로부터 상기 내부 전극을 분리하기 위해 상기 내부 전극의 상부면에 대해 상기 잭스크류들상에서 환상 플랜지들을 움직이도록 회전가능한, 샤워헤드 전극 어셈블리.
  2. 제 1 항에 있어서,
    상기 잭스크류들은 상기 백킹 플레이트의 상기 하부면 내의 나사산이 있는 개구들과 맞물리는 나사산이 있는 상부 샤프트들, 및 상기 잭스크류들의 각각을 회전시키는 툴과 맞물리도록 키잉된 개구들을 갖는 하부 샤프트들 포함하고,
    상기 샤워헤드 전극 어셈블리는,
    상기 클램프 링을 상기 백킹 플레이트에 유지하는 상기 패스너들을 수용하는 개구들 및 상기 상부 샤프트들을 수용하는 개구들을 갖는 상부 폴리머 링으로서, 상기 환상 플랜지들의 각각과 상기 백킹 플레이트의 대향면들 사이에 위치한 상기 폴리머 링, 및
    상기 하부 샤프트들을 둘러싸고 상기 환상 플랜지들의 각각과 상기 샤워헤드 전극의 대향면들 사이에 위치한 폴리머 와셔들을 더 포함하는, 샤워헤드 전극 어셈블리.
  3. 제 1 항에 있어서,
    상기 클램프 링은 상기 클램프 링의 열 팽창을 수용하기 위한 열팽창 영역들을 포함하는, 샤워헤드 전극 어셈블리.
  4. 제 3 항에 있어서,
    상기 열 팽창 영역은 상기 클램프 링의 외주부로부터 연장하는 내부 슬롯들과 상기 클램프 링의 내주부로부터 연장하는 외부 슬롯들을 포함하는, 샤워헤드 전극 어셈블리.
  5. 제 4 항에 있어서,
    상기 슬롯들은 교번되는 내부 및 외부 슬롯들의 그룹들로 배열되고, 슬롯들의 각 그룹은 상기 클램프 링의 상기 내주부로부터 연장되고 둥근 단부 벽들에서 종단하는 2 개의 외부 슬롯들 및 상기 클램프 링의 상기 외주부로부터 연장되고 둥근 단부 벽들에서 종단하는 3 개의 내부 슬롯들을 포함하는, 샤워헤드 전극 어셈블리.
  6. 제 1 항에 있어서,
    상기 백킹 플레이트와 상기 샤워헤드 전극 사이에 열 인터페이스 링 및 가스 시일을 더 포함하고, 상기 가스 시일은 상기 가스통로들 및 상기 열 인터페이스 링의 외부에 위치하는, 샤워헤드 전극 어셈블리.
  7. 제 1 항에 있어서,
    상기 클램프 링의 상기 플랜지와 상기 샤워헤드 전극의 외부 단차 사이의 압축 링을 더 포함하는, 샤워헤드 전극 어셈블리.
  8. 샤워헤드 전극 어셈블리의 외부 전극으로서,
    상기 외부 전극은 내부 전극을 둘러싸고, 상기 샤워헤드 전극은 용량성 커플링된 플라즈마 프로세싱 챔버의 상부 전극을 포함하며,
    상기 외부전극은,
    환상 플레이트로서, 상기 환상 플레이트의 하부면에 플라즈마 노출면과 상기 환상 플레이트의 상부면에 탑재면을 가지며, 상기 상부면은 상부 내부 단차와 상부 외부 단차 사이에 평면 환상면을 포함하고, 상기 플라즈마 노출면은 내부 경사면 및 외부 경사면을 포함하는, 상기 환상 플레이트; 및
    상기 평면 환상면 내의 원주방향으로 이격된 복수 개의 포켓들로서, 상기 포켓들 내부에 상기 외부 전극을 상기 샤워헤드 전극 어셈블리의 백킹 플레이트에 클램핑하도록 적응된 로킹 핀들을 수용하도록 구성된, 상기 복수 개의 포켓들을 포함하는, 샤워헤드 전극 어셈블리의 외부 전극.
  9. 제 8 항에 있어서,
    상기 상부면 상의 정렬 핀 보어들을 더 포함하고, 상기 정렬 핀 보어들은 상기 백킹 플레이트내로 연장하는 정렬 핀들과 정렬되도록 구성되고, 상기 상부 외부 단차는 가드 링의 외부면이 상기 외부 전극의 외부면과 동일면이 되도록 상기 샤워헤드 전극 어셈블리의 상기 가드 링을 지지하도록 구성되는, 샤워헤드 전극 어셈블리의 외부 전극.
  10. 제 8 항에 있어서,
    상기 하부면의 평면 환상면 내의 가스 홀들을 더 포함하고, 상기 가스 홀들은 상기 챔버의 진공 압력 측정치들을 제공하도록 압력계 유닛과 협력하도록 적응되고, 상기 평면 환상면은 상기 내부 경사면과 상기 외부 경사면 사이에서 연장되고, 상기 외부 경사면은 상기 평면 환상면과 30도보다 작은 각도를 형성하며, 상기 내부 경사면은 상기 평면 환상면과 30도보다 큰 각도를 형성하는, 샤워헤드 전극 어셈블리의 외부 전극.
  11. 용량성 커플링된 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리로서,
    상기 플라즈마 프로세싱 챔버의 온도 제어된 최상부 벽에 의해 지지되는 열 제어 플레이트로서, 상기 열 제어 플레이트는 플라즈마 프로세싱 챔버에서 프로세싱될 웨이퍼보다 큰 직경을 갖고, 상기 열 제어 플레이트의 하부면에 적어도 하나의 가스 플레넘을 포함하는, 상기 열 제어 플레이트;
    상기 열 제어 플레이트에 의해 지지되는 백킹 플레이트로서, 상기 백킹 플레이트는 상기 열 제어 플레이트보다 작은 직경, 수직으로 관통하여 연장되고 적어도 하나의 가스 플레넘과 연통하는 가스 통로들, 및 상기 백킹 플레이트의 외주부 내로 수평으로 연장하는 보어 내의 회전가능한 캠 록들을 갖는, 상기 백킹 플레이트;
    상기 백킹 플레이트를 둘러싸는 가드 링으로서, 상기 가드 링은 상기 가드 링을 통과하는 적어도 하나의 수평으로 연장하는 액세스 보어를 포함하고, 상기 가드 링은 상기 액세스 보어를 적어도 하나의 상기 캠 록과 정렬하기 위해 상기 백킹 플레이트 주위로 회전가능한, 상기 가드 링;
    내부 전극으로서, 상기 내부 전극은 상기 내부 전극을 수직으로 관통하여 연장하여 상기 백킹 플레이트 내의 상기 가스 통로들과 유체 소통하는 가스 통로들을 갖고, 상기 내부 전극의 외주부는 내부 및 외부 단차들을 포함하고, 상기 외부 단차는 상기 내부 단차와 상기 백킹 플레이트를 대향하는 상기 내부 전극의 표면 사이에 위치하는, 상기 내부 전극;
    상기 내부 전극의 상기 외부 단차 위에 놓인 내부 플랜지를 갖는 클램프 링으로서, 상기 내부 전극의 상기 외부 단차와 상기 내부 플랜지 사이에 선택적 압축 링을 갖고, 상기 클램프 링은 수직으로 연장하는 단차부가 형성된 개구들을 포함하고, 상기 단차부가 형성된 개구들은 상기 백킹 플레이트의 나사산이 있는 홀들과 정렬되며, 상기 단차부가 형성된 개구들 내의 패스너들은 상기 클램프 링을 상기 백킹 플레이트에 부착시키는, 상기 클램프 링; 및
    상기 내부 전극을 둘러싸고 상기 캠 록과 맞물리고 수직으로 연장하는 로킹 핀들을 포함하는 외부 전극으로서, 상기 외부 전극은 상기 가드 링을 지지하고 상기 로킹 핀들을 상기 캠 록들로부터 릴리징함으로써 제거할 수 있는, 상기 외부 전극을 포함하는, 샤워헤드 전극 어셈블리.
  12. 제 11 항에 있어서,
    상기 백킹 플레이트는 상기 외부 전극 내의 상기 로킹 핀들과 정렬된 수직으로 연장하는 보어들 및 축 방향으로 연장하는 보어들과 연통하는 수평으로 연장하는 보어들을 포함하고, 상기 캠 록들은 상기 수평으로 연장하는 보어들 내에 탑재된 회전가능한 캠샤프트들을 포함하고, 상기 로킹 핀들은 상기 로킹 핀들의 자유 단들에서 확장된 헤드들을 갖는 샤프트들 및 소켓들 내에 위치한 상기 로킹 핀들의 베이스들을 포함하고, 상기 캠샤프트들은 상기 외부 전극을 상기 백킹 플레이트에 기계적으로 클램핑하도록 상기 로킹 핀들의 상기 헤드들과 맞물리도록 적응된 캠 표면을 포함하는, 샤워헤드 전극 어셈블리.
  13. 제 12 항에 있어서,
    상기 로킹 핀들은 상기 백킹 플레이트와 상기 외부 전극의 차별적인 열 팽창을 수용하도록 상기 소켓들 내에서 수직으로 및 수평으로 이동할 수 있는, 샤워헤드 전극 어셈블리.
  14. 제 11 항에 있어서,
    상기 클램프 링은 상기 클램프 링의 열 팽창을 수용하기 위한 열 팽창 영역들을 포함하는, 샤워헤드 전극 어셈블리.
  15. 제 11 항에 있어서,
    상기 내부 전극은 단결정 실리콘의 플레이트이고 상기 백킹 플레이트는 알루미늄 합금의 플레이트인, 샤워헤드 전극 어셈블리.
  16. 제 11 항에 있어서,
    상기 백킹 플레이트의 하부면에 탑재된 잭스크류들을 더 포함하고, 상기 잭스크류들은 상기 내부 전극을 분해하는 동안에 상기 내부 전극을 상기 백킹 플레이트로부터 분리하도록 상기 잭스크류들 상에서 상기 내부 전극의 상부면에 대해 상기 플랜지들을 움직이기 위해 회전가능한, 샤워헤드 전극 어셈블리.
  17. 제 11 항에 있어서,
    상기 열 제어 플레이트는 상기 백킹 플레이트 내의 상기 가스 통로들과 연통하는 가스 플레넘들을 규정하는, 상기 열 제어 플레이트의 하부면의 환상 돌출부들을 포함하고, 적어도 2 개의 열 인터페이스 링들이 상기 내부 전극과 상기 백킹 플레이트 사이에 있는, 샤워헤드 전극 어셈블리.
  18. 제 17 항에 있어서,
    상기 백킹 플레이트와 상기 샤워헤드 전극 사이의 가스 시일을 더 포함하고, 상기 가스 시일은 상기 가스 통로들 및 가장 바깥쪽의 열 인터페이스 링의 외부에 위치하는, 샤워헤드 전극 어셈블리.
  19. 상부 전극 및 하부 전극을 갖는 용량성 커플링된 플라즈마 챔버에서 반도체 기판을 처리하는 방법으로서,
    상기 상부 전극은 제 11 항의 상기 샤워헤드 전극 어셈블리를 포함하고,
    상기 반도체 기판을 처리하는 방법은,
    상기 하부 전극 상에 상기 반도체 기판을 지지하는 단계;
    상기 챔버로 프로세스 가스를 공급하는 단계;
    상기 상부 전극과 상기 하부 전극 사이에 RF 에너지를 공급함으로써 상기 프로세스 가스를 플라즈마 상태로 에너자이징하는 단계; 및
    상기 반도체 기판을 상기 플라즈마를 이용하여 프로세싱하는 단계를 포함하는, 반도체 기판 처리 방법.
  20. 제 19 항에 있어서,
    상기 반도체 기판은 반도체 웨이퍼를 포함하고, 상기 프로세싱하는 단계는 상기 반도체 웨이퍼를 상기 플라즈마로 에칭하는 단계를 포함하는, 반도체 기판 처리 방법.
  21. 제 11 항의 상기 샤워헤드 전극 어셈블리를 분해하는 방법으로서,
    상기 가드 링 내의 액세스 보어들 내의 삽입물들을 제거하는 단계;
    상기 액세스 보어들이 캠 록들의 제 1 그룹과 정렬되는 제 1 위치로 상기 가드 링을 회전시키는 단계;
    상기 캠 록들의 제 1 그룹에 의해 유지되는 로킹 핀들을 릴리징하기 위해 상기 캠 록들을 회전시키는 단계;
    상기 액세스 보어들이 캠 록들의 제 2 그룹과 정렬되는 제 2 위치로 상기 가드 링을 회전시키는 단계;
    상기 캠 록들의 제 2 그룹에 의해 지지되는 로킹 핀들을 릴리징하기 위해 상기 캠 록들의 제 2 그룹을 회전시켜 상기 백킹 플레이트로부터 상기 외부 전극을 릴리징하는 단계; 및
    상기 클램프 링의 패스너들을 제거하여 상기 클램프 링 및 상기 내부 전극을 상기 백킹 플레이트로부터 릴리징하는 단계를 포함하는, 샤워헤드 전극 어셈블리를 분해하는 방법.
KR1020117000367A 2008-07-07 2009-07-06 클램핑된 샤워헤드 전극 어셈블리 KR101168847B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,526 2008-07-07
US12/216,526 US8161906B2 (en) 2008-07-07 2008-07-07 Clamped showerhead electrode assembly
PCT/US2009/003953 WO2010005541A2 (en) 2008-07-07 2009-07-06 Clamped showerhead electrode assembly

Publications (2)

Publication Number Publication Date
KR20110016489A true KR20110016489A (ko) 2011-02-17
KR101168847B1 KR101168847B1 (ko) 2012-07-30

Family

ID=41464712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000367A KR101168847B1 (ko) 2008-07-07 2009-07-06 클램핑된 샤워헤드 전극 어셈블리

Country Status (7)

Country Link
US (2) US8161906B2 (ko)
EP (1) EP2301309B1 (ko)
JP (1) JP5615813B2 (ko)
KR (1) KR101168847B1 (ko)
CN (1) CN102084726B (ko)
TW (2) TWI504317B (ko)
WO (1) WO2010005541A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015053992A1 (en) * 2013-10-09 2015-04-16 Applied Materials, Inc. Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger
KR20160007394A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
KR20160007409A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
KR20190118210A (ko) * 2017-03-08 2019-10-17 램 리써치 코포레이션 볼트리스 (boltless) 기판 지지체 어셈블리
KR20220154560A (ko) * 2021-05-13 2022-11-22 플라텍(주) 체결용 조립체
KR20220154559A (ko) * 2021-05-13 2022-11-22 플라텍(주) 체결용 조립체

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
JP5650547B2 (ja) * 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009239067A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd 結合部材およびプラズマ処理装置
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
TWI368543B (en) * 2009-01-12 2012-07-21 Inotera Memories Inc A showerhead clean rack and a ultrasonic cleaning method thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101050463B1 (ko) * 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) * 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
CN104952678A (zh) * 2014-03-28 2015-09-30 中微半导体设备(上海)有限公司 用于等离子体处理的电极组件及其制造方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101593086B1 (ko) * 2015-05-06 2016-02-11 하나머티리얼즈(주) 원터치 공정 가스 분사체 조립체
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3456549A (en) * 1967-10-10 1969-07-22 Herbert C Horton Hexagonal t-nuts
US4324036A (en) * 1979-06-04 1982-04-13 Quanta Chemical Ltd. "Method of making orthodontic screw-type device"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
TW432578B (en) * 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
KR100596822B1 (ko) * 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
AUPQ270599A0 (en) * 1999-09-08 1999-09-30 Varian Australia Pty Ltd Spectrophotometer apparatus and phosphorescence measurement
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
CN1199247C (zh) * 2000-05-17 2005-04-27 东京毅力科创株式会社 等离子体刻蚀处理装置及其维护方法
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
KR100472410B1 (ko) * 2002-03-29 2005-03-10 삼성전자주식회사 반도체 기판을 가공하기 위한 전극 조립체 및 이를 갖는가공장치
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
JP4847009B2 (ja) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050116427A1 (en) 2003-11-25 2005-06-02 Francis Seidel Corrugated gasket core with profiled surface
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP5650547B2 (ja) 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015053992A1 (en) * 2013-10-09 2015-04-16 Applied Materials, Inc. Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger
KR20160007394A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
KR20160007409A (ko) * 2014-07-11 2016-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 상부 전극 어셈블리
KR20190118210A (ko) * 2017-03-08 2019-10-17 램 리써치 코포레이션 볼트리스 (boltless) 기판 지지체 어셈블리
KR20220154560A (ko) * 2021-05-13 2022-11-22 플라텍(주) 체결용 조립체
KR20220154559A (ko) * 2021-05-13 2022-11-22 플라텍(주) 체결용 조립체

Also Published As

Publication number Publication date
JP5615813B2 (ja) 2014-10-29
KR101168847B1 (ko) 2012-07-30
US8161906B2 (en) 2012-04-24
EP2301309A2 (en) 2011-03-30
TWM402580U (en) 2011-04-21
WO2010005541A2 (en) 2010-01-14
WO2010005541A3 (en) 2010-04-22
US20120171872A1 (en) 2012-07-05
JP2011527520A (ja) 2011-10-27
US20100003824A1 (en) 2010-01-07
EP2301309B1 (en) 2015-05-06
US8313805B2 (en) 2012-11-20
TW201018321A (en) 2010-05-01
EP2301309A4 (en) 2013-07-31
TWI504317B (zh) 2015-10-11
CN102084726B (zh) 2014-10-08
CN102084726A (zh) 2011-06-01

Similar Documents

Publication Publication Date Title
KR101168847B1 (ko) 클램핑된 샤워헤드 전극 어셈블리
US8796153B2 (en) Clamped monolithic showerhead electrode
US8419959B2 (en) Clamped monolithic showerhead electrode
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8573152B2 (en) Showerhead electrode
KR200481236Y1 (ko) 캠-로킹된 샤워헤드 전극 및 어셈블리
KR20090080520A (ko) 석영 가드 링 센터링 피쳐들
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150706

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160711

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170711

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180711

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 8