TWI536871B - 單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法 - Google Patents

單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法 Download PDF

Info

Publication number
TWI536871B
TWI536871B TW098122904A TW98122904A TWI536871B TW I536871 B TWI536871 B TW I536871B TW 098122904 A TW098122904 A TW 098122904A TW 98122904 A TW98122904 A TW 98122904A TW I536871 B TWI536871 B TW I536871B
Authority
TW
Taiwan
Prior art keywords
electrode
support plate
showerhead electrode
unit
gas
Prior art date
Application number
TW098122904A
Other languages
English (en)
Other versions
TW201016079A (en
Inventor
瑞哲 派崔克
桂格R 貝藤寇特
麥可C 克拉吉
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201016079A publication Critical patent/TW201016079A/zh
Application granted granted Critical
Publication of TWI536871B publication Critical patent/TWI536871B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/12Furniture type having a rotatable fastener or fastening element that tightens connection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/13Furniture type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/32Articulated members
    • Y10T403/32254Lockable at fixed position
    • Y10T403/32426Plural distinct positions
    • Y10T403/32442At least one discrete position
    • Y10T403/32451Step-by-step adjustment
    • Y10T403/32459Retainer extends through aligned recesses
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7005Lugged member, rotary engagement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7009Rotary binding cam or wedge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7041Interfitted members including set screw

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法
本發明係關於一種電漿處理室的噴淋頭電極組件,於此電漿處理室中可製造半導體元件。
依照一實施例,一種噴淋頭電極組件包含夾固於支撐板的單體式階狀(monolithic stepped)電極,其中噴淋頭電極組件包含電容耦合於電漿處理室的上部電極。此階狀電極為於其底面上具有電漿曝露表面,並於其頂面上具有安裝表面的圓形板。此安裝表面包含複數個對準銷凹部,其設置係用以容納與支撐板之對準銷孔的圖案相對應而排列的對準銷,此支撐板並由凸輪鎖加以抵靠固定且包含處理氣體出口,此處理氣體出口係與支撐板之氣體供應孔的圖案相對應而排列。此頂面包含圍繞內平面的外凹表面,此電漿曝露表面包含內、外傾斜表面。位於外凹表面的複數周向間隔凹穴之設置係可將鎖定銷容納於其內而可配合凸輪鎖將階狀電極夾固於支撐板。
依照另一實施例,一種電容耦合電漿處理室的噴淋頭電極組件包含:熱控制板、支撐板、保護環以及階狀電極。此熱控制板被電漿處理室的溫度控制壁所支撐並且具有大於在電漿處理室內欲進行處理之晶圓的直徑,且包含位於其底側的環狀凸部,在環狀凸部之間具有充氣部。該充氣部乃與支撐板之氣體通道(說明於後)相連通。此支撐板被熱控制板加以支撐,並且具有小於熱控制板的直徑、貫穿其中之氣體通道、以及位於水平延伸鑽孔內的凸輪鎖。此保護環具有等於支撐板之外周緣厚度的高度、以及至少一個穿過此保護環的水平延伸存取鑽孔(access bores),此保護環可繞著支撐板轉動,而使存取鑽孔與至少其中之一凸輪鎖對正。此 階狀電極具有貫穿其中之氣體通道而與支撐板流體相連通。此階狀電極可包含與凸輪鎖嚙合的垂直延伸鎖定銷,並且可支撐保護環,且可藉由從凸輪鎖卸除鎖定銷而被加以移除。
積體電路晶片的製造典型上係開始於稱為「晶圓」之高純度、單晶半導體材料基板(例如矽或鍺)的磨光薄片。每一個晶圓會經過一連串的物理與化學處理步驟,這些步驟可在晶圓上形成各種電路結構。在製造處理期間,吾人可使用各種不同的技術,例如用以產生二氧化矽膜的熱氧化;用以產生矽、二氧化矽、以及氮化矽膜的化學氣相沉積;以及濺鍍;或用以產生其他金屬膜的其他技術,而將各種不同種類的薄膜沉積在晶圓上。
將薄膜沉積在半導體晶圓上之後,可藉由使用被稱為摻雜的處理,而將所選擇的雜質替換到半導體晶格內,以產生獨特的半導體電性。然後,經過摻雜的矽晶圓可均勻塗佈被稱為「光阻」之具光敏感性、或輻射敏感性材料的薄層。然後,吾人可使用已知的微影處理,將用以在電路中界定電子路徑(electron paths)的微小幾何圖案轉印到光阻上。在微影處理期間,積體電路圖案可描繪在被稱為「遮罩」的玻璃板上,然後經過光學縮小、投射、並且轉印到此光敏感性塗膜上。
然後透過已知的蝕刻處理,將經過微影處理的光阻圖案轉印到下層半導體材料的結晶表面上。真空處理室一般用於基板上之材料的蝕刻以及化學氣相沉積(CVD,chemical vapor deposition),其係藉由將蝕刻或沉積氣體供應至真空室,並且將無線射頻(RF,radio frequency)場施加至此氣體,而將此氣體激發成電漿態。
反應性離子蝕刻系統典型上係由在其內安置有上部電極(或陽極)及下部電極(或陰極)的蝕刻室所組成。此陰極係相對於此陽極以及容器壁而進行負偏壓。以適當的遮罩來覆蓋待蝕刻的晶圓並且將此晶圓直接放置在陰極上。將例如具有CF4、CHF3、CClF3、HBr、Cl2以及SF6或其與O2、N2、He或Ar之混合物的化學反應 性氣體導入蝕刻室內,並且維持在典型上為毫托(millitorr)等級的壓力。上部電極設有氣體孔洞,其允許氣體均勻分散通過電極而進入腔室內。建立在陽極與陰極之間的電場可使反應性氣體產生解離而形成電漿。晶圓的表面可藉由與活性離子的化學交互作用以及衝擊晶圓表面的離子動量傳遞而加以蝕刻。藉由這些電極所產生的電場可將離子吸引到陰極,而使離子以主要垂直方向衝擊表面,俾能使此處理產生界定良好的垂直蝕刻側壁。吾人通常可藉由機械適用及/或導熱性的黏著劑來接合兩個以上的相異部件而製造蝕刻反應器電極,以提供功能的多樣性。
圖1為一橫剖面圖,其顯示用以蝕刻基板之電漿處理系統之噴淋頭電極組件100的一部分。如圖1所示,噴淋頭電極組件100包含階狀(stepped)電極110、支撐板140、以及保護環(或外環)170。噴淋頭電極組件100亦包含電漿約束組件(或晶圓區域壓力(WAP,wafer area pressure)組件)180,其圍繞在上部電極110以及支撐板140的外周緣。
組件100亦包含:熱控制板102;以及上部(頂)板104,於其內具有液體流道並且用以形成腔室的溫度控制壁。熱控制板102包含位於其底表面上的環狀凸部102a,此環狀凸部用以界定與支撐板140之氣體通道108相連通的氣體充氣部102b。階狀電極110較佳為圓柱形板件,並且可由具導電性之高純度材料所製造,例如單晶矽、多晶矽、碳化矽或其他適合的材料(例如鋁或其合金、經過陽極處理的鋁、塗佈氧化釔的鋁)。支撐板140係以下述機械扣合件而機械式地固定於電極110。保護環170圍繞在支撐板140,並且可如下所述般地存取凸輪(cam)鎖。
如圖1所示的噴淋頭電極組件100典型上係與容納一扁平狀下部電極的靜電夾頭(未圖示)一起使用,於此下部電極上,以約1至2cm的距離將晶圓支撐在上部電極110的下方。此種電漿處理系統的一範例為平行板式的反應器,例如由Lam Research Corporation of Fremont,Calif所製造之Exelan®介電蝕刻系統。此種箝制裝置可藉由供應用以控制晶圓與夾頭間之熱傳速率的背側 氦(He)壓力,而提供晶圓的溫度控制。
上部電極110為消耗性零件,其必須定期更換。為了將處理氣體供應至位於晶圓與上部電極之間的間隙,上部電極110設有氣體排放通道106,其具有適用於供應處理氣體的尺寸與分佈,此處理氣體被電極所激發並在上部電極110下方的反應區內形成電漿。
噴淋頭電極組件100亦包含電漿約束組件(或晶圓區域壓力(WAP)組件)180,其圍繞在上部電極110以及支撐板140的外周緣。電漿約束組件180較佳係由複數間隔石英環190或其堆疊體所構成,其圍繞在上部電極110以及支撐板140的外周緣。在處理期間,電漿約束組件180會在反應區內造成壓差,並且增加反應室壁與電漿之間的電阻,藉以約束上部電極110與下部電極(未圖示)之間的電漿。
在使用期間,約束環190可將電漿約束在腔室容積,並且控制反應室內的電漿壓力。對於反應室的電漿約束而言,其係許多因素的函數,這些因素包含:約束環190之間的間隔、在約束環外的反應室壓力與電漿壓力、氣體的種類與流率、以及RF功率的等級與頻率。假使約束環190之間的間隔非常小時,吾人可更容易達成電漿的約束。典型上,約束所需的間隔為0.15吋以下。然而,約束環190的間隔亦會決定電漿的壓力,較佳的情況係在維持電漿的同時,亦可調整此間隔,以達到最佳處理性能所需的壓力。來自氣體供應源的處理氣體透過位於上部板104的一個以上通道而供應至電極110,這些通道允許處理氣體被供應至位於晶圓上方的單一區域或多個區域。
電極110較佳為平面圓盤或板,其從中心(未圖示)至增厚區域具有均勻厚度,此增厚區域用以在從外緣朝內延伸的電漿曝露表面上形成台階。電極110較佳係具有大於待處理之晶圓的直徑,例如300mm以上。為了處理300mm的晶圓,上部電極110的直徑可從約15吋至約17吋。為了將處理氣體注入位於上部電極110下方的電漿反應室空間內,上部電極110較佳係包含多個氣體通 道106。
對於電極110的電漿曝露表面而言,單晶矽以及多晶矽係較佳的材料。高純度的單晶或多晶矽可將電漿處理期間的基板污染降至最低,因為其僅將最少量的不良元素導入反應室內,並且可在電漿處理期間被平穩磨耗,藉以使微粒降至最少。含有能夠用於上部電極110之電漿曝露表面之材料複合物的替代性材料,可包含例如鋁(如在此所使用的「鋁」係屬於純Al及其合金)、塗佈氧化釔的鋁、SiC、SiN、以及AlN。
支撐板140較佳係由下列材料所製造:與用在電漿處理室內對半導體基板進行處理的處理氣體具有化學相容性;具有與電極材料相匹配而接近的熱膨脹係數;及/或具有導電與導熱性。能夠用以製造支撐板140的較佳材料包含但不限於石墨、SiC、鋁(Al)、或其他適合的材料。
上部電極110機械式地接附於支撐板140,而在電極與支撐板之間不具任何黏著劑接合,即不使用導熱導電彈性接合材料將電極接附於支撐板。
支撐板140較佳係以適合的機械扣合件而接附於熱控制板102,此扣合件可為螺紋螺栓、螺桿等等。舉例而言,螺栓(未圖示)可插入位於熱控制板102的孔洞,並且旋入位於支撐板140的螺紋開口。熱控制板102包含彎曲部分184,其較佳係由經過加工的金屬材料所製造,例如鋁、鋁合金等等。上部溫度控制板104較佳係由鋁或鋁合金所製造。電漿約束組件(或晶圓區域壓力(WAP)組件)180係安置在噴淋頭電極組件100的外部。包含複數垂直可調式電漿約束環190的適當電漿約束組件180被說明於共同擁有之美國專利第5,534,751號,其內容藉由參考文獻方式合併於此。
上部電極可藉由如共同擁有之美國專利申請案第61/036,862號(申請於2008年3月14日,其揭露內容藉由參考文獻方式加以合併)所述的凸輪鎖,而機械式地接附於支撐板。參考圖2A,示範凸輪鎖的立體圖包含部分的電極201以及支撐板203。凸輪鎖能夠在種種與晶圓製造廠相關的工具(例如圖1所示之電漿蝕刻室) 內,快速地、俐落地、並且精確地將消耗性電極201接附於支撐板。
凸輪鎖包含安裝在承座213內的螺樁(鎖定銷)205。此螺樁可被例如不銹鋼Belleville墊圈的盤狀彈簧堆疊體215所圍繞。於是螺樁205與盤狀彈簧堆疊體215可透過黏著劑或機械扣合件的使用而壓裝(press-fit)到承座213內或以其他方式扣入承座213內。螺樁205與盤狀彈簧堆疊體215被配置到承座213內,以使電極201與支撐板203之間可存在限量的橫向移動。有限橫向移動的量可提供電極201與支撐板203之間的緊密安裝,如此而確保良好的熱接觸,同時仍可在兩零件間之熱膨脹差異時,提供某些移動。以下,將更詳細討論有限橫向移動特徵的額外細節。
在一具體示範實施例中,承座213係由軸承等級的Torlon®所製造。或者,承座213可由具有某種機械特性的其他材料所製造,例如良好的強度與耐衝擊性、潛變抵抗性(creep resistance)、尺寸安定性、輻射抵抗性、以及耐化學性均可使用。例如聚醯胺、聚醯亞胺、縮醛、以及超高分子量聚乙烯材料的各種材料皆可適用。因為在應用(例如蝕刻室)上典型的最高溫度為230℃,因此不需高溫專用塑膠以及其他相關材料來形成承座213。一般而言,典型操作溫度係較接近於130℃。
凸輪鎖的其他部分係由凸輪軸207所構成,此凸輪軸的每一末端被一對凸輪軸軸承209所圍繞。凸輪軸207以及凸輪軸軸承組件被安裝到在支撐板203內所加工而成的支撐板鑽孔211內。在為了300mm半導體晶圓所設計之蝕刻室的一典型應用中,8個以上的凸輪鎖可圍繞電極201/支撐板203之組合的周緣而間隔裝設。
凸輪軸軸承209可由種種材料加工而成,其包含Torlon®、Vespel®、Celcon®、Delrin®、Teflon®、Arlon®、或例如具有低摩擦係數以及低微粒脫離(particle shedding)之氟聚合物、縮醛、聚醯胺、聚醯亞胺、聚四氟乙烯、以及聚醚醚酮(PEEK,polyetheretherketones)的其他材料。螺樁205以及凸輪軸207可由 不銹鋼(例如316、316L、17-7等等)或任何能提供良好強度與耐蝕性的其他材料加工而成。
以下參考圖2B,凸輪鎖的橫剖面圖進一步例示如何操作凸輪鎖而將電極201拉近支撐板203。螺樁205/盤狀彈簧堆疊體215/承座213組件被安裝到電極201內。如同顯示,此組件可藉由承座213上的外螺紋而旋入位於電極201的螺紋凹穴內。然而,吾人可藉由黏著劑或其他種類的機械扣合件來安裝此承座。
在圖3中,具有擴大頭部之螺樁205、盤狀彈簧堆疊體215、以及承座213的側視與組裝視圖300可對凸輪鎖的示範設計提供額外的細節。在一具體示範實施例中,螺樁/盤狀彈簧組件301被壓裝到承座213內。承座213具有外螺紋以及六邊形頂部件,而允許以少量扭矩(例如在一具體示範實施例中,約20吋-磅)即可輕易插入電極201(參見圖2A與2B)。如上所述,承座213可由各種塑膠加工而成。使用塑膠可將微粒產生降至最低並且可允許承座213以無磨損(gall-free)方式安裝到位於電極201上的配合凹穴內。
螺樁/承座組件303顯示承座213之頂部的內徑係大於螺樁205之中間部分的外徑。兩部分之間的直徑差可在如上所述之組裝凸輪鎖中提供受限的橫向移動。在承座213的底部,螺樁/盤狀彈簧組件301係與承座213維持牢固的接觸,而直徑差可允許某些橫向移動(亦可參見圖2B)。
參考圖4A,凸輪軸207與凸輪軸軸承209的分解視圖400亦顯示鍵銷(keying pin)401。具有鍵銷401之凸輪軸207的末端首先插入支撐板鑽孔211內(參見圖2B)。位於支撐板鑽孔211遠端的一對微小配合孔洞(未圖示)可提供凸輪軸207與支撐板鑽孔211的適當對正。凸輪軸207的側視圖420清楚顯示位於凸輪軸207之一末端上之六邊形開口403與位於相對末端上之鍵銷401的可能配置。
例如,繼續參考圖4A與2B,吾人可藉由將凸輪軸207插入支撐板鑽孔211而組裝凸輪鎖。鍵銷401可藉由與該對微小配合孔洞的其中之一接合而限制凸輪軸207在支撐板鑽孔211內的轉 動距離。此凸輪軸可透過六邊形開口403的使用而首先以例如逆時針方式轉向一方向,而允許螺樁205進入凸輪軸207內,然後以順時針方式與螺樁205完全嚙合並加以鎖定。將電極201固定於支撐板203所需的夾固力量可藉由將盤狀彈簧堆疊體215壓縮超出其自由堆疊高度而供應。凸輪軸207具有內偏心切除部分(cutout),其可與螺樁205的擴大頭部嚙合。當盤狀彈簧堆疊體215進行壓縮時,夾固力量可從盤狀彈簧堆疊體215中的個別彈簧傳送到承座213,並且透過電極201而傳送到支撐板203。
在一示範操作模式中,一旦凸輪軸軸承接附於凸輪軸207並且插入支撐板鑽孔211之後,凸輪軸207可被逆時針轉動至其最大轉動距離。於是螺樁/承座組件303(圖3)可被輕輕地扭入電極201內。然後將螺樁205的頭部插入位於水平延伸支撐板鑽孔211下方的垂直延伸穿孔內。電極201係抵靠著支撐板203而固定,凸輪軸207則順時針轉動直到鍵銷落入兩微小配合孔洞(未圖示)中的第二個內或聽到聲響(以下將詳加說明)為止。此示範操作模式可反向從支撐板203卸除電極201。然而,在凸輪鎖中,例如聲響的特徵係可選的。
參考圖4B,其為圖4A之凸輪軸207之側視圖420中沿著A-A線進行剖面所顯示之剖面視圖,顯示出切削路徑邊緣(cutter path edge)440,藉由此切削路徑邊緣,螺樁205的頭部可被完全固定。在一具體示範實施例中,選擇兩個半徑R1與R2,俾使螺樁205的頭部發出上述可選的聲響音,以表示螺樁205完全被固定。
圖5顯示用於電容耦合電漿室的上部電極組件500,其包含下列特徵:(a)非接合凸輪鎖定式電極502;(b)支撐板506;以及(c)保護環508,其提供將此電極固定於支撐板506的凸輪鎖存取方式。
電極組件500包含熱控制板510,其係從腔室外部用螺栓固定至腔室的溫度控制頂壁512。電極502係以可卸除方式藉由上述參考圖2-4的凸輪鎖514,而從腔室內部接附於支撐板。
在一較佳實施例中,電極組件500的電極502可藉由下列步 驟加以拆卸:(a)將保護環508轉動至第一位置,以使保護環的四個孔洞與位在支撐板之外側部分的間隔位置上的四個凸輪鎖514進行對正;(b)插入例如六角扳手(allen wrench)的工具而使其穿過保護環的每一個孔洞,並且轉動每一個凸輪鎖,以卸除各凸輪鎖的垂直延伸鎖定銷;(c)將保護環轉動90°而使其到達第二位置,以使保護環的四個孔洞與另外四個凸輪鎖進行對正;以及(d)插入例如六角扳手的工具而使其穿過保護環的每一個孔洞,並且轉動每一個凸輪鎖,以卸除各凸輪鎖的鎖定銷;藉以降下電極502並從電漿室將其加以移除。
圖5亦顯示其中一凸輪鎖的橫剖面圖,於其中可轉動式凸輪鎖514係位於支撐板506之外側部分的水平延伸鑽孔560內。圓柱形凸輪鎖514可藉由例如六角扳手的工具而轉動至:(a)一鎖定位置,於此位置上,鎖定銷562的擴大端可與凸輪鎖514的凸輪表面嚙合,此凸輪表面可提起鎖定銷的擴大端;或(b)一卸除位置,於此位置上,鎖定銷562不與凸輪鎖514的擴大端嚙合。支撐板包含位於其底面的垂直延伸鑽孔,透過這些鑽孔,鎖定銷可被插入而與凸輪鎖嚙合。
圖6A-B顯示電極502的細節。電極502較佳為高純度(小於10ppm雜質)、低電阻係數(0.005到0.02歐姆-cm)的單晶矽板件,在其頂面(安裝表面)522具有用以容納對準銷524的對準銷孔520。氣體孔洞528從頂面延伸至底面(電漿曝露表面)530,並且可排列成任何適當的圖案。在所示之實施例中,這些氣體孔洞被排列成13個周向延伸(circumferentially extending)列,而在第一列中的3個氣體孔洞係距離此電極之中心約0.5吋,在第二列中的13個氣體孔洞係距離此中心約1.4吋,在第三列中的23個氣體孔洞係距離此中心約2.5吋,在第四列中的25個氣體孔洞係距離此中心約3.9吋,在第五列中的29個氣體孔洞係距離此中心約4.6吋,在第六列中的34個氣體孔洞係距離此中心約5.4吋,在第七列中的39個氣體孔洞係距離此中心約6吋,在第八列中的50個氣體孔洞係距離此中心約7.5吋,在第九列中的52個氣體孔洞係距離 此中心約8.2吋,在第十列中的53個氣體孔洞係距離此中心約9吋,在第十一列中的57個氣體孔洞係距離此中心約10.3吋,在第十二列中的59個氣體孔洞係距離此中心約10.9吋,以及在第十三列中的63個氣體孔洞係距離此中心約11.4吋。
此電極的頂面包含9個對準銷孔,其中3個銷孔係靠近此中心,3個銷孔係位於環狀凹部的內部,而另外3個銷孔係位於靠近電極之外側部分的環狀凹部內。此3個中央銷孔係呈徑向對正,並且包含位於內電極之中心的1個銷孔以及位於第三與第四列氣體孔洞之間的2個銷孔。靠近環狀凹部的中間銷孔包含與中央銷孔徑向對正的1個銷孔以及其他2個以相隔120°的銷孔。外側的3個銷孔以120°在鄰接凹穴之間的位置上隔開。
圖6A係一立體前視圖,其顯示具有13列氣體孔洞之電極502的電漿曝露表面530。圖6B顯示具有13列氣體孔洞之頂面的立體圖。
電極502包含:外側台階(突出部分)536,其用以支撐保護環508;頂面(安裝表面)522,其與支撐板506的底表面嚙合;底面(電漿曝露階狀表面)530,其包含內傾斜表面544、水平表面546、以及外傾斜表面548;以及位於頂面522的8個凹穴550,於其中可安裝鎖定銷。
圖7係支撐板506的立體圖。此支撐板包含13列氣體通道584,這些氣體通道與位在噴淋頭電極502的氣體孔洞528對正。支撐板的頂面586包含3個環狀區域588a、588b、588c,這些環狀區域與熱控制板510的環狀凸部接觸。如共同讓與之美國專利公開案第2005/0133160號、第2007/0068629號、第2007/0187038號、第2008/0087641號以及第2008/0090417號所揭露,此熱控制板可藉由延伸穿過頂壁而進入熱控制板的扣合件,進而接附於電漿室的頂壁,上述公開專利案的整體揭露內容皆合併於此。螺紋開口590係位於頂面586的外周緣以及環狀區域588a、588b、588c內,以容納延伸穿過頂壁512與熱控制板510之開口的扣合件,而使支撐板506與熱控制板510保持接觸。例如,參見共同讓與 之美國專利公開案第2008/0087641號,其揭露可用以提供熱循環的扣合件。位於頂面586的溝槽592可容納O型環,此O型環可在支撐板506與熱控制板510之間提供氣體密封。位於頂面586的對準銷鑽孔594可容納對準銷,這些對準銷可安裝到位在熱控制板的對準銷鑽孔內。位在介於鑽孔560之間的水平延伸螺紋開口561可容納介電扣合件,這些介電扣合件在噴淋頭電極組裝之後可用以防止保護環轉動並將位於保護環的存取鑽孔(access bores)插入。
圖8係已移除保護環後之噴淋頭電極組件500的立體圖。如上所述,保護環可轉動至一個以上的組裝位置,在這些組裝位置上,凸輪鎖可被嚙合並且轉動至鎖定位置,在此鎖定位置上,介電扣合件可插入開口561,而使保護環維持不與支撐板的外周緣接觸,因此允許支撐板的熱膨脹。熱控制板包含具有開口596的凸緣595,透過此凸緣,執行機構可支撐電漿約束環。電漿約束環組件之安裝裝置的細節可參考共同讓與之美國專利公開案第2006/0207502號以及第2006/0283552號,上述專利公開案的整體揭露內容皆合併於此。
因為8個鎖定銷所施加的夾固力量,所以電極的安裝表面522可鄰接支撐板506的相對表面,這些鎖定銷係由位在支撐板的8個凸輪鎖加以固定。保護環508可覆蓋位於支撐板506的安裝孔,而位於保護環的存取開口可填塞由耐電漿聚合物材料所製成的可移除式插入件,此材料例如為Torlon®、Vespel®、Celcon®、Delrin®、Teflon®、Arlon®、或例如具有低摩擦係數以及低微粒脫離之氟聚合物、縮醛、聚醯胺、聚醯亞胺、聚四氟乙烯、以及聚醚醚酮(PEEK)的其他材料。
參考圖5,支撐板506與電極502之間的電接點可藉由位在電極之外周緣以及位在中央對準銷與外側Q-襯墊間之一處以上位置的一個以上Q-襯墊556加以提供。例如,吾人可使用具有約4與12吋之直徑的Q-襯墊。共同擁有之美國專利申請案第11/896,375號(申請於2007年8月31日)包含Q-襯墊的細節,其揭露內容藉 由參考文獻方式合併於此。為了提供不同的處理氣體混合物及/或流率,吾人可將一個以上的可選氣體隔板密封件設置在中心對準銷與外側Q-襯墊之間。例如,在電極502與支撐板506之間,單個Q型環可設置在位於內側與外側Q-襯墊之間的一位置上,以隔開內側氣體分配區與外側氣體分配區。位於電極502與支撐板506之間沿著外側Q-襯墊之內周緣的O型環558,可在電極與支撐板之間提供氣體與微粒密封。
雖然本發明已參考其具體實施例而進行詳細說明,但熟習本項技藝者可明白在不離開隨附請求項之範圍的情況下,當可進行各種變化與修改,並且可使用等效替代。
100‧‧‧噴淋頭電極組件
102‧‧‧熱控制板
102a‧‧‧環狀凸部
102b‧‧‧氣體充氣部
104‧‧‧頂板
106‧‧‧氣體排放通道
108‧‧‧氣體通道
110‧‧‧階狀電極
140‧‧‧支撐板
170‧‧‧保護環
180‧‧‧電漿約束組件
184‧‧‧彎曲部分
190‧‧‧約束環
201‧‧‧電極
203‧‧‧支撐板
205‧‧‧螺樁
207‧‧‧凸輪軸
209‧‧‧凸輪軸軸承
211‧‧‧支撐板鑽孔
213‧‧‧承座
215‧‧‧盤狀彈簧堆疊體
300‧‧‧側視與組裝視圖
301‧‧‧螺樁/盤狀彈簧組件
303‧‧‧螺樁/承座組件
400‧‧‧分解視圖
401‧‧‧鍵銷
403‧‧‧六邊形開口
420‧‧‧側視圖
440‧‧‧切削路徑邊緣
500‧‧‧上部電極組件
502‧‧‧電極
506‧‧‧支撐板
508‧‧‧保護環
510‧‧‧熱控制板
512‧‧‧溫度控制頂壁
514‧‧‧凸輪鎖
520‧‧‧對準銷孔
522‧‧‧頂面
524‧‧‧對準銷
528‧‧‧氣體孔洞
530‧‧‧底面
536‧‧‧外側台階
544‧‧‧內傾斜表面
546‧‧‧水平表面
548‧‧‧外傾斜表面
550‧‧‧凹穴
556‧‧‧Q-襯墊
558‧‧‧O型環
560‧‧‧鑽孔
561‧‧‧水平延伸螺紋開口
562‧‧‧鎖定銷
584‧‧‧氣體通道
586‧‧‧支撐板頂面
588a‧‧‧環狀區域
588b‧‧‧環狀區域
588c‧‧‧環狀區域
590‧‧‧螺紋開口
592‧‧‧溝槽
594‧‧‧對準銷鑽孔
595‧‧‧凸緣
596‧‧‧開口
圖1顯示具有保護環之噴淋頭電極組件的橫剖面圖,此電極組件可形成用以蝕刻基板之電容耦合電漿反應器的上部電極;圖2A係一示範凸輪鎖的3D立體圖,此凸輪鎖用以夾固位於圖1所示之反應器內的階狀電極;圖2B係圖2A之示範凸輪鎖的橫剖面圖;圖3顯示一示範鎖定銷的側視與組裝圖,此鎖定銷用於圖2A與2B的凸輪鎖;圖4A顯示一示範凸輪軸的側視與組裝圖,此凸輪軸用於圖2A與2B的凸輪鎖;圖4B顯示圖4A之一部分凸輪軸之示範切削路徑邊緣的橫剖面圖;圖5顯示一噴淋頭電極組件,其具有階狀電極、支撐板、熱控制板、保護環以及頂板;圖6A與6B係階狀電極的立體圖;圖7係支撐板的立體圖;及圖8係不具有保護環之噴淋頭電極組件的立體圖。
100‧‧‧噴淋頭電極組件
102‧‧‧熱控制板
102a‧‧‧環狀凸部
102b‧‧‧氣體充氣部
104‧‧‧頂板
106‧‧‧氣體排放通道
108‧‧‧氣體通道
110‧‧‧階狀電極
140‧‧‧支撐板
170‧‧‧保護環
180‧‧‧電漿約束組件
184‧‧‧彎曲部分
190‧‧‧約束環

Claims (12)

  1. 一種用於電漿反應室的單體噴淋頭電極,該單體噴淋頭電極包含:一中央部分與一周緣部分,由該單體噴淋頭電極的頂、底面所界定,該頂面包含延伸橫越該中央部分的一平面,該底面係由延伸橫越該中央部分的一內平面以及延伸橫越該周緣部分的一階狀外部表面所界定,該階狀外部表面包含一環狀平面,該環狀平面用以界定該單體噴淋頭電極的一增厚區域;複數氣體出口,其位於該單體噴淋頭電極之該中央部分,透過該氣體出口,處理氣體能夠被輸送至位於該單體噴淋頭電極與於其上支撐一晶圓之一下部電極之間的一間隙;複數周向間隔凹穴,其位於該周緣部分中之該頂面,該凹穴用以至少部份地將凸輪鎖之鎖定銷容納於其內,其中該鎖定銷用以配合該凸輪鎖之可轉動式凸輪軸而將該單體噴淋頭電極夾固於一支撐板。
  2. 如申請專利範圍第1項所述之用於電漿反應室的單體噴淋頭電極,更包含位於該頂面的對準銷鑽孔,該對準銷鑽孔用以與延伸到該支撐板內的對準銷對正。
  3. 如申請專利範圍第1項所述之用於電漿反應室的單體噴淋頭電極,其中該單體噴淋頭電極的頂面包含位於其外緣的一環狀突出部分,該突出部分用以支撐一保護環,以使該保護環的外表面緊接該單體噴淋頭電極的外表面。
  4. 一種電極組件,包含:如申請專利範圍第1項所述之單體噴淋頭電極;一支撐板,包含與位在該單體噴淋頭電極之該凹穴對正的軸向延伸鑽孔以及與該軸向延伸鑽孔相連通的徑向延伸鑽孔;該可轉動式凸輪軸,安裝在該徑向延伸鑽孔內; 該鎖定銷,至少部分地位於該單體噴淋頭電極的該凹穴內,該鎖定銷包含位於其自由端的擴大頭部,該凸輪軸包含用以嚙合並鎖定該鎖定銷之頭部的切除部分,俾能將該單體噴淋頭電極機械式地夾固於該支撐板。
  5. 如申請專利範圍第4項所述之電極組件,其中該單體噴淋頭電極係由多晶矽、單晶矽、碳化矽、鋁、經過陽極處理的鋁或塗佈氧化釔的鋁所製成的一板件;而該支撐板係由鋁所製成的一板件。
  6. 如申請專利範圍第4項所述之電極組件,更包含接附於該支撐板的一熱控制板,該熱控制板具有位於其底表面上的環狀凸部,該環狀凸部用以界定與該支撐板之氣體通道相連通的氣體充氣部。
  7. 如申請專利範圍第4項所述之電極組件,更包含位於該支撐板與該單體噴淋頭電極之間的一氣體密封件,其中該支撐板具有延伸通過其中的氣體通道,且該氣體密封件係位於該支撐板之該氣體通道的外部。
  8. 一種在電漿室內處理半導體基板的方法,包含下列步驟:將一半導體基板支撐在一腔室內的一下部電極上;將處理氣體供應至該腔室;在一上部電極的曝露表面附近形成電漿;及以該電漿處理該半導體基板;其中該上部電極包含一單體噴淋頭電極,該單體噴淋頭電極包含:一中央部分與一周緣部分,由該單體噴淋頭電極的頂、底面所界定,該頂面包含延伸橫越該中央部分的一平面,該底面係由延伸橫越該中央部分的一內平面以及延伸橫越該周緣部分的一階狀外部表面所界定,該階狀外部表面包含一環狀平面,該環狀平面用以界定該單體噴淋頭電極的一增厚區域;複數氣體出口,其位於該單體噴淋頭電極之該中央部分,透 過該氣體出口,處理氣體能夠被輸送至位於該單體噴淋頭電極與於其上支撐一晶圓之該下部電極之間的一間隙;複數周向間隔凹穴,其位於該周緣部分中之該頂面,該凹穴用以至少部份地將凸輪鎖之鎖定銷容納於其內,其中該鎖定銷用以配合該凸輪鎖之可轉動式凸輪軸而將該單體噴淋頭電極夾固於一支撐板。
  9. 如申請專利範圍第8項所述之在電漿室內處理半導體基板的方法,其中該單體噴淋頭電極的溫度係藉由該腔室的一溫度控制頂壁、一熱控制板以及一支撐板而加以控制,該熱控制板包含用以在該熱控制板與該支撐板之間形成充氣部的環狀凸部,該充氣部係與位在該支撐板的氣體通道流體相連通,而該支撐板的氣體通道係與位在該單體噴淋頭電極的該氣體出口對正,該支撐板在該單體噴淋頭電極與該熱控制板之間提供一熱路徑。
  10. 如申請專利範圍第8項所述之在電漿室內處理半導體基板的方法,其中該半導體基板包含一半導體晶圓,而該處理步驟包含以該電漿蝕刻該半導體晶圓。
  11. 如申請專利範圍第8項所述之在電漿室內處理半導體基板的方法,更包含將該單體噴淋頭電極以及該支撐板加熱到會引起該單體噴淋頭電極與該支撐板產生不同熱膨脹的升高溫度,並且藉由移動位於該單體噴淋頭電極之凹穴中的鎖定銷而適應該熱膨脹。
  12. 一種電極組件之單體噴淋頭電極的更換方法,該電極組件包含:一單體噴淋頭電極,包含:一中央部分與一周緣部分,由該單體噴淋頭電極的頂、底面所界定,該頂面包含延伸橫越該中央部分的一平面,該底面係由延伸橫越該中央部分的一內平面以及延伸橫越該周緣部分的一階狀外部表面所界定,該階狀外部表面包含一環狀平 面,該環狀平面用以界定該單體噴淋頭電極的一增厚區域;複數氣體出口,其位於該單體噴淋頭電極之該中央部分,透過該氣體出口,處理氣體能夠被輸送至位於該單體噴淋頭電極與於其上支撐一晶圓之一下部電極之間的一間隙;複數周向間隔凹穴,其位於該周緣部分中之該頂面,該凹穴用以至少部份地將凸輪鎖之鎖定銷容納於其內,其中該鎖定銷用以配合該凸輪鎖之可轉動式凸輪軸而將該單體噴淋頭電極夾固於一支撐板;一支撐板,包含與位在該單體噴淋頭電極之凹穴對正的軸向延伸鑽孔以及與該軸向延伸鑽孔相連通的徑向延伸鑽孔;該可轉動式凸輪軸,安裝在該徑向延伸鑽孔內;該鎖定銷,至少部分地位於該單體噴淋頭電極的凹穴內,該鎖定銷包含位於其自由端的擴大頭部,該可轉動式凸輪軸包含用以嚙合並鎖定該鎖定銷之頭部的切除部分,俾能將該單體噴淋頭電極機械式地夾固於該支撐板;其中該方法包含下列步驟:藉由轉動該可轉動式凸輪軸卸除該凸輪鎖,以使該鎖定銷從該可轉動式凸輪軸脫離;移除該單體噴淋頭電極;使一新或整修過之噴淋頭電極的鎖定銷與位在該支撐板的軸向延伸鑽孔對正;及轉動該可轉動式凸輪軸,以使該鎖定銷的頭部嚙合於該可轉動式凸輪軸之切除部分。
TW098122904A 2008-07-07 2009-07-07 單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法 TWI536871B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/216,524 US8221582B2 (en) 2008-07-07 2008-07-07 Clamped monolithic showerhead electrode

Publications (2)

Publication Number Publication Date
TW201016079A TW201016079A (en) 2010-04-16
TWI536871B true TWI536871B (zh) 2016-06-01

Family

ID=41464714

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098122904A TWI536871B (zh) 2008-07-07 2009-07-07 單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法

Country Status (8)

Country Link
US (3) US8221582B2 (zh)
EP (1) EP2301308B1 (zh)
JP (1) JP5409778B2 (zh)
KR (1) KR101183509B1 (zh)
CN (1) CN102037790B (zh)
MY (1) MY159992A (zh)
TW (1) TWI536871B (zh)
WO (1) WO2010005540A2 (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5567494B2 (ja) * 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
CN101971321B (zh) * 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
JP5879069B2 (ja) 2011-08-11 2016-03-08 東京エレクトロン株式会社 プラズマ処理装置の上部電極の製造方法
US8545639B2 (en) * 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8532353B2 (en) 2011-11-23 2013-09-10 Vital Images, Inc. Synthetic visualization and quantification of perfusion-related tissue viability
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
FI126491B (en) 2014-09-09 2017-01-13 Suunto Oy A system and method for opening a wireless device to communicate with a laptop computer via an inductive connection
US20160177544A1 (en) * 2014-12-19 2016-06-23 Caterpillar Inc. Lock for ground engaging tool
JP1545606S (zh) * 2015-08-26 2016-03-14
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
USD834686S1 (en) * 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110838458B (zh) * 2018-08-17 2022-08-09 台湾积体电路制造股份有限公司 半导体制程系统以及方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20220102117A1 (en) 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115388278B (zh) * 2022-08-12 2024-05-14 贵州电网有限责任公司 一种用于变电站伸缩旋转支架的旋转限位机构

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
JP3959745B2 (ja) 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6899786B2 (en) 2000-05-17 2005-05-31 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
DE20021221U1 (de) * 2000-12-15 2001-03-22 Ejot Verbindungstech Gmbh & Co Befestigungsvorrichtung für an einer Platte zu befestigendes Bauteil
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
EP1772429A4 (en) * 2004-06-22 2010-01-06 Shin Etsu Film Co Ltd METHOD FOR PRODUCING POLYCRYSTALLINE SILICON AND POLYCRYSTALLINE SILICON FOR A SOLAR CELL PRODUCED BY THE METHOD
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101971321B (zh) 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102484940B (zh) 2009-08-31 2015-11-25 朗姆研究公司 局部等离子体约束和压强控制装置及其方法
KR20170125419A (ko) 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
CA2821282C (en) 2010-12-13 2019-02-19 Altria Client Services Inc. Process of preparing printing solution and making patterned cigarette wrappers

Also Published As

Publication number Publication date
EP2301308A4 (en) 2013-08-07
US20130337654A1 (en) 2013-12-19
MY159992A (en) 2017-02-15
TW201016079A (en) 2010-04-16
US20120258603A1 (en) 2012-10-11
JP2011521472A (ja) 2011-07-21
CN102037790B (zh) 2014-04-16
US8221582B2 (en) 2012-07-17
WO2010005540A3 (en) 2010-04-22
US20100003829A1 (en) 2010-01-07
US8796153B2 (en) 2014-08-05
EP2301308B1 (en) 2014-09-03
CN102037790A (zh) 2011-04-27
US8414719B2 (en) 2013-04-09
WO2010005540A2 (en) 2010-01-14
JP5409778B2 (ja) 2014-02-05
WO2010005540A4 (en) 2010-06-24
KR20100118997A (ko) 2010-11-08
EP2301308A2 (en) 2011-03-30
KR101183509B1 (ko) 2012-09-21

Similar Documents

Publication Publication Date Title
TWI536871B (zh) 單體噴淋頭電極、包含單體噴淋頭電極之電極組件、及利用單體噴淋頭電極在電漿室內處理半導體基板之方法
TWI504317B (zh) 受夾固之單晶噴淋頭電極組件
US8419959B2 (en) Clamped monolithic showerhead electrode
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
TWI533372B (zh) 噴淋頭電極
TWI444108B (zh) 石英擋板環
KR20090080520A (ko) 석영 가드 링 센터링 피쳐들
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극