JP3172461U - 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット - Google Patents

留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット Download PDF

Info

Publication number
JP3172461U
JP3172461U JP2011004799U JP2011004799U JP3172461U JP 3172461 U JP3172461 U JP 3172461U JP 2011004799 U JP2011004799 U JP 2011004799U JP 2011004799 U JP2011004799 U JP 2011004799U JP 3172461 U JP3172461 U JP 3172461U
Authority
JP
Japan
Prior art keywords
showerhead electrode
electrode
gasket
backing plate
inches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011004799U
Other languages
English (en)
Inventor
グレゴリー・アール.・ベテンコート
ガウタム・バッタチャリヤ
サイモン・ゴセリン
サンディー・チャオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of JP3172461U publication Critical patent/JP3172461U/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • H01J2237/166Sealing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49719Seal or element thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】半導体基板処理に使用されるプラズマ反応チャンバのための電極アセンブリを提供する。
【解決手段】アセンブリ100は、相隔たれた一連のカムロックによって機械的に受け板140に取り付けられた上側シャワーヘッド電極110を含む。シャワーヘッド電極の中心から3〜4インチの場所では、突出部を上に備えた熱伝導性で且つ導電性のガスケットがシャワーヘッド電極と受け板との間で圧縮される。受け板140は、ガードリング170で取り囲まれ、該ガードリングは、ガードリング内の開口が受け板内の開口に合わさる位置まで移動可能であり、その位置では、電極の上面から伸びるロックピンを解除するために、器具によってカムロックを回転させることができる。
【選択図】図1

Description

本考案は、半導体部品を製造することができるプラズマ処理チャンバのシャワーヘッド電極アセンブリに関するものである。
一実施形態にしたがって、一体的な段状電極が受け板に留め付けられたシャワーヘッド電極アセンブリのためのガスケットが提供され、シャワーヘッド電極アセンブリは、容量結合プラズマ処理チャンバの上側電極を含む。段状電極は、その下面にプラズマ曝露表面を有するとともにその上面に装着表面を有する円形の板である。装着表面は、受け板の位置合わせピン穴に一致するパターンで配置され位置合わせピンを受け入れるように構成された複数の位置合わせピン凹所を含み、受け板には、カムロックによって上記円形の板が押し当てられ、上記円形の板は、受け板のガス供給穴に一致するパターンで配置されたプロセスガス出口を含む。上面は、ガスケット上の位置合わせ特徴を受け入れる複数の凹所を含む。装着表面の外側領域に円周方向に相隔てて設けられた複数のポケットは、カムロックと相まって段状電極を受け板に留め付けるように適応されたロックピンを受け入れるように構成される。
ガードリングを伴った、基板をエッチングするための容量結合プラズマリアクタの上側電極を形成するシャワーヘッド電極アセンブリの断面図である。
図1に示されたリアクタ内において段状電極を留め付けるための例示的なカムロックの三次元表示を示す図である。
図2Aの例示的なカムロック電極クランプの断面図である。
図2A及び図2Bのカムロッククランプに使用される例示的なロックピンの側面図及び組立図である。
図2A及び図2Bのカムロッククランプに使用される例示的なカム軸の側面図及び組立図である。
図4Aのカム軸の一部分の例示的な切り抜き経路縁の断面図である。
段状電極と、受け板と、熱制御板と、ガードリングと、上板とを有するシャワーヘッド電極アセンブリを示す図である。
変型シャワーヘッド電極の上面の斜視図である。 変型受け板の下面の斜視図である。
図5Aの段状電極の斜視図である。 図5Aの段状電極の斜視図である。
図5Aの受け板の斜視図である。
ガードリングを伴わない状態の、図5Aのシャワーヘッド電極アセンブリの斜視図である。
好ましい実施形態にしたがったガスケットの底面図である。
図9に示されたガスケットの側面図である。
集積回路チップの製造は、通常、「ウエハ」と称される、研磨して薄切りにした高純度の単結晶半導体材料基板(シリコン又はゲルマニウムなど)から開始される。各ウエハは、ウエハ上に各種の回路構造を形成する一連の物理的及び化学的処理工程に通される。製造プロセスでは、二酸化シリコン膜を作成するための熱酸化や、シリコン膜、二酸化シリコン膜、窒化シリコン膜を作成するための化学気相蒸着、及び、その他の金属膜を作成するためのスパッタリング又はその他の技術などの、様々な技術を使用して、ウエハ上に様々なタイプの薄膜が蒸着されてよい。
半導体ウエハ上に膜を蒸着させた後は、ドーピングと称されるプロセスを使用して、選択された不純物で半導体結晶格子を置き換えることによって、半導体に固有な電気的特性が生成される。ドープされたシリコンウエハは、次いで、「レジスト」と称される感光性又は放射線感受性の薄い材料の層を均一にコーティングされてよい。次いで、リソグラフィとして知られるプロセスを使用して、回路内の電子経路を定める小さい幾何学パターンがレジスト上に転写されてよい。リソグラフィプロセスでは、「マスク」と称されるガラス板上に集積回路パターンが描画され、次いで、感光性のコーティング上で光学的に還元され、投影され、転写されてよい。
リソグラフィを経たレジストパターンは、次いで、エッチングとして知られるプロセスを通じて、半導体材料からなる下位の結晶表面上に転写される。基板上に材料をエッチング及び化学気相蒸着(CVD)させるには、通常、真空処理チャンバが使用され、これは、エッチングガス又は蒸着ガスを真空チャンバに供給すること、そしてガスを通電してプラズマ状態にするためにガスに高周波(RF)電界を印加することによってなされる。
反応性イオンエッチングシステムは、通常、上側電極すなわちアノードと、下側電極すなわちカソードとを内部に配置されたエッチングチャンバで構成される。カソードは、アノード及び容器の壁に対して負にバイアスされる。エッチング対象となるウエハは、適切なマスクで覆われ、カソード上に直接置かれる。CF4、CHF3、CClF3、HBr、Cl2、及びSF6、又はこれらとO2、N2、He、又はArとの混合などの、高化学反応性ガスが、エッチングチャンバに導入され、通常はミリトール範囲である圧力に維持される。上側電極は、ガスが電極を通ってチャンバ内に均一に分散することを可能にする(1つ又は2つ以上の)ガス穴を提供される。アノードとカソードとの間に形成される電界は、反応性ガスを解離させ、プラズマを形成させる。ウエハの表面は、活性イオンとの化学的相互作用と、ウエハの表面にぶつかるイオンの運動量移動とによってエッチングされる。電極によって形成される電界は、イオンをカソードに惹き付けて、それらのイオンを主に垂直な方向で表面にぶつからせるので、このプロセスは、明確に垂直にエッチングされた側壁を形成する。エッチングリアクタ電極は、多くの場合、機械的に柔軟性で且つ/又は熱伝導性の接着剤によって2枚又は3枚以上の異種部材を接合することによって作成されてよく、これは、多様な機能を可能にする。
図1は、基板をエッチングするためのプラズマ処理システムのシャワーヘッド電極アセンブリ100の一部分の断面図を示している。図1に示されるように、シャワーヘッド電極アセンブリ100は、段状電極110と、受け板140と、ガードリング(又は外側リング)170とを含む。シャワーヘッド電極アセンブリ100は、上側電極110及び受け板140の外周を取り巻くプラズマ閉じ込めアセンブリ(又はウエハ領域圧力(WAP)アセンブリ)180も含む。
アセンブリ100は、また、熱制御板102と、内部に液体流路を有するとともにチャンバの温度制御壁を形成する上側板(上板)104とを含む。段状電極110は、円柱状の板であることが好ましく、単結晶シリコン、多結晶シリコン、炭化シリコン、又はその他の適切な材料(アルミニウム若しくはその合金、陽極酸化アルミニウム、イットリアをコーティングされたアルミニウムなど)などの、導電性の高純度材料で作成されてよい。受け板140は、後述される機械的な留め具によって、電極110に機械的に固定される。ガードリング170は、受け板140を取り囲み、後述されるように、カムロック部材へのアクセスを提供する。
図1に示されたようなシャワーヘッド電極アセンブリ100は、通常、下側電極110の下方約1〜2cmの距離でウエハを支える平坦な下側電極を組み入れた静電チャック(不図示)とともに使用される。このようなプラズマ処理システムの一例は、カリフォルニア州フレモントのLam Research Corporationによって作成されたExelan(登録商標)誘電体エッチングシステムなどの、平行平板型リアクタである。このようなチャック配置構成は、ウエハとチャックとの間の熱伝導の速度を制御する裏面ヘリウム(He)圧力の供給によって、ウエハの温度制御を提供する。
上側電極110は、定期的な交換を必要とする消耗部品である。ウエハと上側電極との間の隙間にプロセスガスを供給するために、上側電極110は、プロセスガスの供給に適したサイズ及び分布を有するガス排出通路106を提供され、プロセスガスは、電極によって通電されて、上側電極110下の反応区域内においてプラズマを形成する。
シャワーヘッド電極アセンブリ100は、また、上側電極110及び受け板140の外周を取り囲むプラズマ閉じ込めアセンブリ(又はウエハ領域プラズマ(WAP)アセンブリ)180を含む。プラズマ閉じ込めアセンブリ180は、上側電極110及び受け板140の外周を取り囲む多数の又は複数の相隔たれた石英リングで構成されることが好ましい。処理の際、プラズマ閉じ込めアセンブリ180は、反応区域内に圧力差を発生させ、反応チャンバ壁とプラズマとの間の電気抵抗を増大させることによって、上側電極110と下側電極(不図示)との間にプラズマを閉じ込める。
使用の際、閉じ込めリング190は、チャンバ体積にプラズマを閉じ込め、反応チャンバ内のプラズマの圧力を制御する。反応チャンバへのプラズマの閉じ込めは、閉じ込めリング190間の間隔と、閉じ込めリングより外側の反応チャンバ内の及びプラズマ内の圧力と、ガスの種類及び流速と、RF電力のレベル及び周波数とを含む、数多くの要素の関数である。プラズマの閉じ込めは、閉じ込めリング190間の間隔が非常に小さい場合に、より容易に達成される。通常、閉じ込めには、0.15インチ(約3.81mm)又はそれ未満の間隔が必要とされる。しかしながら、閉じ込めリング190間の間隔は、プラズマの圧力も決定し、間隔は、プラズマを維持しつつ最適なプラズマパフォーマンスに必要とされる圧力を実現するように調整可能であることが望ましい。ガス供給部からのプロセスガスは、上側板104内の1本又は2本以上の通路を通して電極110に供給され、これは、プロセスガスをウエハ上方の1つ又は2つ以上の区域に供給可能にする。
電極110は、中心(不図示)から厚み増加領域にかけて均一な厚さを有する平面状の円板又は板であることが好ましく、この厚み増加領域は、プラズマ曝露表面上において外縁から内向きに広がる段を形成する。電極110は、例えば300mmを超えるなど、処理対象となるウエハより大きい直径を有することが好ましい。300mmウエハを処理するには、上側電極110の直径は、約15インチ(約38.1cm)から約17インチ(約43.2cm)までであってよい。上側電極110は、上側電極110の下方のプラズマ反応チャンバ内の空間にプロセスガスを注入するための複数のガス通路106を含むことが好ましい。
電極110のプラズマ曝露表面にとって好ましい材料は、単結晶シリコン及び多結晶シリコンである。高純度の単結晶シリコン又は多結晶シリコンは、プラズマ処理の際の基板の汚染を最小限に抑えられる。なぜならば、高純度の単結晶シリコン又は多結晶シリコンは、反応チャンバに導入される望ましくない要素の量を最小限に抑え、尚且つプラズマ処理の際に滑らかに磨り減ることによって、粒子を最低限に抑えられるからである。上側電極110のプラズマ曝露表面に使用することができる複合材料を含む代替材料としては、例えば、アルミニウム(本明細書において使用される「アルミニウム」とは、純粋なAl及びその合金を指すものとする)、イットリアをコーティングされたアルミニウム、SiC、SiN、及びAlNが挙げられる。
受け板140は、プラズマ処理チャンバ内において半導体基板を処理するために使用されるプロセスガスと化学的に共存可能な材料で作成され、電極材料の熱膨張係数と厳密に一致する熱膨張係数を有し、尚且つ/又は導電性で且つ熱伝導性であるような、材料で作成されることが好ましい。受け板140を作成するために使用することができる好ましい材料として、グラファイト、SiC、アルミニウム(Al)、又はその他の適切な材料が非限定的に挙げられる。
上側電極110は、電極と受け板との間にいかなる接着接合も伴うことなく機械的に受け板140に取り付けれる、すなわち、熱伝導性で且つ導電性のエラストマー接合材料は、電極を受け板に取り付けるのに使用されない。
受け板140は、適切な機械的留め具によって熱制御板102に取り付けられることが好ましく、この留め具は、ネジボルトやネジくぎなどであってよい。例えば、ボルト(不図示)を熱制御板102内の穴に挿し込み、受け板140内のネジ開口に入れて締めることができる。熱制御板102は、曲がり部分184を含み、アルミニウムやアルミニウム合金などの機械加工された金属材料で作成されることが好ましい。上側の温度制御板104は、アルミニウム又はアルミニウム合金で作成されることが好ましい。プラズマ閉じ込めアセンブリ(又はウエハ領域プラズマアセンブリ(WAP))180は、シャワーヘッド電極アセンブリ100の外側に配置される。垂直方向に調整可能な複数のプラズマ閉じ込めリング190を含む適切なプラズマ閉じ込めアセンブリ180が、共同所有の米国特許第5,534,751号に記載されており、該特許は、引用によって全体を本明細書に組み込まれる。
上側電極は、2008年3月14日に出願された共同所有の米国特許出願第61/036,862号に記載されるように、カムロックメカニズムによって機械的に受け板に取り付けることができる。該出願の開示内容は、引用によって本明細書に組み込まれる。図2Aを参照すると、例示的なカムロック電極クランプの三次元像は、電極201及び受け板203の一部分を含む。電極クランプは、図1に示されたプラズマエッチングチャンバなどの様々な製造関連ツール内において迅速に、清潔に、且つ正確に消耗電極201を受け板に取り付けることができる。
電極クランプは、ソケット213に装入されるスタッド(ロックピン)205を含む。スタッドは、例えばステンレス製のBelleville座金などの皿バネスタック215によって囲われてよい。スタッド205及び皿バネスタック215は、次いで、ソケット213内にプレス嵌めされてよい、又は接着剤若しくは機械的留め具の使用を通じてその他の方法で留め付けられてよい。スタッド205及び皿バネスタック215は、電極201と受け板203との間で限られた量の側方運動が可能であるように、ソケット213内に配置される。側方運動の量の制限は、電極201と受け板203との熱膨張の差を相殺するための幾らかの運動を提供しつつ、これら2つの部品どうしの締まり嵌めを可能にすることによって、優れた熱的接触を保証している。側方運動の制限という特徴については、後ほど更に詳述される。
具体的な例示的実施形態では、ソケット213は、摺動グレードTorlon(登録商標)で作成される。或いは、ソケット213は、耐久性などの特定の機械的特性を有するその他の材料で作成されてよく、耐衝撃性、耐クリープ性、寸法安定性、耐放射線性、及び耐化学性が容易に採用可能である。ポリアミド、ポリイミド、アセタール、及び超高分子量ポリエチレン材料などの様々な材料は、全て適しているであろう。エッチングチャンバなどの応用で遭遇される代表的な最高温度は摂氏230度であるので、ソケット213の形成に、高耐熱性プラスチック及びその他の関連の材料は不要である。通常、代表的な動作温度は、より摂氏130度に近い。
電極クランプのその他の部分は、両端を1対のカム軸受け209によって囲われたカム軸207で構成される。カム軸207とカム軸受けとのアセンブリは、受け板203内に機械加工された受け板孔211に装入される。300mm半導体ウエハ用に設計されたエッチングチャンバの代表的応用では、電極201と受け板203との組み合わせの周沿いに、間隔を空けて8つ又は9つ以上の電極クランプが配されてよい。
カム軸受け209は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arlon(登録商標)、又は摩擦係数が低く且つ粒子飛散が少ないフルオロポリマー、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、及びポリエーテルエーテルケトン(PEEK)などのその他の材料を含む、様々な材料から機械加工されてよい。スタッド205及びカム軸207は、ステンレス鋼(例えば316、316L、17−7など)、又は耐久性及び耐腐食性を提供するその他の任意の材料から機械加工されてよい。
次に、図2Bを参照すると、電極カムクランプの断面図は、電極201を受け板203のすぐ近くまで引き寄せることによってカムクランプがどのように動作するかを更に例示している。スタッド205と皿バネスタック215とソケット213とのアセンブリは、電極201に装入される。図に示されるように、このアセンブリは、ソケット213上の雄ネジを使用することによって、電極201内のネジポケットに入れて締めることができる。しかしながら、ソケットは、接着剤又はその他のタイプの機械的留め具によって装着されてもよい。
図3において、張り出しヘッドを有するスタッド205、皿バネスタック215、及びソケット213は、カムロック電極クランプの例示的な設計についての更なる詳細を提供している。具体的な例示的実施形態では、スタットと皿バネとのアセンブリ301が、ソケット213内にプレス嵌めされる。ソケット213は、雄ネジと、軽いトルク(例えば具体的な例示的実施形態では約20in・lbf(約23kgf・cm))によって電極201に容易に挿入(図2A及び図2Bを参照せよ)することを可能にする六角上端部材とを有する。上記に示されるように、ソケット213は、様々なタイプのプラスチックから機械加工されてよい。プラスチックの使用は、粒子の生成を最低限に抑え、電極201上の嵌め合いポケットへのソケット213の無磨耗装入を可能にする。
スタッドとソケットとのアセンブリ303は、ソケット213の上側部分の内径がスタッド205の中央部分の外径より大きいことを示している。これらの2つの部分の直径の差は、上記のように、組み立てられた電極クランプ内において限られた側方運動を可能にする。スタッドと皿バネとのアセンブリ301は、直径の差によって幾らかの側方運動を可能にされつつ、ソケット213の基部においてソケット213に固着した状態に維持される。(図2Bも参照せよ)。
図4Aを参照すると、カム軸207及びカム軸受け209の分解図400には、定着ピン401も示されている。先ず、定着ピン401を有するカム軸207の一端が、受け板孔211に挿し込まれる(図2Bを参照せよ)。受け板孔211の遠端にある1対の小さな嵌め合い穴(不図示)が、受け板孔211内へのカム軸207の正しい位置合わせを可能にする。カム軸207の側面図420は、カム軸207の一方の端における六角開口403及びカム軸207の他方の端における定着ピン401として考えられる配置を明確に示している。
例えば、引き続き図4A及び図2Bを参照すると、電極カムクランプは、カム軸207を受け板孔211に挿し込むことによって組み立てられる。定着ピン401は、1対の小さい嵌め合い穴の1つに当たることによって、受け板穴211内においてカム軸207の回転移動を制限する。カム軸は、先ず、カム軸207へのスタッド205の進入を可能にするために、六角開口403の使用を通じて例えば反時計回りなどの一方向に回転されてよく、次いで、スタッド205を完全に係止させるために、時計回りに回転されてよい。電極201を受け板203に対して保持するために必要とされるクランプ力は、皿バネスタック215をその自由スタック高を超えて圧縮することによって供給される。カム軸207は、軸205の張り出しヘッドに係合する偏心内部切り抜きを有する。皿バネスタック215が圧縮するにつれて、クランプ力は、皿バネスタック215の個々のバネからソケット213に、そして電極201を通して受け板203に伝達される。
例示的な動作モードにおいて、カム軸受けがカム軸207に取り付けられ、受け板孔211に挿し込まれると、カム軸207は、その全回転距離を反時計回りに回転される。スタッドとソケットとのアセンブリ303(図3)は、次いで、軽いトルクによって電極201に装入される。スタッド205のヘッドは、次いで、横方向受け板孔211の下方から縦方向貫通穴に挿し込まれる。電極201は、受け板203に押し当てられ、カム軸207は、固着ピンが2つの小さい嵌め合い穴(不図示)の第2の穴に落ち込むまで又はクリック音が聞こえるまで(後ほど詳述される)時計回りに回転される。受け板203から電極201を取り外すには、この例示的な動作モードを逆行させればよい。しかしながら、カムロック配置構成において、クリック音などの特徴は随意である。
図4Bを参照すると、図4Aのカム軸207の側面図420の断面A−Aは、スタッド205のヘッドを完全に固定させる切り抜き経路縁440を示している。具体的な例示的実施形態では、スタッド205が完全に固定されたことを示すためにスタッド205のヘッドが上述の随意のクリック音を出すように、2つの直径R1及びR2が選択されている。
図5Aは、容量結合プラズマチャンバのための上側電極アセンブリ500であって、以下の特徴:(a)カムロックされた非接合電極502と、(b)受け板506と、(c)電極を受け板506に対して保持しているカムロックへのアクセスを可能にするガードリング508とを含む、上側電極アセンブリ500を例示している。
電極アセンブリ500は、チャンバの外側からチャンバの温度制御上壁512にボルト留めされた熱制御板510を含む。電極502は、図2〜4を参照にして先に説明されたカムロックメカニズム514によって、解除可能な方式でチャンバの内側から受け板に取り付けられる。
好ましい実施形態では、電極アセンブリ500の電極502は、(a)受け板の外側部分に相隔てて設置された4つのカムロック514にガードリング内の4つの穴を合わせる第1の位置までガードリング508を回転させること、(b)ガードリング内の各穴を通して六角レンチなどの器具を挿し込んで各カムロックを回転させ、それぞれのカムロックの縦方向ロックピンを解除すること、(c)別の4つのカムロックにガードリング内の4つの穴を合わせる第2の位置までガードリングを90度回転させること、及び(d)ガードリング内の各穴を通して六角レンチなどの器具を挿し込んで各カムロックを回転させ、それぞれのカムロックのロックピンを解除することによって、分解することができる。こうして、電極502を降ろしてプラズマチャンバから取り除くことが可能になる。
図5Aは、回転可能なカムロック514を受け板506の外側部分の横方向孔560内に設置されたカムロック配置構成の1つの断面図も示している。円筒状のカムロック514は、ロックピン562の張り出し端がカムロック514のカム表面によって係合されて持ち上げられるロック位置へ、又は(b)ロックピン562がカムロック514によって係合されない解除位置へ、六角レンチなどの器具によって回転可能である。受け板は、その下面に縦方向孔を含み、ロックピンは、それらの孔に挿し込まれてカムロックに係合する。
図5Aに示された実施形態では、受け板506の外側の段は、シャワーヘッド電極502の上面上の凹んだ環状装着表面と嵌り合う。代替の配置構成では、受け板の下面及びシャワーヘッド電極の上面を平面状の表面にするために、段及び凹みを省くことができる。図5Bは、平坦な上側表面522Aと、5個の位置合わせピン穴520Aと、8個のポケット550Aと、ガス穴528Aと、第3のガス穴列と第4のガス穴列との間に位置してガスケットの突出部と嵌り合うための2つの凹所520Bとを有する変型シャワーヘッド電極502Aの断面を示している。図5Cは、平坦な下側電極522Bと、5個の位置合わせピン穴520Cと、8個のカムロック514Bと、環状のガスケット受け入れ表面G1及びG2とを有する変型受け板506Aを示している。
図6A〜6Bは、電極502の詳細を示している。電極502は、好ましくは、高純度(不純物が10ppm未満)で低抵抗率(0.005〜0.02オームcm)の単結晶シリコンの板であり、その上面(装着表面)522に、位置合わせピン524を受け入れる位置合わせピン穴520を伴う。ガス穴528は、上面から下面(プラズマ曝露表面)530に達しており、任意の適切なパターンで配置することができる。図示された実施形態では、ガス穴は、円周方向に広がる13の列に配置されており、第1の列の3個のガス穴は、電極の中心から約0.5インチ(約1.27cm)に設けられ、第2の列の13個のガス穴は、中心から約1.4インチ(約3.56cm)に設けられ、第3の列の23個のガス穴は、中心から約2.5インチ(約6.35cm)に設けられ、第4の列の25個のガス穴は、中心から約3.9インチ(約9.91cm)に設けられ、第5の列の29個のガス穴は、中心から約4.6インチ(約11.7cm)に設けられ、第6の列の34個のガス穴は、中心から約5.4インチ(約13.7cm)に設けられ、第7の列の39個のガス穴は、中心から約6インチ(約15.2cm)に設けられ、第8の列の50個のガス穴は、中心から約7.5インチ(約19.1cm)に設けられ、第9の列の52個のガス穴は、中心から約8.2インチ(約20.8cm)に設けられ、第10の列の53個のガス穴は、中心から約9インチ(約22.9cm)に設けられ、第11の列の57個のガス穴は、中心から約10.3インチ(約26.2cm)に設けられ、第12の列の59個のガス穴は、中心から約10.9インチ(約27.7cm)に設けられ、第13の列の63個のガス穴は、中心から約11.4インチ(約29.0cm)に設けられている。
代替の配置構成では、562個のガス穴を、4個の穴が中心から0.25インチ(約6.35mm)の第1の列にあり、10個の穴が中心から約0.72インチ(約1.83cm)の第2の列にあり、20個の穴が中心から約1.25インチ(約3.18cm)の第3の列にあり、26個の穴が中心から約1.93インチ(約4.90cm)の第4の列にあり、30個の穴が中心から約2.3インチ(約5.84cm)の第5の列にあり、36個の穴が中心から約2.67インチ(約6.78cm)の第6の列にあり、40個の穴が中心から約3.0インチ(約7.62cm)の第7の列にあり、52個の穴が中心から約3.73インチ(約9.47cm)の第8の列にあり、58個の穴が中心から約4.1インチ(約10.4cm)の第9の列にあり、62個の穴が中心から約4.48インチ(約11.4cm)の第10の列にあり、70個の穴が中心から約5.17インチ(約13.1cm)の第11の列にあり、74個の穴が中心から約5.44インチ(約13.8cm)の第12の列にあり、80個の穴が中心から約5.71インチ(約14.5cm)の第13の列にあるように、配置することができる。
図5Aに示された実施形態では、電極の上面は、9個の位置合わせピン穴を含み、そのうちの3個のピン穴を中心の近くに、3個のピン穴を環状凹所より内側に、8個のピン穴を環状凹所内で且つ電極の外縁の近くに有する。3個の中心ピン穴は、半径方向に一直線上に並んでおり、そのうちの1個のピン穴は内側電極の中心に、2個のピン穴は第3のガス穴列と第4のガス穴列との間に含まれる。環状凹所の近くの中間ピン穴は、中心ピン穴と半径方向に一直線上に並んだ1個のピン穴と、120度相隔たれた残り2個のピン穴とを含む。外側の3個のピン穴は、120度相隔てられ、隣り合うポケットどうしの間に位置している。
図6Aは、13列のガス穴を伴う電極502のプラズマ曝露表面530を示した正面斜視図である。図6Bは、13列のガス穴を伴う上面の斜視図を示している。
電極502は、ガードリング508を支える外側の段(棚)536と、受け板506の下側表面に係合する上面(装着表面)522と、先細り内側表面544、水平表面546、及び先細り外側表面548を含む下面(プラズマ曝露段状表面)530と、ロックピンを装入される上面540内の8個のポケットとを含む。
図7は、受け板506の斜視図である。受け板は、シャワーヘッド電極502内の通路528に位置合わせされた13列のガス通路584を含む。受け板の上面586は、熱制御板510の環状突出部に接触する3つの環状領域588a、588b、588cを含む。開示内容の全体を引用によって本明細書に組み込まれる同一出願人による米国特許公開第2005/0133160号、第2007/0068629号、第2007/0187038号、第2008/0087641号、及び第2008/0090417号に開示されるように、熱制御板は、プラズマチャンバの上壁に、その上壁を通って熱制御板内に達する留め具によって取り付けることができる。ネジ開口590は、上板512及び熱制御板510を通って達する留め具を受け入れて、受け板506を熱制御板510に接触した状態で保持するために、上面586の外周及び環状領域588a、588b、588cに設けられる。熱サイクルに順応することができる留め具の説明については、例えば、同一出願人による米国特許公開第2008/0087641号を参照せよ。上面586内の溝592は、受け板506と熱制御板510との間にガスシールを提供するOリングを受け入れる。上面586内の位置合わせピン孔594は、熱制御板内の位置合わせピン孔にちょうど収まる位置合わせピンを受け入れる。孔560の間に位置する横方向ネジ開口561は、ガードリングの回転を阻止するとともにシャワーヘッド電極の組み立て後にガードリングのアクセス孔に挿し込むために使用される誘電性の留め具を受け入れる。
図8は、ガードリングを取り外された状態の、シャワーヘッド電極アセンブリ500の斜視図である。前述のように、ガードリングを受け板の外周に接触しない状態に維持して受け板の熱膨張を可能にするために、ガードリングは、カムロックに係合することができる1つ又は2つ以上の組み立て位置へ回転させられ、次いで、誘電性の留め具を開口561に挿し込むことができるロック位置へ回転させられる。熱膨張板は、開口596を伴うフランジ595を含み、アクチュエータは、これらの開口を通してプラズマ閉じ込めリングを支える。プラズマ閉じ込めリングアセンブリの装着配置構成の詳細は、同一出願人による米国特許公開第2006/0207502号及び第2006/0283552号に見いだすことができ、これらの開示内容は、引用によって全体を本明細書に組み込まれる。
電極の装着表面522は、受け板内の8個のカムロックによって保持される8個のロックピンによって付与されるクランプ力の結果、受け板506の対向表面に接する。ガードリング508は、受け板506内の装着穴を覆い、ガードリング内のアクセス孔は、Torlon(登録商標)、Vespel(登録商標)、Celcon(登録商標)、Delrin(登録商標)、Teflon(登録商標)、Arlon(登録商標)などの耐プラズマ性ポリマー材料、又は摩擦係数が低く且つ粒子飛散が少ないフルオロポリマー、アセタール、ポリアミド、ポリイミド、ポリテトラフルオロエチレン、及びポリエーテルエーテルケトン(PEEK)などのその他の材料で作成された、着脱可能な挿入物で満たされる。
図5Aを参照すると、受け板506と電極502との間の電気的接触は、Bergquist Companyから入手可能な「Q-PAD II」などの適切な材料の環状切片などの、1つ又は2つ以上のガスケット556によって提供される。このようなガスケットは、電極の外周と、中央の位置合わせピンと外側のガスケットとの間の1つ又は2つ以上の場所とに設置される。例えば、約4インチ(約10.2cm)の直径及び約12インチ(約30.5cm)の直径を有する環状のガスケットを使用することができる。2007年8月31日に出願された共同所有の米国特許出願第11/896,375号は、Q-PAD材料で作成されたガスケットの詳細を含んでおり、その開示内容は、引用によって本明細書に組み込まれる。異なるプロセスガス混合及び/又は流速を提供するために、中央の位置合わせピンと外側のガスケットとの間に1つ又は2つ以上の随意のガス仕切りシールを提供することができる。例えば、内側のガス分布区域を外側のガス分布区域から隔てるために、電極502と受け板506との間で内側のガスケットと外側のガスケットとの間の場所に、1つのOリングを提供することができる。電極502と受け板506との間で外側ガスケットの内周沿いに設けられたOリング558は、電極と受け板との間にガス及び粒子のシールを提供することができる。
図9は、複数の位置合わせ特徴を突出部902の形で下面904上に有する好ましいガスケット900の底面図を示している。電極502Aは、ガスケット900の突出部を受け入れる大きさの複数の凹所(図5Bの520B)を含む。図示された実施形態では、2つの突出部902は、180度相隔てて設けられ、これらの突出部は、ガス通路528Aの第3の環状列と第4の環状列との間に設けられた電極502A内の丸い凹所520Bにちょうど収まる全く同じ円柱形状を有する。突出部は、電極502Aの凹所520B内に摩擦係合する大きさであることが好ましい。図9には、ガスケットの幅の半分より大きい直径を有する円柱状突出部が示されているが、これらの突出部は、任意の所望の形状及び大きさを有してよく、突出部の数は、必要に応じて、3個、4個、5個、6個、7個、8個、又はそれより多いことが可能である。例えば、ガスケットは、0.01インチ(約0.254mm)未満の均一な厚さの平坦リングであってよく、突出部は、その平坦リングの厚さの少なくとも2倍、3倍、4倍、又は5倍の厚さであってよい。突出部は、突出部を一体的に成形する又は平坦リングを部分的に突出部状に変形させることによって形成できると考えられるが、好ましいのは、平坦リングよりも分厚い異なる材料で突出部を形成し、それらの突出部をプラズマ処理チャンバの真空環境内において共存可能な接着剤によって平坦リングに取り付けることである。
ガスケットは、導電性で且つ熱伝導性であることが好ましく、好ましくは例えば約10〜200ミリトールなどの高真空環境内においてガス抜けせず、粒子発生性能が低く、接触点においてせん断に順応することができる柔軟性を持ち、半導体基板内における寿命キラーであるAg、Ni、Cuなどの金属成分を有さないような、材料で作成されることが好ましい。ガスケットは、シリコーンとアルミニウム箔とのサンドイッチ型ガスケット構造又はエラストマーとステンレス鋼とのサンドイッチ型ガスケット構造であってよい。好ましくは、ガスケットは、プラズエッチングなどの工程を実施される半導体製造の高真空環境内において共存可能な熱伝導性で且つ導電性のゴムを上側及び下側にコーティングされたアルミニウムシートである。ガスケットは、電極と受け板とが機械的に留め合わされるときに圧縮することができるがシャワーヘッド電極の温度サイクルの際の電極及び受け板の対向表面どうしの擦れ合いを阻止することができるように、柔軟性であることが好ましい。
図9に示されたガスケット900は、導電性で且つ熱伝導性の材料(Bergquist Companyから入手可能な「Q-PAD」箔材料など)の積層体であることが好ましい。図5Cの場所G1用のガスケット900は、約2.93インチ(約7.44cm)の内径と、約3.43インチ(約8.71cm)の外径と、約0.006インチ(約0.152mm)の厚さとを有することが好ましい。このガスケットは、シリコーンゴムなどのシート材料を、約0.185インチ(約4.70mm)の直径及び約0.026〜0.034インチ(約0.660〜0.864mm)の高さを有する円柱状にした、2つの突出部902を有する。突出部902は、例えばDow Corningから入手可能なRTV 3140シリコーン接着剤などのシリコーンエラストマー接着剤などの適切な接着剤によって、ガスケット900の一方の側に接着接合されることが好ましい。ガスケットは、ガスケット材料のシートをリング状に切り抜く又は打ち抜くことによって作成することができる。同様に、突出部は、熱伝導性で且つ/又は導電性の弾力材料などの同じ又は異なる材料のシートから切り抜く又は打ち抜くことができる。例えば、突出部は、弾性的に変形してシャワーヘッド電極内の凹所に摩擦係合するブラックシリコーンゴムなどのゴム状材料であってよい。ガスケット900は、したがって、シャワーヘッド電極の洗浄又は交換の際にガスケットを容易に取り外し可能にするために、接着剤を使用せずにシャワーヘッド電極に装着することができる。
本考案は、その具体的実施形態に関連して詳細に説明されてきたが、当業者ならば、添付の実用新案登録請求の範囲から逸脱することなく様々な変更及び修正を加えること及び均等物を用いることが可能であることが明らかである。

Claims (19)

  1. シャワーヘッド電極が受け板に留め付けられたシャワーヘッド電極アセンブリのためのガスケットであって、
    前記ガスケットは、熱伝導性で且つ導電性の材料の環状片と、その表面上の複数の突出部とを備え、前記環状片は、前記シャワーヘッド電極の外径より小さい外径を有し、前記突出部は、各自、前記環状片の厚さの少なくとも2倍の高さを有する、ガスケット。
  2. 請求項1に記載のガスケットであって、
    前記突出部は、2つの円柱状突出部を含み、各自、前記環状片の幅の少なくとも半分の直径と、前記環状片の厚さの少なくとも4倍の厚さとを有する、ガスケット。
  3. 請求項1に記載のガスケットであって、
    前記環状片は、シリコーンゴムの層の間にアルミニウム箔を挟んだ積層体であり、前記突出部は、前記環状片の一方の側に接着接合される、ガスケット。
  4. 請求項1に記載のガスケットであって、
    前記複数の突出部は、180度相隔たった2つの円柱状突出部からなる、ガスケット。
  5. 請求項1に記載のガスケットであって、
    前記環状片は、平面状の上側表面及び下側表面と、0.005〜0.01インチの厚さとを有し、前記突出部は、0.02〜0.04インチの厚さを有する平面状の円板であり、前記突出部は、前記環状片の一方の側に接合される、ガスケット。
  6. 請求項1に記載のガスケットであって、
    前記環状片は、3〜4インチの外径と、2〜3インチの内径と、0.2〜0.4インチの幅とを有する、ガスケット。
  7. シャワーヘッド電極アセンブリであって、
    外側部分に複数のカムロックを設けられた受け板と、
    12インチを超える直径を有するシャワーヘッド電極であって、
    上面及び下面によって定められた中央部分及び周辺部分であって、前記上面は、前記中央部分を跨いで広がる平面状の表面を含み、前記下面は、前記中央部分を跨いで広がる平面状の内側表面と、前記周辺部分を跨いで広がる段状の外側表面とによって定められ、前記段状の外側表面は、前記シャワーヘッド電極の厚み増し領域を定める平面状の環状表面を含む、中央部分及び周辺部分と、
    前記電極の前記中央部分に設けられた複数のガス出口であって、前記シャワーヘッド電極と、半導体基板を支える下側電極との間の隙間にプロセスガスを送ることができる、複数のガス出口と、
    前記上面の前記周辺部分に円周方向に相隔てて設けられた複数のポケットであって、前記シャワーヘッド電極を前記受け板に留め付けるために前記受け板の前記カムロックに係合される上向きに伸びるロックピンを支える複数のポケットと、
    を含む、シャワーヘッド電極と、
    前記シャワーヘッド電極と前記受け板との間で圧縮される請求項1に記載のガスケットであって、前記シャワーヘッド電極内の凹所内に位置する前記ガスケットの前記突出部、及び前記環状片は、前記シャワーヘッド電極の温度サイクルの際の前記シャワーヘッド電極の前記上面と前記受け板の下面との擦れ合いを阻止する、ガスケットと、
    を備えるシャワーヘッド電極アセンブリ。
  8. 請求項7に記載のシャワーヘッド電極アセンブリであって、
    前記突出部は、2つの円柱状突出部を含み、各自、前記環状片の幅の少なくとも半分の直径と、前記環状片の厚さの少なくとも4倍の厚さとを有する、シャワーヘッド電極アセンブリ。
  9. 請求項7に記載のシャワーヘッド電極アセンブリであって、
    前記環状片は、シリコーンゴムの層の間にアルミニウム箔を挟んだ積層体であり、前記突出部は、前記環状片の一方の側に接着接合される、シャワーヘッド電極アセンブリ。
  10. 請求項7に記載のシャワーヘッド電極アセンブリであって、
    前記複数の突出部は、180度相隔たった2つの円柱状突出部からなる、シャワーヘッド電極アセンブリ。
  11. 請求項7に記載のシャワーヘッド電極アセンブリであって、
    前記環状片は、平面状の上側表面及び下側表面と、0.005〜0.01インチの厚さとを有し、前記突出部は、0.02〜0.04インチの厚さを有する平面状の円板であり、前記突出部は、前記環状片の一方の側に接合される、シャワーヘッド電極アセンブリ。
  12. 請求項7に記載のシャワーヘッド電極アセンブリであって、
    前記環状片は、3〜4インチの外径と、2〜3インチの内径と、0.2〜0.4インチの幅とを有する、シャワーヘッド電極アセンブリ。
  13. 請求項7に記載のシャワーヘッド電極アセンブリであって、更に、
    前記受け板と前記シャワーヘッド電極との間にガスシールを備え、前記ガスシールは、前記ガス通路より外側に設けられ、前記シャワーヘッド電極は、多結晶シリコンを含み、前記受け板は、アルミニウムを含む、シャワーヘッド電極アセンブリ。
  14. プラズマチャンバ内において半導体基板を処理する方法であって、
    前記半導体基板を前記チャンバ内の下側電極で支える工程と、
    前記チャンバにプロセスガスを供給する工程と、
    上側電極の曝露表面の付近においてプラズマを発生させる工程と、
    前記プラズマによって前記半導体基板を処理する工程と
    を備え、
    前記上側電極は、請求項7に記載のシャワーヘッド電極アセンブリのシャワーヘッド電極を含む、方法。
  15. 請求項14に記載の方法であって、
    前記シャワーヘッド電極の温度は、前記チャンバの温度制御上壁と、熱制御板と、前記受け板とによって制御され、前記熱制御板は、前記熱制御板と前記受け板との間にプレナムを形成する環状突出部を含み、前記プレナムは、前記シャワーヘッド電極内のガス通路に位置合わせされた前記受け板内のガス通路と流体連通しており、前記受け板は、前記シャワーヘッド電極と前記熱制御板との間に熱経路を提供する、方法。
  16. 請求項14に記載の方法であって、
    前記半導体基板は、半導体ウエハを含み、前記処理する工程は、前記プラズマによって前記半導体ウエハをエッチングすることを含む、方法。
  17. 請求項14に記載の方法であって、
    前記上側電極は、接地され、前記下部電極は、前記処理する工程の際に通電される、方法。
  18. 請求項14に記載の方法であって、
    前記シャワーヘッド電極及び前記受け板の熱膨張に差を生じさせる高温に、前記シャワーヘッド電極及び前記受け板を加熱することと、
    前記ガスケットによって前記シャワーヘッド電極と前記受け板との擦れ合いを阻止しつつ、前記ロックピンの動きによって前記熱膨張に順応させることと、
    を備える方法。
  19. 請求項7に記載のシャワーヘッド電極アセンブリのシャワーヘッド電極を交換する方法であって、
    前記カムロックを前記ロックピンから切り離すために前記カムロックを解除することと、
    前記シャワーヘッド電極を取り外すことと、
    新しいガスケットの下側表面上の突出部を新しい又は修復されたシャワーヘッド電極の上面内の凹所に入れることによって、前記ガスケットを新しいガスケットに交換することと、
    前記新しい又は修復されたシャワーヘッド電極のロックピンを前記受け板内の軸方向孔に合わせることと、
    前記カムロックを回転させて前記ロックピンのヘッドに係合させることと、
    を備える方法。
JP2011004799U 2009-04-10 2011-08-17 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット Expired - Fee Related JP3172461U (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/421,845 2009-04-10
US12/421,845 US8272346B2 (en) 2009-04-10 2009-04-10 Gasket with positioning feature for clamped monolithic showerhead electrode

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010090225A Continuation JP2010251752A (ja) 2009-04-10 2010-04-09 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット

Publications (1)

Publication Number Publication Date
JP3172461U true JP3172461U (ja) 2011-12-22

Family

ID=42558392

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010090225A Pending JP2010251752A (ja) 2009-04-10 2010-04-09 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット
JP2011004799U Expired - Fee Related JP3172461U (ja) 2009-04-10 2011-08-17 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010090225A Pending JP2010251752A (ja) 2009-04-10 2010-04-09 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット

Country Status (6)

Country Link
US (2) US8272346B2 (ja)
JP (2) JP2010251752A (ja)
KR (1) KR200464038Y1 (ja)
CN (1) CN202025711U (ja)
DE (1) DE202010004773U1 (ja)
TW (1) TWM396482U (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016111284A (ja) * 2014-12-10 2016-06-20 東京エレクトロン株式会社 プラズマ処理方法
KR102556317B1 (ko) * 2022-08-12 2023-07-18 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP2012101676A (ja) 2010-11-10 2012-05-31 Hitachi Automotive Systems Ltd ブレーキ制御装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017044754A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma module with slotted ground plate
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN110411613A (zh) * 2019-09-04 2019-11-05 川北真空科技(北京)有限公司 导气垫圈及其制造方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
KR20210077060A (ko) * 2019-12-16 2021-06-25 삼성디스플레이 주식회사 잉크젯 프린트 장치 및 쌍극자 정렬 방법
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
KR102396430B1 (ko) 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN117836894A (zh) * 2021-08-23 2024-04-05 Psk有限公司 基板处理装置及基板处理方法

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5484486A (en) * 1994-05-02 1996-01-16 Applied Materials, Inc. Quick release process kit
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
JP3959745B2 (ja) 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6899786B2 (en) 2000-05-17 2005-05-31 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6349744B1 (en) * 2000-10-13 2002-02-26 Mks Instruments, Inc. Manifold for modular gas box system
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101971321B (zh) 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102484940B (zh) 2009-08-31 2015-11-25 朗姆研究公司 局部等离子体约束和压强控制装置及其方法
KR20170125419A (ko) 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016111284A (ja) * 2014-12-10 2016-06-20 東京エレクトロン株式会社 プラズマ処理方法
KR102556317B1 (ko) * 2022-08-12 2023-07-18 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구
WO2024034937A1 (ko) * 2022-08-12 2024-02-15 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구

Also Published As

Publication number Publication date
US20100261354A1 (en) 2010-10-14
KR200464038Y1 (ko) 2013-02-19
US20130034967A1 (en) 2013-02-07
CN202025711U (zh) 2011-11-02
KR20100010304U (ko) 2010-10-20
US8272346B2 (en) 2012-09-25
TWM396482U (en) 2011-01-11
DE202010004773U1 (de) 2010-08-12
US8536071B2 (en) 2013-09-17
JP2010251752A (ja) 2010-11-04

Similar Documents

Publication Publication Date Title
JP3172461U (ja) 留め付けられた一体的なシャワーヘッド電極のための位置決め構造を有するガスケット
JP5409778B2 (ja) クランプされた一体的なシャワーヘッド電極
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
JP3167751U (ja) クランプ式モノリシックシャワーヘッド電極
US8573152B2 (en) Showerhead electrode
US8313805B2 (en) Clamped showerhead electrode assembly
US8470127B2 (en) Cam-locked showerhead electrode and assembly

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20111122

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141130

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees