DE202011109320U1 - Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung - Google Patents

Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung Download PDF

Info

Publication number
DE202011109320U1
DE202011109320U1 DE202011109320U DE202011109320U DE202011109320U1 DE 202011109320 U1 DE202011109320 U1 DE 202011109320U1 DE 202011109320 U DE202011109320 U DE 202011109320U DE 202011109320 U DE202011109320 U DE 202011109320U DE 202011109320 U1 DE202011109320 U1 DE 202011109320U1
Authority
DE
Germany
Prior art keywords
gas distribution
distribution electrode
inches
row
holes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE202011109320U
Other languages
English (en)
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE202011109320U1 publication Critical patent/DE202011109320U1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Gasverteilungselektrode, die zum Gebrauch in einer Gasverteilungselektrodenanordnung in einer kapazitiv gekoppelten Plasmaverarbeitungskammer angepasst ist, wobei die Gasverteilungselektrodenanordnung eine Trägerplatte mit Gaseinspritzlöchern, die sich zwischen ihrer oberen und unteren Seite erstrecken, einen Schutzring, einen Ausrichtring, eine Mehrzahl von Befestigungseinrichtungen, die die Gasverteilungselektrode an der Trägerplatte anbringen, und eine Mehrzahl von Ausrichtstiften umfasst; wobei die Gasverteilungselektrode umfasst: eine zum Plasma hin freiliegende Oberfläche auf ihrer unteren Seite; eine Montageoberfläche auf ihrer oberen Seite; eine ringförmige Stufe auf ihrer äußeren Peripherie, wobei die ringförmige Stufe so ausgebildet ist, dass sie den Schutzring trägt; eine Mehrzahl von Gaseinspritzlöchern, die sich zwischen ihrer zum Plasma hin freiliegenden Oberfläche und ihrer Montageoberfläche erstrecken und die in einem Muster angeordnet sind, das den Gaseinspritzlöchern in der Trägerplatte entspricht; eine Mehrzahl von gewindefreien Sacklöchern in der Montageoberfläche, die so ausgebildet sind, dass sie die Ausrichtstifte aufnehmen; eine ringförmige Nut in der...

Description

  • Die Erfindung betrifft eine Gasverteilungselektrode einer kapazitiv gekoppelten Parallelplatten-Plasmaverarbeitungskammer, in der Halbleiterbauelemente hergestellt werden können.
  • Hintergrund
  • Die Fertigung eines IC-Chips beginnt typischerweise mit einer dünnen polierten Kristallscheibe eines hochreinen Einkristallhalbleitermaterialsubstrats (wie z. B. Silicium oder Germanium), die ein ”Substrat” genannt wird. Jedes Substrat wird einer Aufeinanderfolge von physikalischen und chemischen Verarbeitungsschritten unterzogen, die die verschiedenen Schaltungsstrukturen auf dem Substrat bilden. Während des Fertigungsverfahrens können unter Verwendung von verschiedenen Techniken, wie z. B. thermische Oxidation, um Siliciumdioxidfilme zu erzeugen, chemische Abscheidung aus der Gasphase, um Silicium-, Siliciumdioxid- und Siliciumnitridfilme zu erzeugen, und Sputtern, oder anderen Techniken, um andere Metallfilme zu erzeugen, verschiedene Typen von Dünnfilmen auf dem Substrat abgelagert werden.
  • Nach Ablagerung eines Films auf dem Halbleitersubstrat werden die einzigartigen elektrischen Eigenschaften von Halbleitern erzeugt, indem ausgewählte Verunreinigungen unter Verwendung eines Verfahrens, das Dotieren genannt wird, in dem Halbleiterkristallgitter substituiert werden. Das dotierte Siliciumsubstrat kann dann mit einer dünnen Schicht von fotoempfindlichem oder strahlungsempfindlichem Material, das ein ”Resist” genannt wird, gleichförmig beschichtet werden. Kleine geometrische Muster, die Elektronenwege in der Schaltung begrenzen, können dann unter Verwendung eines als Lithografie bekannten Verfahrens auf den Resist übertragen werden. Während des lithografischen Verfahrens kann das IC-Muster auf einer als eine ”Maske” bezeichneten Glasplatte gezeichnet werden und dann optisch verkleinert, auf die fotoempfindliche Beschichtung projiziert und übertragen werden.
  • Aufgrund von zunehmenden Leistungsbedürfnissen und damit in Zusammenhang stehendem Erwärmen der Gasverteilungselektrode werden bessere Montageanordnungen benötigt, die einen besseren Wärmekontakt zwischen der Gasverteilungselektrode und der Trägerplatte und eine Verwerfungsverringerung der erwärmten Gasverteilungselektrode liefern.
  • Zusammenfassung
  • Hierin wird eine Gasverteilungselektrode beschrieben, die zum Gebrauch in einer Gasverteilungselektrodenanordnung in einer kapazitiv gekoppelten Plasmaverarbeitungskammer angepasst ist. Die Gasverteilungselektrodenanordnung umfasst eine Trägerplatte mit Gaseinspritzlöchern, die sich zwischen ihrer oberen und unteren Seite erstrecken, einen Schutzring, einen Ausrichtring, eine Mehrzahl von Befestigungseinrichtungen, die die Gasverteilungselektrode an der Trägerplatte anbringen, und eine Mehrzahl von Ausrichtstiften. Die Gasverteilungselektrode umfasst eine zum Plasma hin freiliegende Oberfläche auf ihrer unteren Seite, eine Montageoberfläche auf ihrer oberen Seite. Eine ringförmige Stufe auf einer äußeren Peripherie der Gasverteilungselektrode ist so ausgebildet, dass sie den Schutzring trägt. Eine Mehrzahl von Gaseinspritzlöchern, die sich zwischen der zum Plasma hin freiliegenden Oberfläche und der Montageoberfläche erstrecken, ist in einem Muster angeordnet, das den Gaseinspritzlöchern in der Trägerplatte entspricht. Eine Mehrzahl von gewindefreien Sacklöchern in der Montageoberfläche ist so ausgebildet, dass sie die Ausrichtstifte aufnehmen. Eine ringförmige Nut in der Montageoberfläche ist so ausgebildet, dass sie den Ausrichtring aufnimmt. Konzentrische Reihen von Gewindesacklöchern in der Montageoberfläche sind so ausgebildet, dass sie Befestigungseinrichtungen aufnehmen, die die Gasverteilungselektrode an der Trägerplatte anbringen, wobei die konzentrischen Reihen umfassen: eine innere Reihe, die sich auf einem Radius von 1/8 bis 1/2 eines Gesamtabstandes von einer Mitte zu einem äußeren Rand der Gasverteilungselektrode befindet, eine mittlere Reihe, die sich auf einem Radius von 1/2 bis 3/4 des Gesamtabstandes von der Mitte zum äußeren Rand der Gasverteilungselektrode befindet, und eine äußere Reihe, die sich auf einem Radius von 3/4 bis 7/8 des Gesamtabstandes von der Mitte zum äußeren Rand der Gasverteilungselektrode befindet.
  • Kurze Beschreibung der Zeichnungen
  • 1A ist eine Querschnittsansicht einer Gasverteilungselektrodenanordnung, die zwei Nockenarretierungen an inneren Stellen darstellt, und 1B ist eine Querschnittsansicht der Gasverteilungselektrodenanordnung an einer anderen Stelle, wobei zwei äußere Nockenarretierungen dargestellt sind.
  • 2 ist eine Ansicht von unten auf eine Gasverteilungselektrode in der Gasverteilungselektrodenanordnung in 1.
  • 3 ist eine Querschnittsansicht der Elektrode in 2.
  • 4 ist eine Ansicht von oben auf die Elektrode in 2.
  • 5 ist ein Teilquerschnitt eines Stiftausrichtlochs in der Elektrode in 2.
  • 6 ist eine Teilquerschnittsansicht einer ringförmigen Nut in der Elektrode in 2.
  • 7 ist eine Teilquerschnittsansicht einer Gewindebohrung in der Elektrode in 2.
  • 8 ist eine Perspektivansicht einer Trägerplatte in einer Gasverteilungselektrodenanordnung in 1.
  • 9 ist eine Ansicht von unten auf die Trägerplatte in 8.
  • 10 ist eine Teilquerschnittsansicht der Trägerplatte in 8.
  • 11 ist eine Ansicht von oben auf die Trägerplatte in 10.
  • 12 ist ein transversaler Querschnitt der Trägerplatte in 8.
  • 13 ist ein radialer Querschnitt der Trägerplatte in 8.
  • 14 ist eine Teilquerschnittsansicht durch eine Nockenwellenbohrung und zwei innere Nockenarretierstellen in der Trägerplatte in 8.
  • 15 ist eine Teilquerschnittsansicht durch eine Nockenwellenbohrung und zwei äußere Nockenarretierstellen in der Trägerplatte in 8.
  • Ausführliche Beschreibung
  • Eine Plasmareaktionskammer besteht typischerweise aus einer Vakuumkammer mit einer oberen Elektrodenanordnung und einer unteren Elektrodenanordnung, die darin positioniert sind. Ein zu verarbeitendes Substrat (normalerweise ein Halbleiter) wird durch eine geeignete Maske bedeckt und direkt auf die untere Elektrodenanordnung platziert. Ein Prozessgas, wie z. B. CF4, CHF3, CClF3, HBr, Cl2, SF6 oder Mischungen davon, wird mit Gasen, wie z. B. O2, N2, He, Ar oder Mischungen davon, in die Kammer eingeleitet. Die Kammer wird bei einem Druck gehalten, der typischerweise im Millitorrbereich liegt. Die obere Elektrodenanordnung ist mit einem Gaseinspritzloch (Gaseinspritzlöchern) versehen, das (die) ermöglicht(chen), dass das Gas durch die obere Elektrodenanordnung gleichförmig in die Farmer verteilt wird. Ein oder mehrere Radiofrequenz(RF)leistungsversorgungen übertragen RF-Leistung in die Vakuumkammer und dissoziieren neutrale Prozessgasmoleküle in ein Plasma. Hochreaktive Radikale im Plasma werden durch ein elektrisches Feld zwischen der oberen und unteren Elektrode in Richtung auf die Substratoberfläche getrieben. Die Oberfläche des Substrats wird durch chemische Reaktion mit den Radikalen geätzt, oder es findet durch die Reaktion eine Ablagerung darauf statt. Die obere Elektrodenanordnung enthält eine Gasverteilungselektrode, die an einer Trägerplatte angebracht ist, die aus einem von der Gasverteilungselektrode verschiedenen Material hergestellt ist. Die Gasverteilungselektrode wird während eines Betriebs durch das Plasma und/oder eine Heizeranordnung erwärmt und kann sich verwerfen, was eine Gleichförmigkeit einer Verarbeitungsgeschwindigkeit über das Substrat nachteilig beeinflussen kann. Zusätzlich kann eine unterschiedliche Wärmeausdehnung der Gasverteilungselektrode und der Trägerplatte während wiederholter Wärmezyklen zu einem Reiben zwischen ihnen führen. Ein Reiben kann Teilchenverunreinigungen erzeugen, die die Bauelementausbeute von dem Substrat verschlechtern.
  • Um eine Verwerfung der Gasverteilungselektrode zu verringern, wird hierin eine Befestigungsanordnung beschrieben, die seitlich verteilte Nockenarretierungen enthält, die mit einer Montageoberfläche der Gasverteilungselektrode im Eingriff stehen.
  • 1A stellt eine Teilquerschnittsansicht eines Teils einer Gasverteilungselektrodenanordnung 500 einer Plasmareaktionskammer zum Ätzen von Halbleitersubstraten an einer Stelle dar, und 1B stellt eine Teilquerschnittsansicht an einer anderen Stelle dar. Wie in 1A dargestellt, umfasst die Gasverteilungselektrodenanordnung 500 eine Gasverteilungselektrode 502, eine Trägerplatte 506 und eine ringförmige Verkleidungserweiterung 510 mit einem C-förmigen Querschnitt mit Gasdurchlässen 511 in ihrer unteren Wand. Die Anordnung 500 kann an einer Temperaturregelplatte (nicht dargestellt) montiert sein, die an einer temperaturgeregelten oberen Wand (nicht dargestellt) der Vakuumkammer angebracht ist. Einzelheiten einer Temperaturregelplatte und oberen Wand sind in der gemeinsam übertragenen veröffentlichten US-Anmeldung 2010/0003829 angegeben, deren Offenbarung durch Bezug hierdurch aufgenommen wird. Die Gasverteilungselektrode 502 kann aus einem leitenden hochreinen Material, wie z. B. Einkristallsilicium, polykristallines Silicium, Siliciumcarbid, oder anderem geeignetem Material hergestellt sein. Die Gasverteilungselektrode 502 ist ein selbstverzehrendes Teil, das periodisch ersetzt werden muss. Die Trägerplatte 506 ist mit unten beschriebenen Nockenarretierungs-Befestigungseinrichtungen an der Gasverteilungselektrode 502 mechanisch gesichert.
  • Die wie in 1A dargestellte Gasverteilungselektrodenanordnung 500 ist bei Montage in einer Plasmakammer gegenüberliegend zu einer Substratauflage angeordnet, die einen elektrostatischen Halter (nicht dargestellt) enthält, der Teil einer ebenen unteren Elektrodenanordnung bildet, auf der ein Substrat in einem Abstand von 1 bis 5 cm unter der Gasverteilungselektrode 502 getragen wird. Ein Beispiel für eine solche Plasmareaktionskammer ist ein Reaktor vom Parallelplattentyp, wie z. B. die ExelanTM-Dielektrika-Ätzsysteme, die von der Lam Research Corporation of Fremont, Kalifornien, hergestellt werden. Solche Halteranordnungen liefern eine Temperaturregelung des Substrats, indem für einen rückseitigen Helium(He)-Druck gesorgt wird, der die Wärmedurchgangszahl zwischen dem Substrat und dem Halter steuert. Der Halter kann vertikal bewegt werden, um den Wafer über der unteren Wand der C-Verkleidung 510 anzuordnen. Gase können mittels radial sich erstreckender Schlitze 511 in der unteren Wand der C-Verkleidung aus einem Spalt zwischen dem Wafer und der Gasverteilungselektrode entfernt werden. Während einer Plasmaverarbeitung liefern die Nockenarretierungen eine konstant Last auf die Gasverteilungselektrode, während ein Überlasten aufgrund einer Wärmebewegung zwischen der Trägerplatte und der Gasverteilungselektrode verhindert wird.
  • Während eines Gebrauchs wird Prozessgas von einer Gasquelle zur Gasverteilungselektrode 502 durch einen oder mehrere Durchlässe in der oberen Wand zugeführt, die ermöglichen, dass Prozessgas zu einer einzigen Zone oder mehreren Zonen über dem Substrat in den Spalt zwischen der Gasverteilungselektrode und dem zu verarbeitenden Substrat zugeführt wird.
  • Die Gasverteilungselektrode 502 ist vorzugsweise eine plane Scheibe oder Platte mit einer ringförmigen Stufe 542 um ihren äußeren Teil. Die Stufe 542 enthält eine innere geneigte Oberfläche 544 und eine äußere geneigte Oberfläche 548. Die Gasverteilungselektrode 502 kann einen Durchmesser aufweisen, der größer als ein zu verarbeitendes Substrat ist, z. B. über 300 mm. Zur Verarbeitung von 300 mm-Substraten kann die Gasverteilungselektrode 502 einen Durchmesser von etwa 431,8 mm (17 Inch) aufweisen (wie hierin verwendet, bezieht sich ”etwa” auf ±10%). Die Gasverteilungselektrode 502 kann ein einziges Materialstück (z. B. Einkristallsilicium, polykristallines Silicium, Siliciumcarbid oder ein anderes geeignetes Material) sein. Um Prozessgas zum Spalt zwischen dem Substrat und der Gasverteilungselektrode 502 zuzuführen, ist die Gasverteilungselektrode 502 mit einer Mehrzahl von Gaseinspritzlöchern 504 (siehe 2) versehen, die von einer Größe und Verteilung sind, die geeignet sind, um ein Prozessgas zuzuführen, das in einer Reaktionszone unter der Gasverteilungselektrode 502 in ein Plasma aktiviert wird.
  • Einkristallsilicium ist ein bevorzugtes Material für eine zum Plasma hin freiliegende Oberfläche der Gasverteilungselektrode 502. Hochreines Einkristallsilicium minimiert eine Verunreinigung von Substraten während einer Plasmaverarbeitung, da es nur eine minimale Menge von unerwünschten Elementen in die Reaktionskammer einschleppt und auch während einer Plasmaverarbeitung unmerklich verschleißt, wodurch Teilchen minimiert werden. Alternative Materialien einschließlich Zusammensetzungen von Materialien, die für eine zum Plasma hin freiliegende Oberfläche der Gasverteilungselektrode 502 verwendet werden können, umfassen beispielsweise polykristallines Silicium, Y2O3, SiC, Si3N4 und AlN.
  • In einer Ausführungsform ist die Gasverteilungselektrodenanordnung 500 groß genug, um große Substrate zu verarbeiten, wie z. B. Halbleitersubstrate mit einem Durchmesser von 300 mm. Jedoch kann die Gasverteilungselektrodenanordnung 500 dimensioniert sein, um andere Substratgrößen zu verarbeiten.
  • Die Trägerplatte 506 ist vorzugsweise aus einem Material hergestellt, das mit Prozessgasen chemisch verträglich ist, die zum Verarbeiten von Halbleitersubstraten in der Plasmaverarbeitungskammer verwendet werden, einen thermischen Ausdehnungskoeffizienten aufweist, der demjenigen des Elektrodenmaterials genau entspricht, und/oder strom- und wärmeleitend ist. Bevorzugte Materialien, die verwendet werden können, um die Trägerplatte 506 herzustellen, umfassen, sind aber nicht darauf beschränkt, Grafit, SiC, Aluminium (Al) oder andere geeignete Materialien.
  • Die Trägerplatte 506 ist vorzugsweise mit geeigneten mechanischen Befestigungseinrichtungen, die Gewindebolzen, Schrauben oder dergleichen sein können, an der Temperaturregelplatte angebracht. Z. B. können Bolzen (nicht dargestellt) in Löchern in der Temperaturregelplatte eingesetzt sein und in Gewindeöffnungen in der Trägerplatte 506 eingeschraubt sein. Die Temperaturregelplatte ist vorzugsweise aus einem maschinell bearbeiteten metallischen Material hergestellt, wie z. B. Aluminium, eine Aluminiumlegierung oder dergleichen. Die obere temperaturgeregelte Platte ist vorzugsweise aus Aluminium oder einer Aluminiumlegierung hergestellt.
  • Die Gasverteilungselektrode 502 kann durch einen Nockenarretiermechanismus an der Trägerplatte 506 mechanisch angebracht werden, wie in der gemeinsam übertragenen WO2009/114175 (veröffentlicht am 17. September 2009) und der veröffentlichten US-Anmeldung 2010/0003824 beschrieben, deren Offenbarungen hierdurch durch Bezug aufgenommen werden. Mit Bezug auf 1A bringt ein Paar von inneren Nockenarretierungen 562 wie dargestellt die Gasverteilungselektrode 502 an der Trägerplatte 506 an. Jede Nockenarretierung kann die Gasverteilungselektrode 502 in den verschiedensten mit einer Halbleiterfertigung in Beziehung stehenden Arbeitsgeräten, wie z. B. einer Plasmaätzkammer, schnell, sauber und genau an der Trägerplatte 506 anbringen.
  • Die Nockenarretierung umfasst einen Zapfen (Arretierstift), der in einem Sockel montiert ist, und der Zapfen kann von einem Tellerfederstapel umgeben sein, wie beispielsweise Edelstahl-Belleville-Federscheiben. Der Zapfen und Tellerfederstapel können dann durch die Verwendung von Klebemitteln oder mechanischen Befestigungseinrichtungen durch Presssitz in den Sockel eingepasst oder anders darin befestigt werden. Der Zapfen und der Tellerfederstapel sind im Sockel so angeordnet, dass eine konstante Last auf die Gasverteilungselektrode aufgebracht werden kann, um einen gewünschten thermischen und elektrischen Kontakt zwischen der Gasverteilungselektrode und der Trägerplatte aufrechtzuerhalten, während ein beschränkter Betrag einer lateralen Bewegung zwischen der Gasverteilungselektrode 502 und der Trägerplatte 506 während einer Temperaturwechselbeanspruchung zugelassen wird. Beschränken des Betrags einer lateralen Bewegung ermöglicht eine Feinpassung zwischen der Gasverteilungselektrode 502 und der Trägerplatte 506, wodurch ein guter Wärmekontakt sichergestellt wird, während doch noch für eine gewisse Bewegung gesorgt ist, um Unterschieden in einer Wärmeausdehnung zwischen den zwei Teilen Rechnung zu tragen. Zusätzliche Einzelheiten über das beschränkte laterale Bewegungsmerkmal werden in größerer Einzelheit unten erörtert.
  • In einer spezifischen beispielhaften Ausführungsform ist der Sockel aus hochfestem Torlon® gefertigt. Alternativ kann der Sockel aus anderen Materialien gefertigt sein, die gewisse mechanische Eigenschaften besitzen, wie z. B. gute Festigkeit und Schlagfestigkeit, Kriechfestigkeit, Dimensionsstabilität, Strahlungsbeständigkeit und chemische Beständigkeit können ohne weiteres verwendet werden. Verschiedene Materialien, wie z. B. Polyamidimid, Acetale und ultrahochmolekulare Polyethylen-Materialien können alle geeignet sein. Hochtemperatur-spezifische Kunststoffe und andere verwandte Materialien sind zur Bildung des Sockels nicht erforderlich, da 230°C eine typische Maximaltemperatur ist, die in Anwendungen, wie z. B. Ätzkammern, angetroffen wird. Allgemein liegt eine typische Betriebstemperatur näher bei 130°C.
  • Andere Teile der Nockenarretierung bestehen aus einer Nockenwelle 514, die fakultativ an jedem Ende von einem Paar von Nockenwellenlagern umgeben wird. Die Anordnung aus Nockenwelle 514 und Nockenwellenlager ist in eine Trägerplattenbohrung 560 eingebaut, die in die Trägerplatte 506 maschinell eingearbeitet ist. In einer typischen Anwendung für eine Ätzkammer, die für 300 mm-Halbleitersubstrate ausgelegt ist, können die Nockenarretierungen in konzentrischen Reihen über die Gasverteilungselektrode 502 angeordnet sein.
  • Die Nockenwellenlager können aus den verschiedensten Materialien maschinell gefertigt sein, einschließlich Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon®, oder anderen Materialien, wie z. B. Fluorpolymeren, Acetalen, Polyamiden, Polyimiden, Polytetrafluorethylenen und Polyetheretherketonen (PEEK) mit einem niedrigen Reibungskoeffizienten und einer geringen Teilchenablösung. Der Zapfen und die Nockenwelle können aus Edelstahl (z. B. 316, 316L, 17-7, NITRONIC-60 usw.) oder einem beliebigen anderen Material maschinell gefertigt sein, das für gute Festigkeit und Korrosionsbeständigkeit sorgt.
  • Die 27 stellen Einzelheiten der Gasverteilungselektrode 502 dar. Die Gasverteilungselektrode 502 ist vorzugsweise eine Platte von hochreinem (weniger als 10 ppm Verunreinigungen) niederohmigem (0,005 bis 0,02 Ohm-cm) Einkristallsilicium.
  • 2 ist eine Draufsicht von unten auf die Gasverteilungselektrode 502, die eine zum Plasma hin freiliegende Oberfläche 503 darstellt. Gaseinspritzlöcher 504 von geeignetem Durchmesser und/oder Ausbildung erstrecken sich von einer Montageoberfläche 505 zur zum Plasma hin freiliegenden Oberfläche 503 und können einwärts der Stufe 542 in einem beliebigen geeigneten Muster angeordnet sein. Vorzugsweise ist der Durchmesser der Gaseinspritzlöcher 504 kleiner als oder gleich 1,016 mm (0,04 Inch); bevorzugter liegt der Durchmesser der Gaseinspritzlöcher 504 zwischen 0,254 und 0,762 mm (0,01 und 0,03 Inch); weiter vorzugsweise, beträgt der Durchmesser der Gaseinspritzlöcher 504 etwa 0,508 mm (0,02 Inch). In der dargestellten Ausführungsform befindet sich ein Gaseinspritzloch in der Mitte der Gasverteilungselektrode 502; die anderen Gaseinspritzlöcher sind in acht konzentrischen Reihen angeordnet, wobei sich 7 Gaseinspritzlöcher in der ersten Reihe etwa 15,24–17,78 (z. B. 17,272) mm (0,6–0,7 (z. B. 0,68) Inch) von der Mitte der Elektrode befinden, sich 17 Gaseinspritzlöcher in der zweiten Reihe etwa 33,02–35,56 (z. B. 34,036) mm (1,3–1,4 (z. B. 1,34) Inch) von der Mitte befinden, sich 28 Gaseinspritzlöcher in der dritten Reihe etwa 53,34–55,88 (z. B. 53,848) mm (2,1–2,2 (z. B. 2,12) Inch) von der Mitte befinden, sich 40 Gaseinspritzlöcher in der vierten Reihe etwa 71,12–76,2 (z. B. 73,66) mm (2,8–3,0 (z. B. 2,90) Inch) von der Mitte befinden, sich 48 Gaseinspritzlöcher in der fünften Reihe etwa 91,44–93,98 (z. B. 93,218) mm (3,6–3,7 (z. B. 3,67) Inch) von der Mitte befinden, sich 56 Gaseinspritzlöcher in der sechsten Reihe etwa 111,76–114,3 (z. B. 113,03) mm (4,4–4,5 (z. B. 4,45) Inch) von der Mitte befinden, sich 64 Gaseinspritzlöcher in der siebten Reihe etwa 127–129,54 (z. B. 129,286) mm (5,0–5,1 (z. B. 5,09) Inch) von der Mitte befinden und sich 72 Gaseinspritzlöcher in der achten Reihe etwa 144,78–147,32 (z. B. 145,542) mm (5,7–5,8 (z. B. 5,73) Inch) von der Mitte befinden. Die Gaseinspritzlöcher in jeder von diesen Reihen sind azimutal abstandsgleich angeordnet.
  • 3 ist eine Querschnittsansicht der Gasverteilungselektrode 502 entlang ihrem Durchmesser. Die Außenumfangsoberfläche enthält eine Stufe 542. 7 ist eine vergrößerte Ansicht der Stufe 542 in 2. Die Stufe 542 umfasst eine plane ringförmige Oberfläche 547, die vollständig um die Gasverteilungselektrode 502 verläuft. In einer bevorzugten Ausführungsform weist die Gasverteilungselektrode 502 einen inneren Teil 507 von gleichförmiger Dicke einwärts der Stufe 542 auf. Der innere Teil 507 weist vorzugsweise eine gleichförmige Dicke von etwa 10,16 mm (0,40 Inch) und einen Außendurchmesser von etwa 304,8 mm (12 Inch) auf. Die innere geneigte Oberfläche 546 beginnt am äußeren Rand des inneren Teils 507, und ein Außendurchmesser der inneren geneigten Oberfläche 546 weist einen Durchmesser von etwa 317,5 bis 342,9 mm (12,5 bis 13,5 Inch) auf. Die äußere geneigte Oberfläche 548 weist einen Innendurchmesser von etwa 398,78 bis 411,48 mm (15,7 bis 16,2 Inch) und einen Außendurchmesser von etwa 426,72 mm (16,8 Inch) auf. Die innere geneigte Oberfläche 546 ist vorzugsweise unter einem Winkel von 20° bis 45°, z. B. etwa 25°, zur planen ringförmigen Oberfläche 547 geneigt, und die äußere geneigte Oberfläche 548 kann unter einem Winkel von 20 bis 30°, z. B. etwa 25°, zur planen ringförmigen Oberfläche 547 geneigt sein. Die Dicke zwischen der Montageoberfläche 505 und der ringförmigen Oberfläche 547 beträgt etwa 15,51 mm (0,65 Inch). Die Gasverteilungselektrode enthält eine äußere Stufe 549, die durch eine vertikale Oberfläche 549a gebildet wird, die sich etwa 3,81 mm (0,15 Inch) erstreckt, und eine horizontale Oberfläche 549b, die sich etwa 3,048 mm (0,12 Inch) erstreckt.
  • 4 ist eine Draufsicht von oben auf die Gasverteilungselektrode 502, die die Montageoberfläche 505 darstellt. Die Montageoberfläche 505 umfasst eine ringförmige Nut 505a (Einzelheiten sind in 6 dargestellt), die mit der Gasverteilungselektrode 502 konzentrisch ist, wobei die ringförmige Nut 505a einen Innendurchmesser von etwa 6,096 mm (0,24 Inch), einen Außendurchmesser von etwa 11,176 mm (0,44 Inch), eine Tiefe von mindestens 2,54 mm (0,1 Inch), eine 45°-Abschrägung von etwa 0,508 mm (0,02 Inch) Weite auf dem Zutrittsrand und eine Ausrundung mit einem Radius zwischen 0,381 und 0,762 mm (0,015 und 0,03 Inch) auf den Bodenecken aufweist.
  • Die Montageoberfläche 505 umfasst auch zwei glatte (gewindefreie) Sacklöcher 505b, die ausgeführt sind, um Ausrichtstifte aufzunehmen (Einzelheiten sind in 5 dargestellt), die bei einem Radius zwischen 43,688 und 43,942 mm (1,72 und 1,73 Inch) von der Mitte der Gasverteilungselektrode 502 angeordnet sind und um etwa 175° gegeneinander versetzt sind, wobei die Sacklöcher 505b einen Durchmesser von etwa 2,794 bis 3,048 mm (0,11 bis 0,12 Inch), eine Tiefe von mindestens 2,54 mm (0,1 Inch), eine 45°-Abschrägung von etwa 0,508 mm (0,02 Inch) auf einem Zutrittsrand und eine Ausrundung mit einem Radius von höchstens 0,508 mm (0,02 Inch) auf einer Bodenecke aufweisen.
  • Die Montageoberfläche 505 umfasst auch Gewindesacklöcher 505c, die in ringförmigen Montagelochzonen angeordnet sind, die die Montageoberfläche 505 in einen mittigen Teil, einen Zwischenteil und einen äußeren Teil unterteilen. Die Montagelochzonen befinden sich vorzugsweise auf einem inneren Radius von 10 bis 30% des Radius der Gasverteilungselektrode 502, einem Zwischenradius von 50 bis 70% des Radius der Gasverteilungselektrode 502 und einem äußeren Radius von 80 bis 95% des Radius der Gasverteilungselektrode 502. In einer bevorzugten Ausführungsform ist eine Reihe von acht 7/16''-28UTS (Unified Thread Standard)-Gewindesacklöchern 502c auf einem inneren Radius zwischen 60,96 und 66,04 mm (z. B. 63,5 mm) (2,4 und 2,6 Inch (z. B. 2,5 Inch)) von der Mitte der Gasverteilungselektrode 502 angeordnet und um etwa 45° zwischen jedem Paar von benachbarten Löchern 502c azimutal versetzt, eine andere Reihe von 8 Gewindelöchern auf einem Radius zwischen 127 und 152,4 mm (z. B. 137,16 mm) (5 und 6 Inch (z. B. 5,4 Inch)) sowie eine andere Reihe von 8 Gewindelöchern auf einem Radius zwischen 177,8 und 203,2 mm (z. B. 195,58 mm) (7 und 8 Inch (z. B. 7,7 Inch)). Jedes der Löcher 502c weist eine Gesamttiefe von etwa 5,08 mm (0,2 Inch), eine Gewindetiefe von mindestens 4,064 mm (0,16 Inch) vom Zutrittsrand und eine 45°-Abschrägung von etwa 0,762 mm (0,03 Inch) Weite auf dem Zutrittsrand auf. In jeder Reihe ist eines von den Löchern 502c mit einem anderen der Löcher 502c azimutal ausgerichtet. Wie hierin verwendet, bedeutet zwei Gegenstände sind ”azimutal ausgerichtet”, dass eine Gerade, die diese zwei Gegenstände verbindet, durch die Mitte eines Kreises oder Rings, in dieser Ausführungsform die Mitte der Gasverteilungselektrode 502, hindurchgeht.
  • Die Montageoberfläche 505 umfasst weiter ein erstes, zweites und drittes glattes (gewindefreies) Sackloch 505b, die ausgebildet sind, um Ausrichtstifte (nicht dargestellt) aufzunehmen, die bei einem Radius zwischen 200,66 und 203,2 mm (7,9 und 8 Inch), vorzugsweise etwa 201,422 mm (7,93 Inch), von der Mitte der Gasverteilungselektrode 502 radial ausgerichtet sind. ”Radial ausgerichtet” bedeutet, dass die Abstände zur Mitte gleich sind. Die Löcher 505b weisen einen Durchmesser zwischen 2,794 und 3,048 mm (0,11 und 0,12 Inch), eine Tiefe von mindestens 2,54 mm (0,1 Inch), eine 45°-Abschrägung von etwa 0,508 mm (0,02 Inch) Weite auf einem Zutrittsrand und eine Ausrundung mit einem Radius von höchstens 0,508 mm (0,02 Inch) auf einer Bodenecke auf. Eines der drei äußeren Löcher 505b ist um etwa 15° gegen den Uhrzeigersinn gegen eines der Gewindesacklöcher 505c in der zweiten konzentrischen Reihe von Löchern 505c azimutal versetzt. Ein zweites der drei Löcher 505b ist um etwa 120° im Uhrzeigersinn gegen das erste Loch 505b azimutal versetzt. Das dritte Loch 505b ist um etwa 125° im Uhrzeigersinn gegen das zweite Loch 505b azimutal versetzt.
  • 8 ist eine Perspektivansicht einer Trägerplatte 506, in der vier konzentrische Reihen von Löchern 550 vorgesehen sind, um Zapfen der Nockenarretierungen aufzunehmen, wobei die inneren drei Reihen mit den Zapfen auf der Gasverteilungselektrode in Eingriff treten und die äußere Reihe mit Zapfen auf einer C-Verkleidungserweiterung 510 in Eingriff tritt, die die Gasverteilungselektrode 502 umgibt. 9 ist eine Ansicht von unten auf die Trägerplatte 506, 10 ist ein Querschnitt der Trägerplatte 506 an einer Stelle, wo horizontale Bohrungen 560a ermöglichen, dass eine Nockenwelle 514 simultan zwei innere Nockenarretierungen betätigt, 11 ist eine Ansicht von oben auf die Trägerplatte 506, wobei Stellen von Befestigungslöchern 570 zur Anbringung der Trägerplatte an der Temperaturregelplatte und ringförmige Kanäle 572 zur Aufnahme von elektrischen und thermischen Dichtungselementen dargestellt sind, 12 ist ein Querschnitt durch die Mitte der Trägerplatte 506, wobei Bohrungen 560a zur Aufnahme von Nockenwellen zur Betätigung der zwei inneren Reihen von Nockenarretierungen und kurze Bohrungen 560b zur Aufnahme von Nockenwellen zur Betätigung der zwei äußeren Reihen von Nackenarretierungen dargestellt sind. 13 ist ein Querschnitt der Trägerplatte 506, wobei eine Aussparung 574, in der die Gasverteilungselektrode 502 aufgenommen wird, und ein ringförmiger Kanal 576, der den Ausrichtring aufnimmt, dargestellt sind, 14 ist ein Querschnitt, der eine Hälfte der Trägerplatte 506 an einer Stelle einer Bohrung 560a darstellt, und 15 ist ein Querschnitt eines äußeren Teils der Trägerplatte an einer Stelle einer der Bohrungen 560b.
  • Wenn die Gasverteilungselektrode 502 in der Gasverteilungselektrodenanordnung 500 eingebaut wird, werden zuerst ein Ausrichtring 522, zwei innere Ausrichtstifte und drei äußere Ausrichtstifte in die ringförmige Nut 505a bzw. Löcher 505b eingesetzt und Zapfenanordnungen der Nockenarretierungen werden in die Gewindelöcher 505c montiert. Die Ausrichtstifte werden in Löchern 553 in der Trägerplatte 506 aufgenommen. Eine Dichtungselementanordnung, die so entworfen ist, dass sie in ringförmige Sitze 554 auf einer unteren Oberfläche 555 der Trägerplatte 506 passt (siehe 8), wird dann an der Gasverteilungselektrode 502 montiert, die gegen die Trägerplatte 506 befestigt wird, indem Nockenwellen 514 in der Trägerplatte 506 gedreht werden, um die Zapfen der Zapfenanordnungen, die auf der Gasverteilungselektrode 502 montiert sind, in Eingriff zu nehmen.
  • Die Nockenarretierungen 562 liefern mechanische Unterstützungspunkte, verbessern einen Wärmekontakt mit der Trägerplatte 506, verringern eine Verwerfung der Gasverteilungselektrode 502 und verringern folglich eine Verarbeitungsgeschwindigkeits-Ungleichförmigkeit und thermische Ungleichförmigkeit.
  • In der dargestellten Ausführungsform ist die Gasverteilungselektrode 502 durch 24 Nockenarretierungen mechanisch an der Trägerplatte 506 angebracht. Die Nockenarretierungen enthalten eine Zapfen/Sockel-Anordnung, die einen Zapfen (Arretierstift) umfasst, der in einen Sockel eingebaut ist.
  • Um einen simultanen Eingriff der inneren und mittleren Reihe von Nockenarretierungen auf der Gasverteilungselektrode zu ermöglichen, sind acht langgestreckte Nockenwellen in Trägerplattenbohrungen 560a (siehe 10) eingebaut, die in die Trägerplatte maschinell eingearbeitet sind. Jede Nockenwelle tritt bei einer Zapfen/Sockel-Anordnung einer Nockenarretierung auf der inneren Reihe von Nockenarretierungen und einer Zapfen/Sockel-Anordnung einer Nackenarretierung auf der mittleren Reihe von Nockenarretierungen in Eingriff.
  • Jede Nockenarretierung arbeitet, indem die Gasverteilungselektrode 502 in unmittelbare Nachbarschaft zur Trägerplatte 506 platziert wird, der Zapfen jeder Sockelanordnung in entsprechende Löcher in der Trägerplatte 506 eingesetzt wird und die Nockenwellen gedreht werden, um Ausschnitte in den Nockenwellen mit den Köpfen der Zapfen in Eingriff zu bringen. Jede Zapfen/Sockel-Anordnung kann mittels Außengewinden auf dem Sockel in ein Gewindeloch 505c in der Gasverteilungselektrode 502 eingeschraubt werden.
  • Die Nockenwellen können gedreht werden, indem ein Werkzeug, wie z. B. ein Sechskantschlüssel, in eine Sechskantöffnung auf einem Ende jeder Nockenwelle in Eingriff gebracht wird.
  • Beispielsweise kann ein Verkeilzapfen eine Drehwegstrecke der Nockenwelle in der Trägerplattenbohrung durch Koppeln mit einer Stufe auf einer Zutrittsöffnung der Bohrung beschränken. Jede Nockenwelle weist zwei exzentrische Innenausschnitte auf. Ein Ausschnitt tritt mit einem vergrößerten Kopf des Zapfens auf der inneren Reihe von Zapfen auf der Gasverteilungselektrode 502 in Eingriff, und der andere Ausschnitt tritt mit einem vergrößerten Kopf des Zapfens auf der mittleren Reihe von Zapfen in Eingriff. Die Nockenwelle kann durch Verwendung der hexagonalen Öffnung zuerst in einer Richtung, z. B. gegen den Uhrzeigersinn, gedreht werden, um einen Eintritt der Zapfen in die Nockenwelle zu ermöglichen, und dann im Uhrzeigersinn gedreht werden, um die Zapfen ganz in Eingriff zu nehmen und zu arretieren. Die Klemmkraft, die erforderlich ist, um die Gasverteilungselektrode an der Trägerplatte zu halten, wird geliefert, indem die Tellerfederstapel weiter als ihre freie Stapelhöhe zusammengepresst werden. Wenn sich die Tellerfederstapel zusammenpressen, wird die Klemmkraft von einzelnen Federn in den Tellerfederstapeln zu den Sockeln und durch die Gasverteilungselektrode zur Trägerplatte 506 übertragen.
  • In einem beispielhaften Betriebsmodus wird die Nockenwelle in die Trägerplattenbohrung eingesetzt, die Nockenwelle wird gegen den Uhrzeigersinn bis zu ihrer maximalen Drehwegstrecke gedreht, die Zapfen/Sockel-Anordnungen, die unter Anwendung einer leichten Drehkraft in die Gasverteilungselektrode 502 eingeschraubt werden, werden dann in vertikal verlaufende Durchgangslöcher 550 unter der horizontal verlaufenden Trägerplattenbohrung 560 eingesetzt, so dass die Köpfe der Zapfen in den exzentrischen Ausschnitten in der Nockenwelle in Eingriff treten. Die Gasverteilungselektrode 502 wird gegen die Trägerplatte 506 gehalten, und die Nockenwelle wird im Uhrzeigersinn gedreht, bis dem Verkeilstift durch die Stufe auf der Zutrittsöffnung der Bohrung eine Grenze gesetzt wird. Der beispielhafte Betriebsmodus kann umgekehrt werden, um die Gasverteilungselektrode 502 von der Trägerplatte 506 zu demontieren.
  • Um bei hoher Leistung in der Größenordnung von 8000 Watt zu arbeiten, ist es wünschenswert, Wärmedichtungselemente zwischen der Gasverteilungselektrode und der Aluminiumträgerplatte sowie zwischen der Trägerplatte und der Temperaturregelplatte vorzusehen. Beispielsweise sind die Dichtungselemente zwischen der Gasverteilungselektrode 502 und der Trägerplatte 506 vorzugsweise strom- und wärmeleitend und aus einem Material gefertigt, das kein übermäßiges Entgasen in einer Vakuumumgebung, z. B. etwa 10 bis 200 mTorr, zeigt, das geringe Teilchenerzeugung aufweist, nachgiebig ist, um eine Scherung an Kontaktpunkten aufzunehmen, und ohne Metallbestandteile ist, die Lebensdauervernichter in Halbleitersubstraten sind, wie z. B. Ag, Ni, Cu und dergleichen. Die Dichtungselemente können eine Silicon-Aluminiumfolien-Sandwich-Dichtungselementstruktur oder eine Elastomer-Edelstahl-Sandwich-Dichtungselementstruktur sein. Die Dichtungselemente können ein Aluminiumblech sein, das auf einer oberen und unteren Seite mit einem wärme- und stromleitenden Kautschuk beschichtet ist, der in einer Vakuumumgebung angemessen ist, die bei einer Halbleiterherstellung verwendet wird, wobei Schritte, wie z. B. Plasmaätzen, durchgeführt werden. Die Dichtungselemente sind vorzugsweise nachgiebig, so dass sie zusammengepresst werden können, wenn die Elektrode und die Trägerplatte mechanisch zusammengeklemmt werden, aber verhindern, dass gegenüberliegende Oberflächen der Elektrode und Trägerplatte während einer Temperaturwechselbeanspruchung der Gasverteilungselektrode gegeneinander reiben. Um einen Schutz gegen eine Teilchenerzeugung zu liefern, können die Dichtungslemente von Gaslöchern durch geeignete O-Ring-Anordnungen isoliert werden. Die Dichtungselemente können aus einem geeigneten Material, wie z. B. ”Q-PAD II”, hergestellt sein, das von der Bergquist Company erhältlich ist. Die Dicke der Dichtungselemente beträgt vorzugsweise etwa 0,1524 mm (0,006 Inch). Die verschiedenen Merkmale der Dichtungselemente können aus einer Endlosfolie mit einem Messer geschnitten sein, gestanzt, gelocht oder vorzugsweise mit einem Laser geschnitten sein. Der Dichtungselementsatz ist zwischen der Trägerplatte und der Gasverteilungselektrode montiert, um einen elektrischen und thermischen Kontakt dazwischen zu liefern.
  • Die Dichtungselemente können Ringe mit kreisförmigem Querschnitt oder eine Reihe von Ringen und Speichen sein, die in einem beliebigen geeigneten Muster angeordnet sind, vorausgesetzt, dass sie die Gaseinspritzlöcher 504, die Nockenarretierungen 562, den Ausrichtring oder die Ausrichtstifte in der Gasverteilungselektrode 502 nicht behindern.
  • Während die Gasverteilungselektrodenanordnungen, inneren Elektroden, äußeren Elektroden und Dichtungselementsätze mit Bezug auf ihre spezifischen Ausführungsformen in Einzelheit beschrieben worden sind, versteht es sich für Fachleute, dass verschiedene Änderungen und Modifikationen vorgenommen und Äquivalente verwendet werden können, ohne vom Umfang der angefügten Ansprüche abzuweichen.
  • Zusammenfassend weist die Gasverteilungselektrode und -elektrodenanordnung, die zum Plasmaätzen nützlich ist, Nockenarretierungen auf, die verbesserten Wärmekontakt zwischen der Gasverteilungselektrode und einer Trägerplatte liefern. Die Nockenarretierungen umfassen Nockenwellen in der Trägerplatte, die mit vergrößerten Köpfen von Zapfen, die auf der Gasverteilungselektrode montiert sind, in Eingriff treten. Die Anordnung kann eine ringförmige Verkleidung umfassen, die die Gasverteilungselektrode umgibt, und acht von den Nockenwellen in der Trägerplatte können so betrieben werden, dass jede Nockenwelle simultan mit einem Zapfen auf der ringförmigen Verkleidung und einem Zapfen in einer äußeren Reihe von Zapfen auf der Gasverteilungselektrode in Eingriff tritt. Weitere acht Nockenwellen können so betrieben werden, dass jede Nockenwelle mit einem Paar von Zapfen auf einer inneren und mittleren Reihe der Zapfen in Eingriff tritt, die auf der Gasverteilungselektrode montiert sind.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • WO 2009/114175 [0031]

Claims (10)

  1. Gasverteilungselektrode, die zum Gebrauch in einer Gasverteilungselektrodenanordnung in einer kapazitiv gekoppelten Plasmaverarbeitungskammer angepasst ist, wobei die Gasverteilungselektrodenanordnung eine Trägerplatte mit Gaseinspritzlöchern, die sich zwischen ihrer oberen und unteren Seite erstrecken, einen Schutzring, einen Ausrichtring, eine Mehrzahl von Befestigungseinrichtungen, die die Gasverteilungselektrode an der Trägerplatte anbringen, und eine Mehrzahl von Ausrichtstiften umfasst; wobei die Gasverteilungselektrode umfasst: eine zum Plasma hin freiliegende Oberfläche auf ihrer unteren Seite; eine Montageoberfläche auf ihrer oberen Seite; eine ringförmige Stufe auf ihrer äußeren Peripherie, wobei die ringförmige Stufe so ausgebildet ist, dass sie den Schutzring trägt; eine Mehrzahl von Gaseinspritzlöchern, die sich zwischen ihrer zum Plasma hin freiliegenden Oberfläche und ihrer Montageoberfläche erstrecken und die in einem Muster angeordnet sind, das den Gaseinspritzlöchern in der Trägerplatte entspricht; eine Mehrzahl von gewindefreien Sacklöchern in der Montageoberfläche, die so ausgebildet sind, dass sie die Ausrichtstifte aufnehmen; eine ringförmige Nut in der Montageoberfläche, die so ausgebildet ist, dass sie den Ausrichtring aufnimmt; und konzentrische Reihen von Gewindesacklöchern in der Montageoberfläche die so ausgebildet sind, dass sie Befestigungseinrichtungen aufnehmen, die die Gasverteilungselektrode an der Trägerplatte anbringen, wobei die konzentrischen Reihen umfassen: eine innere Reihe, die sich auf einem Radius von 1/8 bis 1/2 eines Gesamtabstandes von einer Mitte zu einem äußeren Rand der Gasverteilungselektrode befindet, eine mittlere Reihe, die sich auf einem Radius von 1/2 bis 3/4 des Gesamtabstandes von der Mitte zum äußeren Rand der Gasverteilungselektrode befindet, und eine äußere Reihe, die sich auf einem Radius von 3/4 bis 7/8 des Gesamtabstandes von der Mitte zum äußeren Rand der Gasverteilungselektrode befindet.
  2. Innere Elektrode nach Anspruch 1, wobei die Gasverteilungselektrode einen Außendurchmesser von 431,8 mm (17 Inch) aufweist, die Gaseinspritzlöcher einen Durchmesser kleiner als oder gleich 1,016 mm (0,04 Inch) aufweisen und in einem Muster mit einem Mittelgaseinspritzloch in der Mitte der Gasverteilungselektrode und acht konzentrischen Reihen von Gaseinspritzlöchern angeordnet sind, wobei die erste Reihe sieben Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 15,24–17,78 mm (0,6–0,7 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die zweite Reihe siebzehn Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 33,02–35,56 mm (1,3–1,4 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die dritte Reihe achtundzwanzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 53,34–55,88 mm (2,1–2,2 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die vierte Reihe vierzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 71,12–76,2 mm (2,8–3,0 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die fünfte Reihe achtundvierzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 91,44–93,98 mm (3,6–3,7 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die sechste Reihe sechsundfünfzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 111,76–114,3 mm (4,4–4,5 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die siebte Reihe vierundsechzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 127–129,54 mm (5,0–5,1 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die achte Reihe zweiundsiebzig Gaseinspritzlöcher aufweist, die sich in einem radialen Abstand von etwa 144,78–147,32 mm (5,7–5,8 Inch) von der Mitte der Gasverteilungslektrode befinden; wobei die Gaseinspritzlöcher in jeder Reihe azimutal abstandsgleich angeordnet sind.
  3. Innere Elektrode nach Anspruch 1, bei der die konzentrischen Reihen von Gewindesacklöchern in jeder der Reihen acht Gewindesacklöcher mit einer Tiefe von etwa 5,08 mm (0,2 Inch) und gewindet bis zu einer Gewindegröße von 7/16''-28UTS (Unified Thread Standard) enthalten, wobei sich die innere Reihe in einem radialen Abstand von etwa 63,5 mm (2,5 Inch) von der Mitte der Gasverteilungselektrode befindet, sich die mittlere Reihe in einem radialen Abstand von etwa 137,16 mm (5,4 Inch) von der Mitte der Gasverteilungselektrode befindet und sich die äußere Reihe in einem radialen Abstand von etwa 195,58 mm (7,7 Inch) von der Mitte der Gasverteilungselektrode befindet.
  4. Gasverteilungselektrode nach Anspruch 3, bei der eine durch die Mitte der Gasverteilungselektrode verlaufende Linie durch zwei der Gewindesacklöcher der inneren Reihe und zwei der Gewindesacklöcher der mittleren Reihe versäuft.
  5. Gasverteilungselektrode nach Anspruch 3, bei der die Gewindesacklöcher der äußeren Reihe gegen die Gewindesacklöcher der mittleren Reihe um 25,5° oder 19,5° versetzt sind.
  6. Gasverteilungselektrode nach Anspruch 1, bei der die Mehrzahl von gewindefreien Sacklöchern, die ausgebildet sind, um die Ausrichtstifte aufzunehmen, einen ersten Satz von Löchern und einen zweiten Satz von Löchern umfasst; wobei der erste Satz von Löchern zwei Löcher umfasst: (a) in einem radialen Abstand von etwa 43,18–45,72 mm (1,7–1,8 Inch) von der Mitte der Gasverteilungselektrode gelegen; (b) radial ausgerichtet und azimutal um etwa 175° gegeneinander versetzt, wobei eines der zwei Löcher mit einem der Gewindesacklöcher der inneren Reihe azimutal ausgerichtet ist; (c) mit einem Durchmesser von etwa 2,54–3,048 mm (0,10–0,12 Inch); (d) mit einer Tiefe von mindestens etwa 2,54 mm (0,1 Inch); wobei der zweite Satz von Löchern ein erstes Loch, ein zweites Loch und ein drittes Loch umfasst: (a) in einem radialen Abstand von etwa 200,66–203,2 mm (7,9–8,0 Inch) von der Mitte der Gasverteilungselektrode gelegen; (b) wobei das erste Loch gegen den Uhrzeigersinn um etwa 15° gegen eines der Gewindesacklöcher in der mittleren Reihe azimutal versetzt ist; (c) wobei das zweite und dritte Loch mit dem ersten Loch radial ausgerichtet und um etwa 115° und etwa 120° gegen das erste Loch azimutal versetzt sind, (d) mit einem Durchmesser von etwa 2,794–3,048 mm (0,11–0,12 Inch); (e) mit einer Tiefe von mindestens 2,54 mm (0,1 Inch).
  7. Gasverteilungselektrode nach Anspruch 1, wobei: die Gasverteilungselektrode einen Außendurchmesser von etwa 426,72 mm (16,8 Inch) aufweist und eine innere Zone mit einer gleichförmigen Dicke von etwa 10,16 mm (0,4 Inch) und einem Durchmesser von etwa 304,8 mm (12 Inch) sowie äußere ringförmige Zone mit einer gleichförmigen Dicke von etwa 14,732 bis 16,51 mm (0,58 bis 0,65 Inch) umfasst, wobei die äußere ringförmige Zone einen Innendurchmesser von etwa 317,5 bis 342,9 mm (12,5 bis 13,5 Inch) und einen Außendurchmesser von etwa 398,78 bis 411,48 mm (15,7 bis 16,2 Inch) aufweist, wobei sich eine innere geneigte Oberfläche unter einem Winkel von etwa 25° zwischen der inneren Zone und der äußeren ringförmigen Zone erstreckt, sich eine äußere geneigte Oberfläche unter einem Winkel von etwa 25° zwischen der äußeren ringförmigen Zone und der äußeren Stufe erstreckt, wobei die ringförmige Stufe durch eine vertikale Oberfläche, die sich etwa 3,81 mm (0,15 Inch) erstreckt, und eine horizontale Oberfläche, die sich etwa 3,048 mm (0,12 Inch) erstreckt, begrenzt wird, wobei die ringförmige Nut einen Außendurchmesser von etwa 11,176 mm (0,44 Inch), einen Innendurchmesser von etwa 6,096 mm (0,24 Inch) und eine Tiefe von mindestens 2,54 mm (0,1 Inch) aufweist; die Gasverteilungselektrode aus einer Platte von einkristallinem oder polykristallinem Silicium mit einem spezifischen elektrischen Widerstand zwischen etwa 0,005 und 0,020 Ohm-cm und einer Gesamtschwermetallverunreinigung von weniger als 10 Teilchen pro Million hergestellt ist.
  8. Gasverteilungselektrodenanordnung, umfassend die Gasverteilungselektrode nach Anspruch 1, die Trägerplatte und Nockenarretierungen, umfassend Zapfen mit vergrößerten Köpfen, die sich von Gewindesockeln, die in Gewindesacklöchern in der Gasverteilungselektrode montiert sind, erstrecken, und drehbare Nockenwellen, die in der Trägerplatte montiert sind, wobei die drehbaren Nockenwellen exzentrische Ausschnitte aufweisen, die mit den vergrößerten Köpfen der Zapfen in Eingriff treten, wobei mindestens einige der drehbaren Nockenwellen eine Mehrzahl von exzentrischen Ausschnitten enthalten, die mit einer Mehrzahl der vergrößerten Köpfe in Eingriff treten, so dass ein Zapfen, der auf der inneren Reihe von Gewindesacklöchern montiert ist, und ein Zapfen, der auf der mittleren Reihe von Gewindesacklöchern montiert ist, simultan in Ausschnitten in einer der drehbaren Nockenwellen in Eingriff genommen werden.
  9. Gasverteilungselektrodenanordnung nach Anspruch 8, weiter umfassend eine ringförmigen Verkleidung, die die Gasverteilungselektrode umgibt, wobei die Trägerplatte vier kreisförmige Reihen von Öffnungen umfasst, die sich durch eine Oberfläche der Trägerplatte, die der Gasverteilungselektrode zugewandt ist, erstrecken, wobei jede der vier kreisförmigen Reihen acht Öffnungen umfasst, wobei die Öffnungen in drei der vier kreisförmigen Reihen mit den Zapfen ausgerichtet sind, die auf der inneren, mittleren und äußeren Reihe von Gewindesacklöchern in der Gasverteilungselektrode montiert sind, und die Öffnungen in der vierten Reihe mit Zapfen ausgerichtet sind, die auf der ringförmigen Verkleidung montiert sind, wobei die Trägerplatte vierundzwanzig Bohrungen enthält, die die Nockenwellen enthalten, wobei acht der Nockenwellen simultan mit den Zapfen in Eingriff treten, die auf der ringförmigen Verkleidung montiert sind, und den Zapfen, die auf der äußeren Reihe von Gewindesacklöchern montiert sind, und wobei acht der Nockenwellen simultan Zapfen in Eingriff nehmen, die auf der inneren und mittleren Reihe von Gewindesacklöchern montiert sind.
  10. Gasverteilungselektrodenanordnung nach Anspruch 8, bei der ein unterer Teil eines Ausrichtrings reibschlüssig in der ringförmigen Nut auf der Montageoberfläche der Gasverteilungselektrode gehalten wird und ein oberer Teil des Ausrichtrings reibschlüssig in einer ringförmigen Nut auf der zugewandten Oberfläche der Trägerplatte gehalten wird, wobei untere Teile der Ausrichtstifte reibschlüssig in der Mehrzahl von gewindefreien Sacklöchern auf der Montageoberfläche der Gasverteilungselektrode gehalten werden und obere Teile der Ausrichtstifte reibschlüssig in Ausrichtstiftlöchern in der zugewandten Oberfläche der Trägerplatte gehalten werden.
DE202011109320U 2011-01-06 2011-12-20 Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung Expired - Lifetime DE202011109320U1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/985,568 US8470127B2 (en) 2011-01-06 2011-01-06 Cam-locked showerhead electrode and assembly
US12/985,568 2011-01-06

Publications (1)

Publication Number Publication Date
DE202011109320U1 true DE202011109320U1 (de) 2012-02-01

Family

ID=45769459

Family Applications (1)

Application Number Title Priority Date Filing Date
DE202011109320U Expired - Lifetime DE202011109320U1 (de) 2011-01-06 2011-12-20 Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung

Country Status (7)

Country Link
US (1) US8470127B2 (de)
JP (1) JP3175346U (de)
KR (1) KR200481236Y1 (de)
CN (1) CN202695373U (de)
DE (1) DE202011109320U1 (de)
SG (1) SG182900A1 (de)
TW (1) TWM443264U (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114175A2 (en) * 2008-03-14 2009-09-17 Lam Research Corporation Cam lock electrode clamp
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US10062548B2 (en) * 2015-08-31 2018-08-28 Varian Semiconductor Equipment Associates, Inc. Gas injection system for ion beam device
JP6692416B2 (ja) * 2016-04-22 2020-05-13 三菱ケミカル・クリンスイ株式会社 シャワーヘッド
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110787984B (zh) * 2019-12-04 2023-09-22 航天精工股份有限公司 具有超声波换能器的紧固件及制造工艺和用途
CN114388322A (zh) * 2020-10-19 2022-04-22 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其气体喷淋环的制作方法
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114175A2 (en) 2008-03-14 2009-09-17 Lam Research Corporation Cam lock electrode clamp

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JPH03162880A (ja) * 1990-11-21 1991-07-12 Rejiyaa Create:Kk カード式図柄合わせ遊技装置における図柄位置検出機構
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JP3162880B2 (ja) * 1993-06-30 2001-05-08 三洋電機株式会社 空調制御システム
JPH0766180A (ja) 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4896337B2 (ja) 2000-05-17 2012-03-14 東京エレクトロン株式会社 処理装置およびそのメンテナンス方法,処理装置部品の組立機構およびその組立方法,ロック機構およびそのロック方法
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100629358B1 (ko) 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114175A2 (en) 2008-03-14 2009-09-17 Lam Research Corporation Cam lock electrode clamp

Also Published As

Publication number Publication date
US20120175062A1 (en) 2012-07-12
TWM443264U (en) 2012-12-11
SG182900A1 (en) 2012-08-30
KR20120005259U (ko) 2012-07-18
US8470127B2 (en) 2013-06-25
JP3175346U (ja) 2012-05-10
CN202695373U (zh) 2013-01-23
KR200481236Y1 (ko) 2016-09-01

Similar Documents

Publication Publication Date Title
DE202011109320U1 (de) Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung
DE202010014257U1 (de) Am Rand festgeklemmte und mechanisch befestigte innere Elektrode einer Gasverteilungselektrodenanordnung
DE202010004773U1 (de) Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode
DE202010012763U1 (de) Festgeklemmte monolithische Gasverteilungselektrode
DE69631523T2 (de) Mehrschichtiger elektrostatischer substrathalter und verfahren zu seiner herstellung
DE19980683C2 (de) Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer
DE112008002015B4 (de) Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung
DE10083204B3 (de) Plasmaprozesskammer und Bearbeitungsverfahren darin
DE60221535T2 (de) Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie
DE60006933T2 (de) Herstellungsvorrichtung für halbleiter mit keramischer fliesenauskleidung
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
TWI533372B (zh) 噴淋頭電極
DE60125608T2 (de) Hängende Gasverteilungvorrichtung für Plasmakammer
DE69814687T2 (de) Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist
DE102009014067B4 (de) Plasmabearbeitungsvorrichtung
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE102018100066A1 (de) Wafer-chuck und bearbeitungsanordnung
DE69531365T2 (de) Unterteilte Substrat Behandlungskammer
DE69830310T2 (de) Multifunktionaler verfahrensraum für cvd-verfahren
DE112012004884T5 (de) Strahlungsabschirmung für einen Substrathalter
DE202010015933U1 (de) Eine Randringanordnung für Plasmaätzkammern
DE112008003029T5 (de) Werkstückträger mit Fluidzonen zur Temperatursteuerung
EP1604384A1 (de) Anlage zur bearbeitung eines substrats
EP1145292A1 (de) Substrathalter
DE102015014903A1 (de) Waferboot und Plasma-Behandlungsvorrichtung für Wafer

Legal Events

Date Code Title Description
R207 Utility model specification

Effective date: 20120322

R150 Utility model maintained after payment of first maintenance fee after three years
R150 Utility model maintained after payment of first maintenance fee after three years

Effective date: 20150120

R151 Utility model maintained after payment of second maintenance fee after six years
R152 Utility model maintained after payment of third maintenance fee after eight years
R071 Expiry of right