DE10083204B3 - Plasmaprozesskammer und Bearbeitungsverfahren darin - Google Patents

Plasmaprozesskammer und Bearbeitungsverfahren darin Download PDF

Info

Publication number
DE10083204B3
DE10083204B3 DE10083204T DE10083204T DE10083204B3 DE 10083204 B3 DE10083204 B3 DE 10083204B3 DE 10083204 T DE10083204 T DE 10083204T DE 10083204 T DE10083204 T DE 10083204T DE 10083204 B3 DE10083204 B3 DE 10083204B3
Authority
DE
Germany
Prior art keywords
silicon
plasma
shower head
electrode
head electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10083204T
Other languages
English (en)
Other versions
DE10083204T1 (de
Inventor
Jerome Hubacek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE10083204T1 publication Critical patent/DE10083204T1/de
Application granted granted Critical
Publication of DE10083204B3 publication Critical patent/DE10083204B3/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Plasmaprozesskammer vom Parallelplattentyp, wobei ein einzelner Halbleiterwafer auf einer unteren Elektrode gehalten ist und eine obere Elektrode eine Duschkopfelektrode (10; 42) aus Silizium umfasst, wobei eine siliziumhaltige Ablenkplatte (22a) als Teil eines Gasverteilungssystems vorgesehen ist, wobei das Gasverteilungssystem die Duschkopfelektrode (10; 42) und eine Ablenkkammer beinhaltet, wobei die siliziumhaltige Ablenkplatte (22a) eine siliziumhaltige Oberfläche aufweist, wobei die siliziumhaltige Oberfläche gegenüber der Duschkopfelektrode (10; 42) in der Ablenkkammer beabstandet angebracht ist, wobei die Duschkopfelektrode (10; 42) eine Vielzahl voneinander beabstandeter Gasentladungsdurchgänge aufweist, wobei die Gasentladungsdurchgänge geeignet sind, ein Prozessgas zuzuführen, welches durch die Duschkopfelektrode (10; 42) energetisch angeregt wird und ein Plasma unterhalb der Duschkopfelektrode (10; 42) in der Reaktionskammer (24) ausbildet, wobei sich die siliziumhaltige Ablenkplatte (22a) hinter der Duschkopfelektrode (10; 42) befindet und eine Sichtlinie zwischen der siliziumhaltigen Ablenkplatte (22a) und dem Plasma durch die Gasentladungsdurchgänge existiert, so dass im Plasma...

Description

  • Die vorliegende Erfindung betrifft Plasmaprozesskammern mit Reaktionskammern, die zur Herstellung von Halbleitersubstraten wie etwa Wafern mit integrierten Schaltkreisen benutzt werden, und insbesondere Weiterentwicklungen der in den Reaktionskammern eingesetzten Gasverteilungssysteme. Die Erfindung betrifft ferner ein Verfahren zur Reduzierung von Partikel- und/oder Metallkontaminationen während der Bearbeitung eines Substrats in einer solchen Plasmaprozesskammer.
  • Die Halbleiterprozessierung umfaßt Prozesse wie etwa Chemical Vapor Deposition (CVD) zur Ablagerung von Metall, dielektrischem und halbleitendem Material, Ätzen solcher Schichten, Veraschung von Maskenschichten aus Photoresist und so weiter. Im Fall des Ätzens wird zum Ätzen von Metall, dielektrischem und halbleitendem Material üblicherweise Plasmaätzen eingesetzt. Ein Parallelplatten-Plasmareaktor umfaßt typisch eine Gaskammer mit ein oder mehreren Ablenkplatten, einer Duschkopf-Elektrode durch die Ätzgas durchtritt, einen den Siliziumwafer auf einer unteren Elektrode tragenden Sockel, eine RF-Energiequelle und eine Gasinjektionsquelle zur Einleitung von Gas in die Gaskammer.
  • Duschkopfelektroden zur Plasmabearbeitung von Halbleitersubstraten sind in den US-Patenten Nr. 5,074,456 ; 5,472,565 ; 5,534,751 und 5,569,356 offenbart.
  • Unter den genannten Patenten zeigt US 5 472 565 A eine Duschkopfelektrode aus Silizium, die eine Vielzahl beabstandeter Gasentladungsdurchgänge aufweist.
  • Unter den genannten Patenten zeigt US 5 074 456 A eine Duschkopfelektrodenvorrichtung, umfassend ein Paar Ablenkplatten, eine einen Duschkopf bildende Elektrodenscheibe mit Öffnungen, ein als Rückenplatte ausgebildetes Glied mit einem zentralen Reaktionsgaszulauf und mit Kühlkanälen. Die Elektrodenscheibe kann dabei beispielsweise aus einem siliziumhaltigen Material bestehen. An der Rückenplatte ist ein Unterstützungsring mit einem nach außen weisenden Flansch befestigt, wobei ein erster Isolierring den Flansch des Unterstützungsrings umgreift und ein zweiter Isolierring den ersten Isolierring nach außen hin abschirmt. Der Unterstützungsring und die Rückenplatte umfassen einen Ablenkraum, in den die beiden Ablenkplatten eingelassen sind. Dabei sind die Ablenkplatten flächig mit ihren Hauptflächen mit der Rückenplatte und mit ihren Seitenrändern mit dem Unterstützungsring in direktem Kontakt. Der Ablenkraum wird durch die Elektrodenscheibe, die von außen auf eine aus Unterstützungsring und Ablenkplatte gebildete Fläche montiert ist, von einer Reaktionskammer abgegrenzt.
  • Unter den genannten Patenten offenbart das Patent US 4,534,816 eine Einzelwafer-Plasmaätzkammer, wobei der Aufbau der oberen Elektrode eine Elektrode aus rostfreiem Stahl, Aluminium oder Kupfer und eine Ablenkplatte aus leitfähigem Material oder gesintertem Graphit umfaßt.
  • Unter den genannten Patenten zeigt US 5 593 540 A eine Plasmaprozesskammer, bei der ein Halbleiterwafer auf einer unteren Elektrode gehalten ist und eine obere Elektrode eine Duschkopfelektrode umfasst, die aus einer Elektrodenplatte aus amorphem Kohlenstoff und einer Kühlplatte aus Aluminum besteht und die eine Vielzahl voneinander beabstandeter Gasentladungsdurchgänge aufweist. In einem Gasverteilungssystem sind gegenüber der Duschkopfelektrode zwei mit Öffnungen versehene Ablenkplatten ebenfalls aus Aluminium angeordnet, durch die ein Pozessgas hindurchtritt, bevor es durch die Gasentladungsdurchgänge in eine Reaktionskammer tritt und das Prozessgas durch die Duschkopfelektrode zu einem Plasma energetisch angeregt wird.
  • Unter den genannten Patenten zeigt US 5 006 220 A eine Plasmaprozesskammer mit einer oberen Elektrode und einer unteren Elektrode, wobei die obere Elektrode mit Gasentladungsdurchgängen versehen sein kann und aus Kohlenstof mit einer Beschichtung aus Siliziumkarbid besteht.
  • Bei der Herstellung von integrierten Schaltkreisen ist das Ätzen von Öffnungen wie Kontakten und Vias in dielektrischem Material eine übliche Aufgabe. Das dielektrische Material umfaßt dotiertes Siliziumoxid wie etwa fluoriertes Siliziumoxid (FSG), undotiertes Siliziumoxid wie etwa Siliziumdioxid, Silikatgläser wie etwa Bor-Phosphor-Silikatglas (BPSG) und Phosphor-Silikatglas (PSG), dotiertes und undotiertes thermisch aufgewachsenes Siliziumoxid, dotiertes und undotiertes TEOS-abgeschiedenes Siliziumoxid etc.. Die dielektrischen Dotierstoffe umfassen Bor, Phosphor und/oder Arsen. Das Dielektrikum kann eine leitende oder halbleitende Schicht wie etwa polykristallines Silizium, Metalle wie etwa Aluminium, Kupfer, Titan, Wolfram, Molybdän oder deren Legierungen, Nitride wie etwa Titannitrid, Metallsilicide wie etwa Titansilicid, Kobaltsilicid, Wolframsilicid, Molybdänsilicid etc., überdecken. Eine Plasmaätztechnik, bei der ein Parallelplatten-Plasmareaktor zur Ätzung von Öffnungen in Siliziumoxid eingesetzt wird, ist durch das US-Patent Nr. 5,013,398 offenbart.
  • Das US-Patent Nr. 5,736,457 beschreibt einfache und doppelte „Damaszener” („damascene”)-Metallisierungsprozesse. Bei der „Einfach-Damaszener”-Lösung werden Vias und Leiterbahnen in separaten Schritten gebildet, wobei ein Metallisierungsmuster entweder für Leiter oder für Vias in die dielektrische Schicht geätzt wird, eine Metallschicht in die geätzten Gräben oder Via-Löcher gefüllt wird und überschüssiges Metall durch „Chemical Mechanical Planarization” (CMP) oder einen Rückätz-Prozeß entfernt wird. Bei der „Doppel-Damaszener”-Lösung werden die Metallisierungsmuster für die Vias und die Leiterbahnen in eine dielektrische Schicht geätzt und die geätzten Gräben und Via-Löcher in einem einzigen Schritt der Metallfüllung und Entfernung überschüssigen Metalls mit Metall gefüllt.
  • JP 02 150 024 A zeigt eine aus einem Basismaterial bestehende Plasmaverteilerplatte, die aus einem gesinterten Körper hergestellt ist. Die zu einer Prozesskammer zeigende Seite der Plasmaverteilerplatte weist eine Schutzummantelung auf. Die Schutzummantelung besteht im Wesentlichen aus dem gleichen Material wie die Plasmaverteilerplatte und ist chemisch behandelt, so dass sie eine starke Adhäsion zu der Plasmaverteilerplatte aufweist und gegenüber dem Prozessgas resistent ist. An der der Prozesskammer abgewandten Seite der Plasmaverteilerplatte befindet sich eine flächige Elektrode, die mit einer Stromquelle außerhalb der Apparatur verbunden ist. Nachteilig ist, dass die Verteilerplatte nicht zum Einbau in einer Ablenkkammer eines Duschkopf-Gasverteilungssystems geeignet ist.
  • US 5 919 332 zeigt eine Plasmaprozessanlage, im Wesentlichen bestehend aus mehreren Ablenkplatten mit Öffnungen, einem Zulaufrohr für Prozessgas, einer Elektrodenscheibe und einem Versiegelungsring. Die Ablenkplatten sind dabei in einem isolierten Gehäuse befestigt. Durch das Zurohr wird das Prozessgas direkt in die Ablenkplatten geleitet. Zwischen den Ablenkplatten befinden sich Spalte, die eine Verteilung des Gases innerhalb der Ablenkplattensektion erlauben. Das Gas strömt weiter zu der Elektrodenscheibe, in der ebenfalls Öffnungen angordnet sind, so dass die Elektrodenscheibe einen Duschkopf definiert. Das Gas strömt weiter durch die Öffnungen hindurch in eine Prozesskammer, in der eine Wafer auf einem Zentrierring von diesem gehalten angeordnet ist. Um die Elektrodenscheibe ist eine Kühlplatte aus Aluminium vorgesehen.
  • EP 0 779 645 A2 zeigt eine zylindrische Prozesskammer, die von Seitenwänden, einer scheibenförmigen Halbleiterdecke und einem Boden begrenzt wird. Im Inneren der Prozesskammer ist auf dem Boden eine Waferhalterung angeordnet, die einen Wafer hält. Durch in der Halbleiterdecke angeordnete Gaseinlässe kann Prozessgas in die Prozesskammer strömen. In der Halbleiterdecke sind ferner Einlässe verteilt angeordnet, die das Prozessgas in der Prozesskammer verteilen. In der Halbleiterdecke ist ein Siliziumwafer angeordnet, der Gasdurchlässe aufweist. Eine zentrale Gaszuleitung speist zunächst den Raum in der Halbleiterdecke mit Prozessgas, wobei das Prozessgas durch die Gasdurchlässe strömt, um dann durch die Gaseinlässe in die Prozesskammer zu strömen. Oberhalb der Halbleiterdecke ist in einem isolierenden Antennenhalter eine induktive Antenne angeordnet. Durch Induktion durch die Antenne wird das Prozessgas in den Plasmazustand versetzt.
  • EP 0 843 348 A2 zeigt eine Abdeckanordnung mit einer Basisplatte, in der ein bevorzugt aus Aluminium oder einer Aluminiumkeramik bestehender Duschkopf und eine Ablenkplatte angeordnet sind, wobei durch gleichmäßig im Zentrum des Duschkopfes verteilte Löcher ein Prozessgas auf einen unter dem Duschkopf angeordneten Wafer geleitet wird.
  • Es ist die Aufgabe der Erfindung zur Steigerung der Wafer-Produktionseffizienz eine metall- und/oder partikelbedingte Kontamination zu verringern und die Zeit zwischen Naßreinigungsschritten zu vergrößern.
  • Diese Aufgabe wird erfindungsgemäß durch eine Plasmaprozesskammer mit den Merkmalen des Anspruchs 1 sowie einem Verfahren mit den Merkmalen des Anspruchs 11 gelöst.
  • Eine siliziumhaltige Ablenkplatte verringert die Partikel- und/oder Metallkontamination während der Bearbeitung eines Halbleiter-Substrats. Die Ablenkplatte ist so zum Einsatz innerhalb einer Ablenkkammer eines Duschkopf-Gasverteilungsystems angepasst, daß eine siliziumhaltige Oberfläche der Ablenkplatte an dem Duschkopf angrenzt und ihn verkleidet. Die Ablenkplatte kann im wesentlichen aus Silizium oder einer Siliziumverbindung wie etwa Siliziumkarbid bestehen. Ein bevorzugtes Ablenkplattenmaterial ist Siliziumkarbid mit einer Reinheit von mindestens 99,999% und/oder einer Durchlässigkeit von 10–30%. Die Siliziumkarbid-Ablenkplatte kann vollständig aus nicht-gesintertem Siliziumkarbid, gesintertem Siliziumkarbid, dickem CVD-Siliziumkarbid, gesintertem Siliziumkarbid mit einer CVD-Beschichtung aus Siliziumkarbid, Graphit mit Siliziumkarbid-Beschichtung, durch Reaktion synthetisiertes Siliziumkarbid oder einer Kombination daraus bestehen.
  • Entsprechend einer bevorzugten Ausführung kann die siliziumhaltige Prall- oder Ablenkplatte als einlegbarer Ersatz für eine Aluminium-Ablenkplatte benutzt werden. In einer Verwirbelungs- oder Ablenkkammer eingebaut, kann die siliziumhaltige Ablenkplatte Durchgänge zur Durchleitung von Prozeßgas aufweisen, wobei die Öffnungen gegenüber Öffnungen im Duschkopf versetzt sind. Zur Ausbildung eines abgeschlossenen Raums zwischen der siliziumhaltigen Ablenkplatte und der Aluminium-Ablenkplatte kann die siliziumhaltige Ablenkplatte einen Rand entlang ihres Aussenumfangs aufweisen.
  • Die siliziumhaltige Ablenkplatte ist Teil eines Gasverteilungssystems einer Plasma-Prozeßkammer, wobei das Gasverteilungssystem eine Duschkopfelektrode umfaßt und die siliziumhaltige Ablenkplatte so in einer Ablenkkammer angebracht ist, daß die siliziumhaltige Ablenkplatte der Duschkopfelektrode gegenüberliegt und eine entgegengesetzte Seite der siliziumhaltigen Ablenkplatte einer Aluminium-Ablenkplatte gegenüberliegt. In einer solchen Anordnung ist die siliziumhaltige Ablenkplatte geeignet, Metallkontaminationen während der Plasmabearbeitung eines in der Kammer befindlichen Halbleitersubstrats um mindestens eine Größenordnung zu verringern, verglichen mit Metallkontaminationen unter gleichen Prozeßbedingungen bei Benutzung einer Aluminium-Ablenkplatte anstelle der siliziumhaltigen Ablenkplatte.
  • Das erfindungsgemäße Verfahren zur Reduzierung von Partikel- und/oder Metallkontaminationen bei der Bearbeitung eines Substrats in einer erfindungsgemäßen Reaktionskammer, wobei ein Gasverteilungssystem einen Duschkopf, eine Ablenkkammer, durch die ein Prozeßgas zu dem Duschkopf strömt, und eine in der Ablenkkammer angeordnete, siliziumhaltige Ablenkplatte umfaßt, umfassend die Einbringung eines Halbleitersubstrats in die Reaktionskammer, die Einleitung von Prozeßgas in die Ablenkkammer, die Durchströmung des Prozeßgas durch die siliziumhaltige Ablenkplatte in einen Raum zwischen der siliziumhaltigen Ablenkplatte und dem Duschkopf mit nachfolgender Durchströmung des Duschkopfs ins Innere der Reaktionskammer, und die Bearbeitung des Halbleitersubstrats mit dem den Duschkopf durchströmenden Prozeßgas.
  • Erfindungsgemäß ist der Duschkopf als Duschkopfelektrode ausgebildet, welche das sie durchströmende Prozeßgas in einen Plasmazustand anregt. Dieses Verfahren kann das Ätzen einer Schicht auf dem Halbleitersubstrat durch Anlegen einer RF-Spannung an die Duschkopfelektrode umfassen, so daß das Prozeßgas ein mit der exponierten Oberfläche des Halbleitersubstrats in Kontakt stehendes Plasma bildet. Beispielsweise kann das Halbleitersubstrat einen Silizium- oder Galliumarsenid-Wafer umfassen und das Verfahren kann ein trockenes Ätzen einer dielektrischen, halbleitenden oder leitenden Schicht auf dem Wafer umfassen. Alternativ dazu kann das Verfahren die Ablagerung einer Materialschicht auf dem Wafer beinhalten. Falls der Duschkopf eine mit einem temperaturgeregelten Glied verbundene Duschkopfelektrode umfaßt, kann das Verfahren eine Wärmeableitung von der Duschkopfelektrode durch Kühlmitteldurchfluß durch das temperaturgeregelte Glied beinhalten. Im Falle des Ätzens können Öffnungen durch exponierte Bereiche einer dielektrischen Schicht des Substrats hindurch bis zu einer elektrisch leitenden oder halbleitenden Schicht des Substrats geätzt werden. Beispielsweise kann der Ätzschritt als Teil eines Prozesses zur Herstellung einer Damaszener-Struktur ausgeführt sein. Ferner kann das Verfahren einen Austausch einer Aluminium-Ablenkplatte eines Gasverteilungssystems mit der siliziumhaltigen Ablenkplatte beinhalten.
  • Die Ziele und Vorteile der Erfindung können durch Lesender folgenden detaillierten Beschreibung in Verbindung mit den Zeichnungen verstanden werden, wobei:
  • 1 eine seitliche Schnittansicht eines Duschkopfelektroden-Aufbaus für eine erfindungsgemäße Einzelwafer-Bearbeitung zeigt;
  • 2 eine seitliche Schnittansicht eines Elastomer-gebundenen Duschkopfelektroden-Aufbaus entsprechend einer Ausführungsform der Erfindung zeigt;
  • 3 eine seitliche Schnittansicht eines Teils des Aufbaus gemäß 2 zeigt.
  • Zum besseren Verständnis der Erfindung bezieht sich die nachfolgende detaillierte Beschreibung auf die anliegenden Zeichnungen, in denen bevorzugte Ausführungsbeispiele der vorliegenden Erfindung gezeigt und beschrieben sind. Zudem sind die Bezugszeichen zur Identifizierung entsprechender Elemente in den Zeichnungen durchgängig die gleichen.
  • Gemäß der vorliegenden Erfindung können Kontaminationen von Halbleitersubstraten während einer Bearbeitung in einer einen Duschkopf zur Verteilung von Prozeßgas umfassenden Plasmareaktionskammer wesentlich verringert werden. Ein Beispiel einer solchen Plasmareaktionskammer ist ein Reaktor vom Parallelplattentyp, wobei ein einzelner Halbleiterwafer auf einer unteren Elektrode gehalten ist und eine obere Elektrode eine Duschkopfelektrode umfaßt. Während der Bearbeitung in solchen Reaktoren greift Plasma die Duschkopfelektrode und andere innere Teile der Reaktorkammer an. In einem Parallelplattenreaktor, bei dem das Plasma auf eine schmale, durch einen einen Randring aus Silizium aufweisenden Wafer auf einem elektrostatischen Halter („ESC”), eine Duschkopfelektrode und eine gestapelte Anordnung von Quarz-Begrenzungsringen definierte Zone begrenzt ist, wurde überraschend festgestellt, daß die an die Siliziumduschkopfelektrode angrenzende Aluminium-Ablenkplatte in einem zur Begründung einer Metall- und Partikelkontamination des Wafers ausreichenden Maße von dem Plasma angegriffen wird.
  • In einem typischen, eine Ablenkplattenanordnung aufweisenden Duschkopf tritt das Prozeßgas in einen abgeschlossenen Raum ein und durchströmt vor dem Austritt durch den Duschkopf eine oder mehrere Ablenkplatten. Bei einer eine solche Anordnung aufweisenden Plasma-Prozeßkammer wurde festgestellt, daß das Plasma die an den Duschkopf angrenzende Ablenkplatte in der Umgebung der Löcher des Duschkopfs angreift. Mit der Zeit bildet sich ein Erosionsmuster (identisch mit dem Lochmuster im Duschkopf) auf der Unterseite der Ablenkplatte mit der Folge, daß Aluminium in das Innere der Plasmakammer eintritt und ein schlecht entfernbares Polymer bildet. Für den Fall einer Oxid-Ätzkammer, bei der das Prozeßgas Fluor ist, verbindet sich beispielsweise das Aluminium mit dem Fluor zu einem AIF enthaltenden Polymer. Bei zunehmendem Aluminium in dem Polymer wird das Polymer dichter, was zu Partikeln und Flocken in der Ätzkammer führt. Solche Partikel werden als „Adder” bezeichnet, wenn sie auf prozessierten Wafern gefunden werden. Da solche Adder defekte integrierte Schaltungen verursachen können, ist es wünschenswert, die Anzahl der Adder auf einem prozessierten Wafer zu minimieren. Zur Erreichung einer hohen Produktionseffizienz ist es zudem weiterhin wünschenswert, die Zeitspanne zu maximieren, nach der ein Plasmareaktor einem „nassen” Reinigungsprozess zur Wiederherstellung der Prozeßreproduzierbarkeit unterzogen werden muß. Das heißt, obwohl es üblich ist, einen Plasma-Reinigungsschritt bei jedem Wafer-Prozeßkreis durchzuführen, daß nach Prozessierung einer bestimmten Anzahl von Wafern der Aufbau von Nebenprodukten im Reaktor zu einer Prozeßdrift aus dem Prozeßfenster heraus führt. Während einer solchen Nassreinigung ist es notwendig, die Produktion auszusetzen und das Innere der Kammer chemisch zu reinigen.
  • Bezüglich der Erfindung hat sich überraschend herausgestellt, daß (1) die Anzahl der eine Fehlfunktion verursachenden oder die Ausbeute der prozessierten Wafer ungünstig beeinflussenden Partikel signifikant reduziert werden kann, daß (2) eine Kontamination durch Aluminium um mindestens eine Größenordnung, vorzugsweise um mindestens zwei Größenordnungen verringert werden kann, und/oder daß (3) die Zeit zwischen zur wiederholbaren Waferprozessierung notwendigen Naßreinigungen um mindestens 100%, vorzugsweise um 200% oder mehr, beispielsweise von 4000 RF-Minuten (im Fall der Aluminium-Ablenkplatten) auf 12.000 oder mehr RF-Minuten („RF-Minuten” bezieht sich auf die totale Zeitspanne, in der Wafer im Plasma bis zum nächsten Naßreinigungsschritt prozessiert werden), verlängert werden kann. Solche höchst vorteilhaften Ergebnisse können durch den Einsatz einer an den Duschkopf angrenzenden, siliziumhaltigen Ablenkplatte erzielt werden. Die Duschkopfanordnung kann in jeder Art von Halbleiter-Bearbeitungsgerät eingesetzt werden, bei dem es verlangt wird, Prozeßgas über einem Halbleitersubstrat zu verteilen. Solche Geräte umfassen CVD-Systeme, Verascher, kapazitiv gekoppelte Plasmareaktoren, induktiv gekoppelte Plasmareaktoren, ECR-Reaktoren und ähnliches.
  • Entsprechend einer bevorzugten Ausführungsform der Erfindung ist, wie in 1 gezeigt, die siliziumhaltige Ablenkplatte in einer Duschkopfelektrode 10 eines Einzelwafer-Ätzgeräts integriert. Eine solche Duschkopfelektrode 10 wird üblicherweise zusammen mit einem elektrostatischen Halter eingesetzt, wobei der Halter eine flache Bodenelektrode aufweist, auf der der Wafer in einem Abstand von 1 bis 2 cm unterhalb der Elektrode 10 angeordnet ist. Solche Halteranordnungen ermöglichen eine Temperaturkontrolle des Wafers durch Beaufschlagung der Rückseite des Wafers mit Heliumdruck, durch welchen die Rate des Wärmetransports zwischen dem Wafer und dem Halter gesteuert wird.
  • Die in 1 gezeigte Duschkopfelektroden-Anordnung ist ein Verschleißteil, welches periodisch ersetzt werden muß. Da die Elektrodenanordnung mit einem temperaturgeregelten Glied verbunden ist, kann die Oberfläche der Außenkante der Siliziumelektrode 10 zum einfachen Wechsel durch Indium, welches einen Schmelzpunkt von 156°C aufweist, mit einem Graphit-Tragring 12 verbunden sein. Die Elektrode kann jedoch auch durch andere Methoden wie etwa einer Elastomerverbindung festgelegt sein, wie weiter unten in Verbindung mit 2 und 3 erläutert wird.
  • Die in 1 gezeigte Elektrode 10 ist eine plane Scheibe mit gleichmäßiger Dicke vom Mittelpunkt bis zum Rand, und ein äußerer Flansch auf Ring 12 ist durch einen Aluminium-Klemmring 16 an ein temperaturgeregeltes, Wasser-Kühlkanäle 13 aufweisendes Glied 14 aus Aluminium geklammert. Wasser wird mittels Wassereinlaß/auslaß-Anschlüsse 13a durch die Kühlkanäle 13 geleitet. Ein Plasma-Begrenzungsring 17 besteht aus einem Stapel von zueinander beabstandeten Quarzringen, die den Außenumfang der Elektrode 10 umgeben. Der Plasma-Begrenzungsring 17 ist mit einem kreisförmigen, dielektrischen Ring (z. B. aus Quarz) 18 verschraubt, welcher wiederum mit einem dielektrischen Gehäuse 18a verschraubt ist. Zweck und Funktion des Begrenzungsrings 17 ist die Erzeugung einer Druckdifferenz zwischen den Wänden der Reaktionskammer und dem Plasma, wodurch das Plasma zwischen den oberen und unteren Elektroden eingegrenzt wird. Ein sich radial einwärts erstreckender Flansch des Klemmrings 16 greift an dem äußeren Flansch des Graphit-Tragrings 12 an. Somit wird kein Klemmdruck direkt auf die exponierte Oberfläche der Elektrode 10 ausgeübt.
  • Prozeßgas aus einer Gasversorgung wird durch ein zentrales Loch 20 in dem temperaturgeregelten Glied 14 zu der Elektrode 10 geführt. Das Gas wird dann durch eine oder mehrere vertikal beabstandete Ablenkplatten 22 verteilt und durchströmt (nicht dargestellte) Verteilungslöcher in der Elektrode 10 zur gleichmäßigen Verbreitung des Prozeßgases in der Reaktionskammer 24. Um eine bessere Wärmeleitung zwischen der Elektrode 10 und dem temperaturgeregelten Glied 14 zu ermöglichen, kann Prozeßgas zur Füllung offener Zwischenräume zwischen gegenüberliegenden Oberflächen des temperaturgeregelten Gliedes 14 und dem Tragring 12 zugeführt werden. Zudem erlaubt die Verbindung der Gasführung 27 mit einer (nicht dargestellten) Gasführung in dem kreisförmigen Ring 18 oder dem Begrenzungsring 17 eine Überwachung des Gasdrucks in der Reaktionskammer 24. Um den Druck des Prozeßgases zwischen dem temperaturgeregelten Glied 14 und dem Tragring 12 zu erhalten, ist eine erste O-Ring-Dichtung 28 zwischen einer inneren Oberfläche des Tragrings 12 und einer gegenüberliegenden Oberfläche des temperaturgeregelten Gliedes 14 sowie eine zweite O-Ring-Dichtung 29 zwischen einem äußeren Bereich einer oberen Oberfläche des Tragrings 12 und einer gegenüberliegenden Oberfläche des Gliedes 14 vorgesehen.
  • Um das Vakuum in der Kammer 24 zu erhalten, sind weitere O-Ringe 30, 32 zwischen dem temperaturgeregelten Glied 14 und einem zylindrischen Glied 18b sowie zwischen dem zylindrischen Glied 18b und dem Gehäuse 18a vorgesehen.
  • Hierbei besteht die untere Ablenkplatte 22a aus Silizium oder einer Siliziumverbindung wie etwa Siliziumkarbid und/oder ist mit Silizium oder einer Siliziumverbindung wie etwa Siliziumkarbid beschichtet. Das Silizium kann einkristallines oder polykristallines Silizium von hoher Reinheit wie etwa 99,999% oder mehr sein. Das Siliziumkarbid kann hochreines, kommerziell hergestelltes Siliziumkarbid sein, wie es etwa als CVD-Siliziumkarbid-Material von Herstellern wie Morton International Inc. aus Woburn, Massachusetts, Sanzo Metal Inc. aus Tamani, Japan, NGK Insulator Ltd. aus Nagoya, Japan erhältlich ist, oder gesintertes Siliziumkarbid-Material, wie es von Cercom Inc. aus Vista, Kalifornien, Carborundum Inc. aus Costa Mesa, Kalifornien und Ceradyne Inc. aus Costa Mesa, Kalifornien erhältlich ist. Durch Graphitkonversion unter Benutzung von Siliziumdampf hergestelltes Siliziumkarbid ist von Poco Graphite Inc. aus Decatur, Texas erhältlich.
  • Zusätzlich zu Silizium und Siliziumkarbid umfaßt weiteres geeignetes, aber weniger bevorzugtes aluminiumfreies Material, welches in der unteren Ablenkplatte und/oder anderen Teilen des Gasverteilungssystems eingesetzt oder auch nicht eingesetzt werden kann, nichtoxidische Keramik wie etwa Siliziumnitrid, Borkarbid, Bornitrid etc., oxidisches Material wie etwa Quarz, Siliziumoxid etc., Thermoplasten wie etwa „VESPEL”, „PEEK”, „TEFLON” etc. und hochreines Graphit. Jedoch können Ablenkplatten aus elektrisch nicht leitfähigem Material in einer zum Ätzen benutzten Duschkopfelektrode zu subtilen Unterschieden in der RF-Charakteristik des Ätzgerätes führen, wogegen Ablenkplatten aus Graphit aggressiv durch bei Ätzung von Dielektrika eingesetztes Sauerstoffplasma angegriffen werden, was zu Problemen mit Partikeln und hoher Abnutzung des Graphits führt. Im Gegensatz dazu führt das Sputtern von Silizium- und Siliziumkarbid-Ablenkplatten durch das Plasma zur Erzeugung von Silizium oder Silizium und Kohlenstoff, welche beide reichlich auf dem zu bearbeitenden Wafer und im Ätzgas vorhanden sind. Ferner zeigen Silizium und Siliziumkarbid gute Abnutzungseigenschaften in einer solchen Plasmaumgebung.
  • Gemäß einer bevorzugten Ausführungsform der Erfindung kann die untere Ablenkplatte aus hochreinem Siliziumkarbid, zum Beispiel von mindestens 99,999% Reinheit, bestehen. Ein aus Kostenhinsicht besonders bevorzugtes Silizumkarbid ist eine nichtgesinterte Form von Siliziumkarbid, die durch Graphitkonversion hergestellt wird, wobei ein geformtes Stück Graphit mit Siliziumdampf bei Temperaturen von etwa 1600°C unter Umformung des Graphits in Siliziumkarbid reagiert. Das Ausgangsgraphit ist vorzugsweise ein feinkörniges Graphit von hoher Reinheit und geringer Durchlässigkeit. Als Ergebnis der Umwandlung in Siliziumkarbid durch den Siliziumdampf kann das massive Siliziumkarbid eine Durchlässigkeit von 10% bis 30%, beispielsweise etwa 20%, aufweisen. Falls gewünscht, kann das Siliziumkarbid mit einer Schicht von CVD-SiC beschichtet werden. Das auf diese Weise behandelte SiC zeigt eine thermische Leitfähigkeit in der Größenordnung von 80 W/m·K bei Raumtemperatur, eine Druckfestigkeit von mindestens etwa 551,584 MPa (80.000 psi), eine Bruchfestigkeit von mindestens etwa 2,1 MPa·m–2 und eine Zugfestigkeit von mindestens etwa 103,4 MPa (15.000 psi).
  • Die Silizium- oder Siliziumkarbid-Ablenkplatte kann als einsetzbares Austauschteil für bestehende Aluminium-Ablenkplatten oder als Teil irgendeines Gasverteilungssystems ausgebildet sein, wenn es gewünscht ist, eine diesem bestimmten Teil zuordenbare Kontamination zu reduzieren. Beispielsweise kann die siliziumhaltige Ablenkplatte als einsetzbares Austauschteil für die Aluminium-Ablenkplatte eines Exelan® oder eines 4520XLE®, welche beide von der Anmelderin der vorliegenden Anmeldung, der LAM Research Corporation, hergestellt werden, eingesetzt werden.
  • Die erfindungsgemäße siliziumhaltige Ablenkplatte sorgt für eine verringerte Partikelkontamination, eine verringerte Metallkontamination und eine erhöhte Produktionseffizienz aufgrund der verlängerten Zeiträume zwischen Naßreinigungen. Solche Verbesserungen werden möglich, weil Silizium und Siliziumkarbid wiederstandsfähiger und weniger kontaminierend sind als eine Aluminium-Ablenkplatte. Das heißt, insofern die untere Ablenkplatte sich unmittelbar hinter der Duschkopfelektrode befindet, existiert eine Sichtlinie zwischen ihr und dem Plasma durch die Löcher in der Duschkopfelektrode. Im Plasma erzeugte Ionen werden durch die Duschkopf-Löcher auf die Ablenkplatte beschleunigt, wodurch sie ein Sputtern der Ablenkplatte bewirken. Als eine Folge wird die Plasmakammer mit Aluminium und anderen in der Standard-Aluminium-Ablenkplatte enthaltenen Spurenmetallen kontaminiert. Sobald die Kammer mit Aluminium bedeckt ist, kombiniert sich das Aluminium mit dem während des Plasma-Ätzvorgangs erzeugten Polymer unter Bildung eines staub- oder flockenartigen Polymers, welches sich auf den Wafer in der Kammer legen kann. Solche Partikeldefekte verringern die Ausbeute des Wafers. Die erfindungsgemäßen Silizium- und Siliziumkarbid (SiC)-Ablenkplatten übertrafen Ablenkplatten aus Aluminium (Al), Graphit, pyrolytischem Graphit, SiC-beschichtetem Graphit, Vespel® und Quarz in einer oder mehrerer der in der folgenden Tabelle aufgeführten Kategorien.
    Eigenschaft elektrisch Kontamination physikalisch Partikel
    SiC gut am besten gut am besten
    Al am besten am schlechtesten am besten schlecht
    Graphit gut gut marginal schlecht
    pyrolytisches Graphit gut gut marginal schlecht
    SiC-beschichtetes Graphit gut gut gut schlecht
    Vespel® schlecht gut schlecht gut
    Silizium marginal gut marginal unbekannt
    Quarz schlecht gut schlecht unbekannt
  • Bei einem Vergleich einer Aluminium-Ablenkplatte mit einer siliziumhaltigen Ablenkplatte zeigten Wafer, die in einer Plasmakammer mit einer Duschkopfelektrode mit siliziumhaltiger Ablenkplatte geätzt wurden, im Durchschnitt die Hälfte oder weniger „Adder” (zum Beispiel Partikel) im Vergleich zu gleichen Prozeßreaktoren bei Einsatz einer Aluminium-Ablenkplatte. Ferner benötigte, bei Vergleich von in RF-Minuten gemessener Zeit zwischen zur Wiederherstellung der Prozeßwiederholbarkeit notwendigen Naßreinigungen, der Plasma-Ätzreaktor mit siliziumhaltiger Ablenkplatte für einen Zeitraum von 15.000 RF-Minuten oder sogar 25.000 bis über 29.000 RF-Minuten keine Naßreinigung, verglichen mit nur 4.000 RF-Minuten für die Aluminium-Ablenkplatte. Eine solch dramatische Verbesserung der Produktionseffizienz macht die siliziumhaltige Ablenkplatte zu einem höchst wirtschaftlichen Austauschteil für existierende Aluminium-Ablenkplatten.
  • Da die Elektrodenanordnung ein Verschleißteil ist, ist es wünschenswert, für die mit dem Plasma in Kontakt stehenden Teile der Elektrodenanordnung nichtkontaminierende Materialien zu benutzen. Abhängig von der Chemie des Prozeßgases sind solche Materialien vorzugsweise aluminiumfreie, leitende, halbleitende oder isolierende Materialien, umfassend Glas, keramisches und/oder Polymermaterial ebenso wie einkristallines oder polykristallines Silizium, Quarz, Karbide von Silizium, Bor, Titan, Tantal, Niob und/oder Zirkon, Nitride von Silizium, Bor, Titan, Tantal und/oder Zirkon, Oxide von Silizium, Bor, Titan, Tantal, Niob und/oder Zirkon, Silicide von Titan, Wolfram, Tantal und/oder Kobalt, pyrolytisches Graphit, Diamant etc. Material aus Silizium, Kohlenstoff, Stickstoff und/oder Sauerstoff sind für Oberflächen in Plasmareaktionskammern besonders bevorzugt.
  • Die Elektrode besteht vorzugsweise aus einem elektrisch leitfähigen Material, wie etwa eine plane Elektrodenscheibe aus Silizium (zum Beispiel einkristallinem Silizium), Graphit oder Siliziumkarbid, die eine konstante Dicke von der Mitte bis zu einem Außenrand aufweist. Jedoch können auch Elektroden mit nicht konstanter Dicke, aus anderem Material und/oder ohne Gasverteilungslöcher mit einer erfindungsgemäßen Elektrodenanordnung benutzt werden. In einer bevorzugten Ausführungsform besteht die Elektrode aus einer Duschkopfelektrode mit einer Vielzahl von beabstandeten Gasentladungsdurchgängen, die ihrer Größe und Anordnung nach geeignet sind, ein Prozeßgas zuzuführen, welches durch die Elektrode energetisch angeregt wird und ein Plasma unterhalb der Elektrode in der Reaktionskammer ausbildet.
  • 2 zeigt eine Duschkopfelektrodenvorrichtung 40, durch die die in 1 gezeigte, durch die Elektrode 10 und den Tragring 12 ausgebildete Elektrodenanordnung ersetzt werden kann. Die Elektrode 40 unterscheidet sich von der durch Indium verbundenen Anordnung aus 1 darin, daß die Elektrode 42 mittels einer Elastomerverbindung 46, welche in einer Ausnehmung 48 gemäß 3 angeordnet sein kann, mit dem Tragring 44 verbunden ist. Die Ausnehmung 48 erstreckt sich kontinuierlich um den Tragring 44 zwischen einer inneren Wand (nicht dargestellt) und einer äußeren Wand 50 des Tragrings 44. Jede Wand 50 kann so dünn wie möglich, z. B. etwa 762 µm (30 tausendstel Zoll) breit, sein, was dem Elastomer die Ausformung einer dünnen Schicht (z. B. 2 μm Dicke für den Fall, daß das Elastomer Füllmaterial in der Größe von 0,7 μm bis 2 μm umfaßt) in dem Kontaktbereich mit jeder Wand 50 und einer dicken Schicht (z. B. etwa 63,5 μm (0,0025 Zoll)) in der Ausnehmung 48 ermöglicht. Die durch die Wände gebildete Ausnehmung kann äußerst flach sein, z. B. 50,8 μm (2 tausendstel Zoll) tief, was eine sehr dünne Elastomerverbindung mit ausreichender Festigkeit zur adhäsiven Bindung der Elektrode an den Tragring ermöglicht und dennoch eine Bewegung der Elektrode relativ zu dem Tragring während einer Temperaturänderung der Elektrodenanordnung gestattet. Zudem können die Wände der Ausnehmung die Elastomerverbindung vor einem Angreifen durch die Plasmaumgebung im Reaktor schützen.
  • Die Abmessungen der Elektrodenanordnung können an die Erfordernisse der beabsichtigten Verwendung der Elektrodenanordnung angepaßt sein. Falls die Elektrode zur Bearbeitung eines 8-Zoll-Wafers eingesetzt wird, kann die Elektrode beispielsweise einen Durchmesser von etwas weniger als 228,6 mm (9 Zoll) aufweisen und der Tragring kann an dem Interface zwischen der Elektrode und dem Tragring eine Breite von etwas weniger als 12,7 mm (0,5 Zoll) haben. Zum Beispiel kann der Tragring an dem Interface einen Innendurchmesser von 203,2 mm (8 Zoll) und einen Außendurchmesser am Interface von 223,52 mm (8,8 Zoll) haben. In diesem Fall kann das Interface zwischen der Elektrode und dem Tragring eine Breite von etwa 10,16 mm (0,4 Zoll) und die Ausnehmung eine Breite von 8,636 mm (0,34 Zoll) haben, falls die Wände 0,762 mm (0,03 Zoll) breit sind.
  • Die Elastomerverbindung kann aus jedem geeigneten Elastomermaterial bestehen, wie etwa einem Polymermaterial, das mit Vakuumbedingungen kompatibel ist und einer thermischen Zersetzung bei hohen Temperaturen wie oberhalb 200°C widersteht. Das Elastomermaterial kann optional ein Füllmaterial aus elektrisch und/oder thermisch leitfähigen Partikeln oder oder ein anders geformtes Füllmaterial wie etwa ein Drahtnetz, gewobene oder nicht-gewobene leitfähige Fasern etc. umfassen. Polymermaterialien, die in einer Plasmaumgebung oberhalb von 160°C eingesetzt werden können, umfassen Polyimide, Polyketone, Polyetherketone, Polethersulfone, Polyethylenterephthalate, Fluorethylen-Propylen-Kopolymere, Zellulose, Triacetate, Silikone und Gummi. Beispiele von Elastomeren hoher Reinheit umfassen einkomponentige, bei Raumtemperatur aushärtende Klebstoffe, die von General Electric als RTV 133 und RTV 167 erhältlich sind, einen einkomponentigen, fließfähigen, durch Hitze (z. B. über 100°C) aushärtbaren Klebstoff, der von General Electric als TSE 3221 erhältlich ist, und ein zweikomponentiges, durch Mischung aushärtbares Elastomer, welches von Dow Corning als „SILASTIC” erhältlich ist. Ein besonders bevorzugtes Elastomer ist ein Polydimethylsiloxan enthaltendes Elastomer wie etwa ein katalytisch, z. B. Pt-katalytisch, aushärtbares Elastomer, das von Rhodia als V217 erhältlich ist, und das bei Temperaturen von 250°C und darüber stabil ist.
  • Für den Fall, daß das Elastomer elektrisch leitfähig ist, kann das elektrisch leitfähige Füllmaterial Partikel eines elektrisch leitfähigen Metalls oder einer Metalllegierung enthalten. Ein bevorzugtes Metall für den Einsatz in der Verunreinigungssensiblen Umgebung einer Plasma-Reaktionskammer ist eine Aluminiumlegierung wie etwa eine 5–20 Gewichts-% Silizium enthaltende, aluminiumbasierte Legierung. Zum Beispiel kann die Aluminiumlegierung 15 Gewichts-% Silizium enthalten. Um jedoch die Möglichkeit einer Kontamination durch Aluminium zu verringern, kann es gewünscht sein, ein Aluminium-freies elektrisch leitfähiges Füllmaterial wie etwa Silizium-Pulver oder Siliziumkarbid-Pulver zu verwenden. Einzelheiten der Elastomerverbindung können in dem der gleichen Anmelderin gehörenden Patents US 6,073,577 A gefunden werden, wobei deren gesamte Offenbarung hiermit durch Bezugnahme genannt ist.
  • Die siliziumhaltige Ablenkplatte kann für unterschiedliche Plasmaprozesse, umfassend Plasmaätzen von unterschiedlichen dielektrischen Schichten wie etwa dotiertes Siliziumoxid wie etwa fluoriertes Siliziumoxid (FSG), undotiertes Siliziumoxid wie etwa Siliziumdioxid, Spin-On-Glass (SOG), Silikatgläser wie etwa Bor-Phosphor-Silikatglas (BPSG) und Phosphor-Silikatglas (PSG), dotiertes und undotiertes thermisch aufgewachsenes Siliziumoxid, dotiertes und undotiertes TEOS-abgeschiedenes Siliziumoxid etc. eingesetzt werden. Die dielektrischen Dotierstoffe umfassen Bor, Phosphor und/oder Arsen. Das Dielektrikum kann eine leitende oder halbleitende Schicht wie etwa polykristallines Silizium, Metalle wie etwa Aluminium, Kupfer, Titan, Wolfram, Molybdän oder deren Legierungen, Nitride wie etwa Titannitrid, Metallsilicide wie etwa Titansilicid, Kobalsilicid, Wolframsilicid, Molybdänsilicid etc. überdecken. Beispielsweise kann das erfindungsgemäße Gasverteilungssystem zum Plasmaätzen einer Damaszenerstruktur eingesetzt werden.
  • Das Plasma kann ein in unterschiedlichen Typen von Plasmareaktoren erzeugbares Hochdruck-Plasma sein. Solche Plasmareaktoren haben typisch Energiequellen, die RF-Energie, Mikrowellenenergie, Magnetfelder etc. zur Erzeugung des Hochdruckplasmas einsetzen. Beispielsweise könnte das Hochdruckplasma in einem Transformator-gekoppelten Plasmareaktor (TCPTM), der auch als induktiv gekoppelter Plasmareaktor bezeichnet wird, einem Elektron-Zyklotron-Resonanz (ECR) Plasmareaktor, einem Helicon-Plasmareaktor oder ähnlichem erzeugt werden. Ein Beispiel eines Hochfluß-Plasmareaktors, der ein Hochdruckplasma erzeugen kann, ist in dem derselben Inhaberin gehörenden US-Patent Nr. 5,820,723 offenbart, wobei dessen Offenbarung hiermit durch Bezugnahme aufgenommen ist.
  • Die vorliegende Erfindung ist unter Bezugnahme auf bevorzugte Ausführungsformen beschrieben worden. Jedoch wird es für den Fachmann offensichtlich sein, daß die Erfindung in anderen als den beschriebenen Ausführungsformen realisiert werden kann, ohne den Kern der Erfindung zu verlassen. Die bevorzugte Ausführungsform dient der Beschreibung und sollte in keiner Weise als einschränkend angesehen werden. Die Reichweite der Erfindung wird durch die anliegenden Ansprüche angegeben, und sämtliche Abwandlungen und Äquivalente, die in den Bereich der Ansprüche fallen, sollen darin eingeschlossen sein.

Claims (20)

  1. Plasmaprozesskammer vom Parallelplattentyp, wobei ein einzelner Halbleiterwafer auf einer unteren Elektrode gehalten ist und eine obere Elektrode eine Duschkopfelektrode (10; 42) aus Silizium umfasst, wobei eine siliziumhaltige Ablenkplatte (22a) als Teil eines Gasverteilungssystems vorgesehen ist, wobei das Gasverteilungssystem die Duschkopfelektrode (10; 42) und eine Ablenkkammer beinhaltet, wobei die siliziumhaltige Ablenkplatte (22a) eine siliziumhaltige Oberfläche aufweist, wobei die siliziumhaltige Oberfläche gegenüber der Duschkopfelektrode (10; 42) in der Ablenkkammer beabstandet angebracht ist, wobei die Duschkopfelektrode (10; 42) eine Vielzahl voneinander beabstandeter Gasentladungsdurchgänge aufweist, wobei die Gasentladungsdurchgänge geeignet sind, ein Prozessgas zuzuführen, welches durch die Duschkopfelektrode (10; 42) energetisch angeregt wird und ein Plasma unterhalb der Duschkopfelektrode (10; 42) in der Reaktionskammer (24) ausbildet, wobei sich die siliziumhaltige Ablenkplatte (22a) hinter der Duschkopfelektrode (10; 42) befindet und eine Sichtlinie zwischen der siliziumhaltigen Ablenkplatte (22a) und dem Plasma durch die Gasentladungsdurchgänge existiert, so dass im Plasma erzeugte Ionen durch die Gasentladungsdurchgänge auf die siliziumhaltige Ablenkplatte (22a) beschleunigt werden, wodurch sie ein Sputtern der siliziumhaltigen Ablenkplatte (22a) bewirken.
  2. Plasmaprozesskammer nach Anspruch 1, wobei die Plasmaprozesskammer ferner einen auf einem elektrostatischen Halter gehalterten Halbleiterwafer mit einer ringförmigen Kante aus Silizium und einen aus einer gestapelten Anordnung von Quarzringen gebildeten Plasmabegrenzungsring (17) umfasst.
  3. Plasmaprozesskammer nach Anspruch 1 oder 2, wobei die Duschkopfelektrode (10; 42) Teil einer Elektrodenanordnung ist, wobei die Elektrodenanordnung lösbar mit einem Kühlkanäle (13) aufweisenden temperaturgeregelten Glied (14) verbunden ist.
  4. Plasmaprozesskammer nach einem der Ansprüche 1 bis 3, wobei die siliziumhaltige Ablenkplatte (22a) überwiegend aus Silizium oder Siliziumkarbid mit einer Reinheit von mindestens 99,999% besteht.
  5. Plasmaprozesskammer nach einem der Ansprüche 1 bis 4, wobei die siliziumhaltige Ablenkplatte (22a) vollständig aus einkristallinem Silizium, polykristallinem Silizium, nicht-gesintertem Siliziumkarbid, gesintertem Siliziumkarbid, massivem CVD-Siliziumkarbid, gesintertem Siliziumkarbid mit einer CVD-Beschichtung aus Siliziumkarbid, mit Siliziumkarbid beschichtetem Graphit, durch Reaktion synthetisiertem Siliziumkarbid oder aus einer Kombination davon besteht.
  6. Plasmaprozesskammer nach einem der Ansprüche 1 bis 5, wobei die siliziumhaltige Ablenkplatte (22a) durchgängige Öffnungen zur Durchleitung von Prozessgas aufweist, wobei die Öffnungen der siliziumhaltigen Ablenkplatte (22a) gegenüber Gasentladungsdurchgänge bildenden Öffnungen in der Duschkopfelektrode (10; 42) versetzt sind, wenn die siliziumhaltige Ablenkplatte (22a) in der Ablenkkammer angebracht ist.
  7. Plasmaprozesskammer nach einem der Ansprüche 1 bis 6, wobei die siliziumhaltige Ablenkplatte (22a) als untere Ablenkplatte in der mehrere Ablenkplatten (22, 22a) aufweisenden Ablenkkammer vorgesehen ist.
  8. Plasmaprozesskammer nach einem der Ansprüche 1 bis 7, wobei die siliziumhaltige Ablenkplatte (22a) vollständig aus nicht-gesintertem Siliziumkarbid-Material besteht.
  9. Plasmaprozesskammer nach Anspruch 8, wobei das nicht-gesinterte Siliziumkarbid-Material überwiegend aus durch Reaktionssynthese von Siliziumdampf mit Kohlenstoff-Material gebildetem Siliziumkarbid besteht.
  10. Plasmaprozesskammer nach einem der Ansprüche 1 bis 9, wobei die Duschkopfelektrode (10; 42) als plane Scheibe mit gleichmäßiger Dicke vom Mittelpunkt bis zum Rand ausgebildet ist.
  11. Verfahren zur Reduzierung von Partikel- und/oder Metallkontaminationen während der Bearbeitung eines Substrats in einer Plasmaprozesskammer nach einem der Ansprüche 1 bis 10, umfassend: Einbringen eines Halbleitersubstrats in die Reaktionskammer (24); Einleiten von Prozessgas in die Ablenkkammer, wobei das Prozessgas durch die siliziumhaltige Ablenkplatte (22a) in einen Raum zwischen der siliziumhaltigen Ablenkplatte (22a) und der Duschkopfelektrode (10; 42) strömt, gefolgt von Durchströmen der Duschkopfelektrode (10; 42) in das Innere der Reaktionskammer (24); und Bearbeiten des Halbleitersubstrats mit dem die Duschkopfelektrode (10; 42) durchströmenden Prozessgas.
  12. Verfahren nach Anspruch 11, bei dem das Halbleitersubstrat einen auf einem elektrostatischen Halter gehalterten Wafer mit einem Kantenring aus Silizium umfasst, wobei die Duschkopfelektrode (10; 42) das Prozessgas zu einem Plasma anregt, welches durch einen eine gestapelte Anordnung von Quarzringen umfassenden Plasmabegrenzungsring (17) begrenzt ist.
  13. Verfahren nach Anspruch 11 oder 12, ferner umfassend das Ätzen einer Schicht auf dem Halbleitersubstrat durch Anlegen einer RF-Spannung an die Duschkopfelektrode (10; 42), so dass das Prozessgas ein mit einer exponierten Oberfläche des Halbleitersubstrats in Kontakt stehendes Plasma ausbildet.
  14. Verfahren nach Anspruch 13, bei dem das Halbleitersubstrat einen Silizium- oder Galliumarsenidwafer umfasst, und bei dem das Ätzen ein Plasmaätzen einer dielektrischen, halbleitenden oder leitenden Materialschicht auf dem Wafer umfasst.
  15. Verfahren nach Anspruch 13 oder 14, bei dem das Verfahren ein Abscheiden einer Materialschicht auf dem Halbleitersubstrat umfasst.
  16. Verfahren nach einem der Ansprüche 11 bis 15, bei dem die Duschkopfelektrode (42) durch ein Elastomer (46) mit einem temperaturgeregelten Glied verbunden ist, wobei das Verfahren die Ableitung von Wärme von der Duschkopfelektrode (42) mittels Kühlmitteldurchfluss durch das temperaturgeregelte Glied umfasst.
  17. Verfahren nach einem der Ansprüche 11 bis 16, bei dem die Ablenkkammer zwischen der siliziumhaltigen Ablenkplatte (22a) und einem Gaseinlass zur Einleitung des Prozessgases in die Ablenkkammer Aluminium-Ablenkplatten (22) umfasst, und wobei das Prozessgas vor der Durchströmung der siliziumhaltigen Ablenkplatte (22a) die Aluminium-Ablenkplatten (22) durchströmt.
  18. Verfahren nach einem der Ansprüche 11 bis 17, bei dem das Prozessgas durch gegenüber Öffnungen in der Duschkopfelektrode (10; 42) versetzte Öffnungen in der siliziumhaltigen Ablenkplatte (22a) strömt, wobei der Versatz ausreichend ist, um eine Sichtlinie zwischen dem Plasma in der Reaktionskammer (24) und den Öffnungen in der siliziumhaltigen Ablenkplatte (22a) zu verhindern.
  19. Verfahren nach einem der Ansprüche 11 bis 18, bei dem Öffnungen durch exponierte Bereiche einer dielektrischen Schicht des Substrats bis zu einer elektrisch leitenden oder halbleitenden Schicht des Substrats geätzt werden.
  20. Verfahren nach Anspruch 19, bei dem der Ätzschritt als Teil eines Verfahrens zur Herstellung einer Damaszener-Struktur ausgeführt wird.
DE10083204T 1999-09-23 2000-09-11 Plasmaprozesskammer und Bearbeitungsverfahren darin Expired - Lifetime DE10083204B3 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/401,307 US6451157B1 (en) 1999-09-23 1999-09-23 Gas distribution apparatus for semiconductor processing
US09/401,307 1999-09-23
PCT/US2000/024867 WO2001022479A1 (en) 1999-09-23 2000-09-11 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
DE10083204T1 DE10083204T1 (de) 2002-01-31
DE10083204B3 true DE10083204B3 (de) 2012-10-18

Family

ID=23587197

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10083204T Expired - Lifetime DE10083204B3 (de) 1999-09-23 2000-09-11 Plasmaprozesskammer und Bearbeitungsverfahren darin

Country Status (7)

Country Link
US (1) US6451157B1 (de)
JP (1) JP3768882B2 (de)
KR (1) KR100504614B1 (de)
AU (1) AU7477800A (de)
DE (1) DE10083204B3 (de)
TW (1) TW544721B (de)
WO (1) WO2001022479A1 (de)

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
AU2002241496A1 (en) * 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6838387B1 (en) * 2001-06-21 2005-01-04 John Zajac Fast etching system and process
US6555486B2 (en) * 2001-07-12 2003-04-29 Cool Shield, Inc. Thermally conductive silk-screenable interface material
KR100472410B1 (ko) * 2002-03-29 2005-03-10 삼성전자주식회사 반도체 기판을 가공하기 위한 전극 조립체 및 이를 갖는가공장치
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
ATE472172T1 (de) * 2002-04-17 2010-07-15 Lam Res Corp Verfahren zur herstellung einer silizium- elektrode für plasma-reaktionskammer
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
KR20030095064A (ko) * 2002-06-11 2003-12-18 주식회사 기림세미텍 슬립-프리 특성을 갖는 실리콘을 적용한 전극 어셈블리
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100850424B1 (ko) * 2003-12-31 2008-08-04 동부일렉트로닉스 주식회사 반도체용 한정 링 유닛
DE102004029959B4 (de) * 2004-06-21 2010-08-19 Infineon Technologies Ag Gasdurchlässige Plasmaelektrode, Verfahren zum Herstellen der gasdurchlässigen Plasmaelektrode und Parallelplatten-Reaktor
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7501765B2 (en) * 2004-10-01 2009-03-10 Illinois Tool Works Inc. Emitter electrodes formed of chemical vapor deposition silicon carbide
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070137576A1 (en) * 2005-12-19 2007-06-21 Varian Semiconductor Equipment Associates, Inc. Technique for providing an inductively coupled radio frequency plasma flood gun
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
DE102006013801A1 (de) * 2006-03-24 2007-09-27 Aixtron Ag Gaseinlassorgan mit gelochter Isolationsplatte
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR100813106B1 (ko) * 2007-09-19 2008-03-17 다이섹(주) 가압접합된 캐소드 및 그 제조방법
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US8124996B2 (en) 2008-08-04 2012-02-28 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
KR101037189B1 (ko) * 2008-11-11 2011-05-26 세메스 주식회사 플라즈마 화학기상증착 장치용 대면적 샤워헤드
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US9531164B2 (en) 2009-04-13 2016-12-27 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
JP2013505588A (ja) 2009-09-18 2013-02-14 ソラア インコーポレーテッド 電流密度操作を用いた電力発光ダイオード及び方法
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US8971368B1 (en) 2012-08-16 2015-03-03 Soraa Laser Diode, Inc. Laser devices having a gallium and nitrogen containing semipolar surface orientation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
FR3020641A1 (fr) * 2014-04-30 2015-11-06 Ion Beam Services Dispositif de diffusion de gaz passive
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9564736B1 (en) 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160045881A1 (en) * 2014-08-15 2016-02-18 Rec Silicon Inc High-purity silicon to form silicon carbide for use in a fluidized bed reactor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
KR101652469B1 (ko) * 2015-02-27 2016-08-30 주식회사 유진테크 다중 가스 제공 방법 및 다중 가스 제공 장치
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
JP6868616B2 (ja) 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107399715B (zh) 2016-05-20 2019-10-15 清华大学 一种带电纳米微粒的制备装置及制备方法
CN107402440B (zh) 2016-05-20 2020-01-07 清华大学 一种观测纳米结构表面电荷分布的方法
TWI633052B (zh) 2016-05-20 2018-08-21 鴻海精密工業股份有限公司 奈米碳管陣列電學特性的原位測量裝置
CN107402184B (zh) 2016-05-20 2020-01-03 清华大学 一种测量纳米结构表面电荷分布的方法
CN107399732B (zh) * 2016-05-20 2019-10-25 清华大学 碳纳米管膜的制备方法
CN107400924B (zh) 2016-05-20 2021-04-02 清华大学 碳纤维膜及其制备方法
CN107400872B (zh) 2016-05-20 2019-09-13 清华大学 碳纤维膜的制备方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7080331B2 (ja) * 2018-08-22 2022-06-03 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI810772B (zh) * 2021-12-30 2023-08-01 日揚科技股份有限公司 一種快速退火設備

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02150024A (ja) * 1988-11-30 1990-06-08 Ibiden Co Ltd プラズマ分散板
US5006220A (en) * 1987-10-26 1991-04-09 Tokyo Ohka Kogyo Co., Ltd. Electrode for use in the treatment of an object in a plasma
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5593540A (en) * 1992-10-19 1997-01-14 Hitachi, Ltd. Plasma etching system and plasma etching method
EP0779645A2 (de) * 1995-12-12 1997-06-18 Applied Materials, Inc. Plasmareaktoren zur Behandlung von Werkstücken
EP0843348A2 (de) * 1996-11-13 1998-05-20 Applied Materials, Inc. Verfahren und Vorrichtung zur Behandlung eines Halbleitersubstrats
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3887723A (en) * 1972-03-22 1975-06-03 Richard B Kaplan Method of fabrication of composite anode for rotating-anode x-ray tubes
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
GB8622820D0 (en) 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5824365A (en) 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
EP0826646B1 (de) 1996-08-27 2003-06-18 Asahi Glass Company Ltd. Hoch korrosionsbeständiges Siliziumcarbidprodukt
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006220A (en) * 1987-10-26 1991-04-09 Tokyo Ohka Kogyo Co., Ltd. Electrode for use in the treatment of an object in a plasma
JPH02150024A (ja) * 1988-11-30 1990-06-08 Ibiden Co Ltd プラズマ分散板
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5593540A (en) * 1992-10-19 1997-01-14 Hitachi, Ltd. Plasma etching system and plasma etching method
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
EP0779645A2 (de) * 1995-12-12 1997-06-18 Applied Materials, Inc. Plasmareaktoren zur Behandlung von Werkstücken
EP0843348A2 (de) * 1996-11-13 1998-05-20 Applied Materials, Inc. Verfahren und Vorrichtung zur Behandlung eines Halbleitersubstrats

Also Published As

Publication number Publication date
WO2001022479A1 (en) 2001-03-29
AU7477800A (en) 2001-04-24
US20020123230A1 (en) 2002-09-05
JP2003510813A (ja) 2003-03-18
JP3768882B2 (ja) 2006-04-19
TW544721B (en) 2003-08-01
KR20010080530A (ko) 2001-08-22
KR100504614B1 (ko) 2005-08-03
US6451157B1 (en) 2002-09-17
DE10083204T1 (de) 2002-01-31

Similar Documents

Publication Publication Date Title
DE10083204B3 (de) Plasmaprozesskammer und Bearbeitungsverfahren darin
DE69928289T2 (de) Ätzkammern mit plasma dichte und geringer kontamination und herstellungsverfahren derselben
DE69931168T2 (de) Elastomer-gebundene teile fuer plasmaverfahren, deren herstellung und verwendung
US20080023029A1 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US6838012B2 (en) Methods for etching dielectric materials
DE60006933T2 (de) Herstellungsvorrichtung für halbleiter mit keramischer fliesenauskleidung
DE69829390T2 (de) Gasinjektions-system für plasma-behandlungsvorrichtung
US8845855B2 (en) Electrode for plasma processes and method for manufacture and use thereof
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
CN115799031A (zh) 用于经改善的前驱物流的半导体处理腔室
DE69934000T2 (de) Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
DE202010012763U1 (de) Festgeklemmte monolithische Gasverteilungselektrode
CN1853254A (zh) 用于改良的挡板的方法和装置
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
WO2011012185A1 (de) Reinigen einer prozesskammer
CN1682345A (zh) 用于等离子体加工系统中的改进的波纹管罩的方法和装置
EP0057258A2 (de) Verfahren zum Herstellen von Polysiliziumstrukturen im 1/um-Bereich auf integrierte Halbleiterschaltungen enthaltenden Substraten durch Plasmaätzen
CN100557075C (zh) 处理衬底的方法
DE69726308T2 (de) Anlage zum vermindern der polymer - abscheidung auf einem substratträger
DE69935994T2 (de) Plasmareaktor

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130119

R071 Expiry of right