DE69829390T2 - Gasinjektions-system für plasma-behandlungsvorrichtung - Google Patents

Gasinjektions-system für plasma-behandlungsvorrichtung Download PDF

Info

Publication number
DE69829390T2
DE69829390T2 DE69829390T DE69829390T DE69829390T2 DE 69829390 T2 DE69829390 T2 DE 69829390T2 DE 69829390 T DE69829390 T DE 69829390T DE 69829390 T DE69829390 T DE 69829390T DE 69829390 T2 DE69829390 T2 DE 69829390T2
Authority
DE
Germany
Prior art keywords
substrate
gas
plasma
chamber
injection tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69829390T
Other languages
English (en)
Other versions
DE69829390D1 (de
Inventor
Brian Mcmillin
Huong Nguyen
Michael Barnes
Tom Ni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE69829390D1 publication Critical patent/DE69829390D1/de
Publication of DE69829390T2 publication Critical patent/DE69829390T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft ein Plasmabehandlungssystem und ein Verfahren zum Zuführen von Reaktanten zu einem Substrat in einer Plasmabehandlungskammer. Insbesondere bezieht sich die vorliegende Erfindung auf ein System und ein Verfahren zum Zuführen von Reaktanten über ein Gasinjektionssystem, um die Behandlungsgleichförmigkeit zu maximieren.
  • Hintergrund der Erfindung
  • Vakuumbehandlungskammern werden im allgemeinen zur Gasphasenabscheidung nach chemischem Verfahren (CVD: Chemical Vapor Depositing) von Materialien auf Substraten verwendet, durch Zuführen von Prozessgas in die Vakuumkammer und durch Aufbringen eines Hochfrequenzfeldes (HF-Feld) auf das Gas. Eine Anzahl von Gasverteilungssystemen zur Behandlung/Prozessierung von integrierten Schaltungen sind bekannt, aber die sehr große Mehrheit der bekannten Systeme sind ausgelegt für ein Plasmaätzen mit niedriger Dichte und hohem Druck oder für plasmaunterstütztes CVD (PECVD: Plasma Enhanced CVD). Herkömmliche Gasverteilungssysteme führen typischerweise Reaktanten mit relativ geringen Strömungsgeschwindigkeiten zu. Systeme mit Brausekopf-Gasinjektion (Showerhead-Gasinjektion) und diffusivem Transport werden gewöhnlich verwendet, um eine gleichmäßige Verteilung über dem Substrat sicherzustellen.
  • Diese bekannten Systeme sind nicht für CVD-Verfahren mit hochdichtem Plasma (HDPCVD: High Density Plasma CVD) optimiert, wie zum Beispiel bei einer Einkapselung und intermetallisches dielektrisches Zwischenraumfüllen (gap filling). Beim HDPCVD ist es wichtig, die Zufuhr der Reaktanten, wie zum Beispiel Silan-ähnliche Spezien, auf ein Substrat zu fokussieren, weil Silan und seine Fragmente, zum Beispiel SiH3, SiH2, SiH und so weiter, hohe Haftkoeffizienten aufweisen. Das Richten von dem Silan vorzugsweise auf das Substrat ist vorteilhaft, weil es die Substratabscheidungsgeschwindigkeit maximiert und die Filmabscheidungen auf verschiedenen inneren Oberflächen des Reaktors minimiert.
  • Es gibt verschiedene bekannte Systeme zum Vergrößern der Konzentration des Prozessgases oberhalb der Substratoberfläche. Zum Beispiel zeigt die 1 ein System, welches eine Plasmaquelle 110 zum Erzeugen eines Plasmas in einer Kammer 140 umfasst, und einen Gasring 167 mit angeschlossenen Gaseinlässen, der Prozessgas in die Behandlungskammer 140 zum Behandeln eines Substrates 120 auf einem Substratträger 130 zuführt. Gas wird zu dem Verteilerraum 167a des Gasringes 167 über eine Gaszufuhröffnung 167b aus einer Gasquelle (nicht gezeigt) zugeführt. Dieser Systemtyp kann ferner einen zusätzlichen Gasring 160 umfassen. Das Gas wird zu dem Verteilerraum 160a des Gasringes 160 durch eine Gaszufuhröffnung (nicht gezeigt) zugeführt. Herkömmlich wird die Abscheidungsgeschwindigkeit (Abscheidungsrate) in solch einem System durch Konzentrieren des Prozessgases oberhalb des Substrates 120 vergrößert. Dies wird typischerweise durch Ändern des Abstandes zwischen dem Gasring 167 und dem Substrat 120 ausgeführt. Umso mehr das Prozessgas in Richtung des Bereiches oberhalb der Mitte des Substrates konzentriert wird, desto größer ist die Spitzenabscheidungsgeschwindigkeit. Unglücklicherweise kann beim Konzentrieren des Prozessgases nahe der Mitte des Substrates die Abscheidungsgeschwindigkeit auf dem äußeren Bereich des Substrates nicht so stark wie in der Mitte ansteigen, was zu einer möglichen Verminderung bei der Abscheidungsgleichförmigkeit führt.
  • Das US-Patent mit der Nr. 4 691 662, welches Roppel et al. erteilt wurde, offenbart eine Dualplasma-Mikrowellenvorrichtung zum Ätzen und Abscheiden, bei welcher Prozessgas durch Kanäle zugeführt wird, welche auf einer Seitenwand einer Behandlungskammer montiert sind, die sich über einen Bereich des Substrates erstreckt. Das US-Patent mit der Nr. 5 522 934, erteilt für Suzuki et al., offenbart eine Gasinjektoranordnung, umfassend eine Vielzahl von Gaszufuhrdüsen, die in einer Vielzahl von Ebenen in einer Richtung, welche im wesentlichen senkrecht zu dem Substrat ist, positioniert sind. Die Gaszufuhrdüsen auf den oberen Ebenen erstrecken sich weiter in Richtung der Mitte des Substrates als jene auf den unteren Ebenen. Die Injektionsöffnungen sind an den fern gelegenen Enden von den Gaszufuhrdüsen positioniert. Diese Systeme sind effektiv beim Zuführen des Prozessgases in den Bereich über dem Substrat. Weil sich jedoch die Kanäle über die Substratoberfläche zwischen dem Substrat und dem Bereich der primären Ionenerzeugung erstrecken, können die Kanäle, wenn die Ionen von dem Erzeugungsbereich in Richtung des Substrates diffundieren, Schatten von einer Ungleichförmigkeit der Ionen auf die Substratoberfläche werfen. Dies kann zu einem unerwünschten Verlust bei der Gleichförmigkeit des Ätzens und der Abscheidung führen.
  • Andere Ansätze verwenden Gaszufuhrkanäle, welche sich nicht über die Substratoberfläche erstrecken. „Electron Cyclotron Resonance Microwave Discharges for Etching and Thin-film Deposition", J. Vacuum Science and Technology A, Vol. 7, pp. 883–893 (1989) von J. Asmussen zeigt Kanäle, welche sich nur bis zu dem Substratrand erstrecken. „Low-temperature Deposition of Silicon Dioxide Films from Electron Cyclotron Resonant Microwave Plasmas", J. Applied Physics, Vol. 65, pp. 2457–2463 (1989) von T. V. Herak et al. stellt ein Plasma-CVD-Werkzeug dar, welches eine Vielzahl von Gasinjektionskanälen umfasst, welche getrennte Prozessgase zuführen. Ein Satz von Kanälen ist in der unteren Kammerwand mit Gaszufuhröffnungen montiert, welche gerade außerhalb des Umfangs des Substratträgers und an den fern gelegenen Enden der Kanäle positioniert sind. „New Approach to Low Temperature Deposition of High-quality Thin Films by Electron Cyclotron Resonance Microwave Plasmas", J. Vac. Sci. Tech, B, Vol. 10, pp. 2170–2178 (1992) von T. T. Chau et al. stellt ein Plasma-CVD-Werkzeug dar, welches einen Gaseinlasskanal umfasst, der in der unteren Kammerwand montiert ist, positioniert gerade oberhalb und außerhalb des Umfangs des Substratträgers. Der Kanal ist gebogen, so dass die Injektionsachse im wesentlichen parallel zu dem Substrat ist. Ein zusätzlicher horizontaler Kanal ist für ein zweites Prozessgas vorgesehen. Die Gasinjektionsöffnungen sind an den fern gelegenen Enden der Kanäle positioniert. Ein Problem bei sämtlichen von diesen Plasmabehandlungsvorrichtungen mit Gasinjektion ist, dass das Gas von den fern gelegenen Enden der Kanäle aus injiziert wird. Injektoren mit Öffnungen, welche an den fern gelegenen Enden der Injektorröhren positioniert sind, können anfällig für ein Verstopfen nach der Behandlung einer relativ kleinen Charge von Substraten, zum Beispiel weniger als 100, sein. Dieses Verstopfen der Injektoröffnung ist nachteilig, weil es zu einer nicht gleichförmigen Verteilung von Reaktanten (Reaktionspartnern) führen kann, zur nicht gleichförmigen Filmabscheidung oder zum nicht gleichförmigen Ätzen der Substrate, und zu Verschiebungen in der Gesamtabscheidungs- oder Ätzrate.
  • Verschiedene Systeme sind vorgeschlagen worden, um die Prozessgleichförmigkeit durch Injizieren von Prozessgas mit Schallgeschwindigkeit oder Überschallgeschwindigkeit zu verbessern. Zum Beispiel offenbart das US-Patent mit der Nummer 4 270 999, erteilt für Hassan et al., die Vorteile des Injizierens von Prozessgasen für Plasmaätz- und Abscheidungsanwendungen mit Schallgeschwindigkeit. Hassan et al. weist darauf hin, dass das Erreichen von Schallgeschwindigkeit in der Düse eine explosive Entladung von dem Vakuumendpunkt der Düse aus fördert, was eine stark verwirbelte und gleichförmige Dissipation der Gasmoleküle in der Reaktionszone, welche das Substrat umschließt, erzeugt. Das US-Patent mit der Nummer 5 614 055, erteilt für Fairbairn et al., offenbart lang ausgestreckte Überschallsprühdüsen, welche den gasförmigen Reaktionspartner (Reaktantgas) mit Überschallgeschwindigkeit in Richtung des Bereiches sprühen, welcher über dem Substrat liegt. Die Düsen erstrecken sich von der Kammerwand aus in Richtung zu dem Substrat, wobei jede Düsenspitze eine Gasverteilungsöffnung an dem fern gelegenen Ende aufweist. Das US-Patent mit der Nummer 4 943 345, erteilt für Asmussen et al., offenbart eine Plasma-CVD-Vorrichtung, welche Überschalldüsen zum Leiten von angeregtem Gas zu dem Substrat umfasst. Das US-Patent mit der Nummer 5 164 040, erteilt für Eres et al., offenbart gepulste Überschallstrahlen (Jets) für CVD. Während diese Systeme dazu beabsichtigt sind, die Prozessgleichförmigkeit zu verbessern, leiden sie an den oben genannten Nachteilen, nämlich an dem Verstopfen der Öffnungen an den fern gelegenen Enden der Injektoren, was die Filmgleichförmigkeit auf dem Substrat nachteilig beeinflussen kann.
  • Das US-Patent mit der Nr. 4 996 077, erteilt für Moslehi et al., offenbart eine Elektron-Cyclotron-Resonanz-Vorrichtung (ECR), welche Gasinjektoren umfasst, die entlang des Umfanges eines Substrates angeordnet sind, um eine gleichförmige Verteilung von Nichtplasmagasen zur Verfügung zu stellen. Die Nichtplasmagase werden injiziert, um eine Teilchenverunreinigung zu vermindern, und die Injektoren sind derart ausgerichtet, dass sie das Nichtplasmagas auf die Substratoberfläche richten, welche behandelt werden soll.
  • Das US-Patent mit der Nummer 5 252 133, erteilt für Miyazaki et al., offenbart eine Nichtplasma-CVD-Vorrichtung für mehrere Wafer, umfassend eine vertikale Gaszufuhrröhre, welche eine Vielzahl von Gasinjektionsöffnungen entlang einer Längsachse aufweist. Die Injektionsöffnungen erstrecken sich entlang der Längsseite eines Waferschiffchens, welches eine Vielzahl von Substraten trägt, um Gas in die Kammer einzuleiten. Ähnlich offenbart das US-Patent mit der Nr. 4 992 301, erteilt für Shishiguchi et al., eine Vielzahl von vertikalen Gaszufuhrröhren mit Gasemissionsöffnungen entlang der Länge der Röhre. Diese Patente beziehen sich auf thermisches, nichtplasma-CVD, und sie sind somit nicht für Plasmabehandlung optimiert.
  • Wenn die Substratgröße zunimmt, wird die Gasinjektion in der Mitte zunehmend wichtig, um ein gleichförmiges Ätzen und eine gleichförmige Abscheidung sicherzustellen. Dies ist besonders evident bei der Behandlung (Prozessierung) von Flachbildschirmen. Typischerweise ist der diffusive Transport in dem Bereich über dem Substrat in diesen Behandlungssystemen mit niedrigem Druck dominant, während ein konvektiver Transport eine viel geringere Rolle spielt. Nahe der Injektionsöffnungen kann jedoch der konvektive Transport den diffusiven Transport dominieren, wegen der strahlartigen Natur des injizierten Gases. Das Positionieren der Injektionsöffnungen dichter an dem Substrat vergrößert daher den konvektiven Transport in Relation zu dem anderweitig dominanten diffusiven Transport oberhalb des Substrates. Herkömmliche Brausekopf-Gasinjektionssysteme können Gase zu der Mitte des Substrates zuführen, aber um die Öffnungen dicht gegenüber dem Substrat zu positionieren, muss die Kammerhöhe reduziert werden, was zu einem unerwünschten Verlust in der Ionengleichförmigkeit führen kann.
  • Radiale Gasinjektionssysteme können nicht eine geeignete Prozessgaszufuhr zu der Mitte von Substraten mit großer Fläche zur Verfügung stellen, welche typischerweise bei der Behandlung von Flachtafeln/Flachbildschirmen auftreten. Dies ist insbesondere bei Kammerausführungen, welche unten gepumpt werden (bottom-pumped), zutreffend, welche gewöhnlich in Plasmabehandlungssystemen zu finden sind. Ohne ein Mittel für eine mittlere Gaszuführung können Ätznebenprodukte über der Mitte des Substrates stagnieren, was zu einem unerwünschten nicht gleichförmigen Ätzen und einer Profilsteuerung quer über dem Substrat führen kann.
  • Das oben genannte Patent von Fairbairn et al. offenbart ferner ein Brausekopfinjektionssystem, in welchem die Injektoröffnungen auf der Decke des Reaktors positioniert sind. Dieses Brausekopfsystem umfasst ferner eine Vielzahl von eingebetteten Magneten, um das Verstopfen der Öffnungen zu vermindern. Das US-Patent mit der Nr. 5 134 965, erteilt für Tokuda et al., offenbart ein Behandlungssystem, in welchem Prozessgas durch Einlässe auf der Decke einer Behandlungskammer injiziert wird. Das Gas wird in Richtung eines hochdichten Plasmabereiches zugeführt. Dieses System verwendet Mikrowellenenergie und ist nicht für eine Hochfrequenz-Plasmabehandlung optimiert. Das US-Patent mit der Nr. 5 522 934, erteilt für Suzuki et al., offenbart ein System, in welchem ein inertes Gas (eher als ein Prozessgas) durch die Mitte der Kammerdecke injiziert wird.
  • Es gibt somit einen Bedarf für eine Optimierung der Gleichförmigkeit und der Abscheidung für die Hochfrequenz-Plasmabehandlung von einem Substrat, wobei ein Verstopfen der Gaszufuhröffnungen und der Aufbau von Behandlungsnebenprodukten/Prozessnebenprodukten vermieden wird und der konvektive Transport über dem Wafer verbessert wird.
  • JP 59 094811 A offenbart eine Plasma-CVD-Behandlungsvorrichtung zum Ausbilden einer Schicht eines chemischen Verbundes auf einem Objekt mit einer komplizierten nicht ebenen Konfiguration, durch Verwenden von Gasstrahldüsen, welche oberhalb und unterhalb des Objektes positioniert sind, so dass Schichten auf entgegengesetzten Seiten des Objektes erzeugt werden.
  • Zusammenfassung der Erfindung
  • Es ist eine Aufgabe der vorliegenden Erfindung, ein Gasverteilungssystem für HDPCVD zur Verfügung zu stellen, welches eine gleichförmige Zufuhr von gasförmigen Reaktionspartnern (Reaktant-Gasen) mit großer Strömungsgeschwindigkeit zur Verfügung stellt, welche vorzugsweise auf der Substratoberfläche fokussiert ist, um beides zu erreichen, das Maximieren der Abscheidungsgeschwindigkeit (Abscheidungsrate) auf dem Substrat und das Minimieren der Reinigungsanforderungen der Kammer. Es ist eine weitere Aufgabe der vorliegenden Erfindung, die Gaseinjektionshardware thermisch zu steuern, um die Partikelanzahl innerhalb der Kammer zu vermindern, durch Minimieren von Abblätterungen von den inneren Kammeroberflächen und durch Minimieren der Teilchenbildung, welche durch thermische Pyrolyse innerhalb der Injektionshardware verursacht wird. Es ist noch eine weitere Aufgabe, ein Gasverteilungssystem zum gleichförmigen Ätzen und Abscheiden von Filmen auf Substraten mit großer Fläche während der Plasmabehandlung von Halbleiterwafern und Flachbildschirmen zur Verfügung zu stellen. Es ist noch eine weitere Aufgabe, eine Zufuhr von reaktiven Zwischengasen mit hoher Strömungsgeschwindigkeit zu einer Substratoberfläche zum gleichförmigen Ätzen und Abscheiden quer über der Substratoberfläche zur Verfügung zu stellen.
  • Gemäß eines Aspektes der vorliegenden Erfindung wird ein Plasmabehandlungssystem zur Verfügung gestellt, welches umfasst:
    eine Plasmabehandlungskammer;
    einen Substratträger, welcher ein ebenes Substrat derart innerhalb der Behandlungskammer trägt, dass die Oberfläche einer Seite des Substrats vollständig durch den Substratträger abgedeckt wird und die Oberfläche einer entgegengesetzten Seite des Substrats für eine Behandlung derselben freiliegend ist;
    ein dielektrisches Element, welches eine innere Oberfläche aufweist, die dem Substratträger gegenübersteht, wobei das dielektrische Element eine Wand der Behandlungskammer ausbildet;
    eine Gaszufuhr, umfassend wenigstens ein lang ausgestrecktes Einspritzrohr, welches ein fernes Ende aufweist, das sich in die Behandlungskammer hinein erstreckt, und welches eine oder mehrere Öffnungen in einer Seitenwand desselben aufweist, wobei die Gaszufuhr Prozessgas in die Kammer hinein zuführt; und
    eine HF-Energiequelle, welche HF-Energie induktiv durch das dielektrische Element hindurch und in die Kammer hinein koppelt, um das Prozessgas in einen Plasmazustand zu energetisieren, um das Substrat zu behandeln;
    wobei ein elektrisches Feld um das Einspritzrohr herum erzeugt wird, in Reaktion auf das Energetisieren des Prozessgases, das elektrische Feld an dem fernen Ende des Einspritzrohrs konzentriert wird, und die eine oder die mehreren Öffnungen mit Abstand zu dem konzentrierten elektrischen Feld angeordnet sind.
  • Das Prozessgas kann aus einem oder mehreren gasförmigen Reaktionspartnern (Reaktant-Gasen) und/oder einem oder mehreren Inertgasen zusammengesetzt sein.
  • Gemäß eines weiteren Aspektes der vorliegenden Erfindung wird ein Verfahren zur Plasmabehandlung von einem Substrat zur Verfügung gestellt, umfassend:
    das Anordnen eines ebenen Substrats auf einem Substratträger in einer Behandlungskammer, derart, dass die Oberfläche einer Seite des Substrats vollständig durch den Substratträger abgedeckt wird und die Oberfläche einer entgegengesetzten Seite des Substrats zur Behandlung derselben freiliegend ist, wobei eine innere Oberfläche eines dielektrischen Elements, welches eine Wand der Behandlungskammer ausbildet, dem Substratträger gegenübersteht;
    das Zuführen von Prozessgas in die Behandlungskammer hinein aus einer Gaszufuhr, umfassend wenigstens ein lang ausgestrecktes Einspritzrohr, welches ein fernes Ende aufweist, das sich in die Prozesskammer hinein erstreckt, und welches eine oder mehrere Öffnungen in einer Seitenwand desselben aufweist; und
    das Energetisieren des Prozessgases in einen Plasmazustand, durch induktives Koppeln von HF-Energie, welche durch eine HF-Energiequelle produziert wird, durch das dielektrische Element in die Behandlungskammer hinein, wobei das Prozessgas mit der freiliegenden Seitenoberfläche des Substrats in einer Plasmaphase reagiert, wobei ein elektrisches Feld um das Einspritzrohr herum erzeugt wird, in Reaktion auf die Energetisierung des Prozessgases, das elektrische Feld an dem fernen Ende des Einspritzrohres konzentriert wird, und die eine oder mehreren Öffnungen mit Abstand zu dem konzentrierten elektrischen Feld angeordnet sind. Die Gaszufuhr kann einen oder mehrere Ringe umfassen.
  • Ein Kühlmechanismus kann ebenso vorgesehen sein, um die Gaszufuhr während des Behandelns zu kühlen, um ein Abblättern des Films von den Gasringoberflächen zu minimieren und ein übermäßiges Aufheizen zu verhindern, was zu unerwünschten thermischen Entmischungen des Prozessgases führen könnte. Das Prozessgas wird vorzugsweise durch eine oder mehrere Öffnungen zugeführt, welche außerhalb der Bereiche positioniert sind, in welchen die elektrischen Feldlinien konzentriert sind. Wenigstens etwas von dem Gas wird vorzugsweise in Richtung einer primären Ionengenerationszone eingespritzt (injiziert). Substrate können nacheinander in der Behandlungskammer behandelt werden, durch Kontaktieren der Substrate mit dem Plasmagas.
  • Weitere vorzuziehende Merkmale der Erfindung werden in den angehängten Ansprüchen beschrieben.
  • Kurze Beschreibung der Zeichnungen
  • Die 1 stellt ein herkömmliches Plasmabehandlungssystem dar;
  • die 2a und 2b stellen Plasmabehandlungssysteme dar, welche als Hintergrund zum Verständnis der vorliegenden Erfindung nützlich sind;
  • die 3a und 3b zeigen experimentelle Daten, welche die Wirkungen des Variierens von jeweils der radialen Position der Injektoren und der Richtung der Einspritzung darstellen, in dem Plasmabehandlungssystem, das in den 2a und 2b dargestellt ist;
  • die 4 stellt exemplarisch Stromlinien der Strömung von Gas in die Plasmabehandlungssysteme, welche in den 2a und 2b dargestellt sind, dar;
  • die 5 stellt qualitativ beispielhafte Richtungen von einem Gas dar, welches auf ein Substrat gerichtet wird, in den Behandlungssystemen, welche in den 2a und 2b dargestellt sind;
  • die 6 und 7 stellen weiteres Plasmabehandlungssysteme als Hintergrund zu der vorliegenden Erfindung dar;
  • die 8a bis 8d stellen detaillierte Ansichten von beispielhaften Injektoren dar, welche in den Plasmabehandlungssystemen verwendbar sind, welche als Hintergrund dargestellt werden;
  • die 9a bis 9c und 10a bis 10b stellen detaillierte Ansichten von beispielhaften Gasringen dar, welche in den Plasmabehandlungssystemen verwendbar sind, die als Hintergrund dargestellt werden;
  • die 11 stellt eine detaillierte Ansicht eines beispielhaften Injektors dar, welcher in den Plasmabehandlungssystemen verwendbar ist, die als Hintergrund dargestellt werden;
  • die 12a bis 12b stellen ein Problem der verstopften Öffnungen in den Injektoren des Typs dar, welcher in den 8b, 8c, 8d und 11 gezeigt ist;
  • die 13a bis 13b zeigen, wie das Problem der verstopften Öffnungen in einem modifizierten Injektor gelöst wird, welcher in einem Plasmabehandlungssystem gemäß der vorliegenden Erfindung verwendet wird;
  • die 14a bis 14b stellen ein exemplarisches Plasmabehandlungssystem gemäß der vorliegenden Erfindung dar;
  • die 15a bis 15d stellen beispielhafte Injektoren dar, welche in dem Plasmabehandlungssystem der vorliegenden Erfindung verwendbar sind;
  • die 16 stellt im Detail einen beispielhaften Injektor dar, welcher in dem Plasmabehandlungssystem der vorliegenden Erfindung verwendbar ist;
  • die 17 stellt das Problem des Aufbaus von Nebenprodukten dar, welches durch die herkömmlichen Brausekopfverteilungssysteme begründet ist;
  • die 18 stellt eine modifizierte Form des Verteilungssystems dar;
  • die 19a bis 19b stellen beispielhafte Brausekopfdüsen dar, welche in dem Plasmabehandlungssystem der vorliegenden Erfindung verwendbar sind; und
  • die 20 stellt eine modifizierte Brausekopfdüse dar, welche in dem Plasmabehandlungssystem der vorliegenden Erfindung verwendbar ist.
  • Detaillierte Beschreibung der vorzuziehenden Ausführungen
  • Die vorliegende Erfindung stellt ein verbessertes Gaseinspritzungssystem (Gasinjektionssystem) zur Plasmabehandlung von Substraten wie durch Ätzen oder CVD zur Verfügung. Das Einspritzungssystem kann verwendet werden, um Gase, wie zum Beispiel Gase, die Silizium, Halogen (zum Beispiel F, Cl, Br etc.), Sauerstoff, Wasserstoff, Stickstoff etc. beinhalten, einzuspritzen. Das Einspritzungssystem kann alleine oder zusätzlich zu anderen Zufuhranordnungen für Reaktant-/Inert-Gas verwendet werden.
  • Gemäß einer Ausführung der Erfindung wird eine Einspritzrohranordnung vorgesehen, wobei Auslässe in den Einspritzrohren mit einem Abstand entfernt von dem geschlossenen fernen Ende (fern gelegenen Ende) des Injektors positioniert sind, so dass das Verstopfen der Auslässe vermieden werden kann. Insbesondere sind die Auslässe in einem Bereich mit einer reduzierten elektrischen Feldstärke positioniert, in welchem es eine reduzierte plasmainduzierte Reaktantentmischung gibt. Das bedeutet, aufgrund des Vorliegens von einem dünnen (zum Beispiel < 1 mm) Plasmamantel, welcher das ferne Ende der Einspritzrohre umschließt, dass die elektrischen Feldlinien (welche durch den Unterschied in dem Potential zwischen dem Plasma und den geerdeten Einspritzrohren erzeugt werden) wirklich groß sein können, insbesondere an der fern gelegenen Spitze der Einspritzrohre. In dem Mantel, welcher sich entlang des gesamten mit Plasma benetzten Bereiches des Einspritzrohres ausformt, sind die elektrischen Feldlinien senkrecht zu dem Mantel an allen Positionen und werden an der fern gelegenen Spitze konzentriert. Dieses lokal verstärkte elektrische Feld führt zu der lokal vergrößerten Abscheidung während des Ätzens oder der Abscheidung (Diposition), was die Auslässe, die in solchen Bereichen positioniert sind, ultimativ verstopfen kann. Gemäß der Erfindung sind die Auslässe des Einspritzrohres jenseits des verstärkten elektrischen Feldes positioniert, so dass die Anfälligkeit gegenüber einem Verstopfen vermindert wird, insbesondere während der aufeinander abfolgenden Plasmabehandlung von individuellen Substraten, wie zum Beispiel von Halbleiterwafern.
  • Die 2a und 2b stellen ein Plasmabehandlungssystem dar. Bezugnehmend auf die 2a und 2b umfasst ein Plasmabehandlungssystem zum Behandeln eines Substrates 120 einen Substratträger 130 und eine Behandlungskammer 140, welche den Substratträger umschließt. Das Substrat 120 kann zum Beispiel ein Halbleiterwafer sein, welcher Durchmesser wie zum Beispiel 0,1 m, 0,15 m, 0,2 m, 0,3 m (4'', 6'', 8'', 12'') etc. aufweist, ein Glassubstrat zum Herstellen eines Flachbildschirms und so weiter. Der Substratträger 130 kann zum Beispiel eine mit HF-Leistung versorgte Elektrode umfassen. Der Substratträger 130 kann von einer unteren Endwand der Kammer 140 getragen werden oder kann freitragend sein, indem er sich zum Beispiel von einer Seitenwand der Kammer 140 aus erstreckt. Das Substrat 120 kann an die Elektrode 130 entweder mechanisch oder elektrostatisch gespannt sein. Die Prozessbehandlungskammer 140 kann zum Beispiel eine Vakuumkammer sein.
  • Ein Substrat, welches behandelt werden soll, wird in die Behandlungskammer 140 eingesetzt. Das Substrat wird in der Behandlungskammer dadurch behandelt, dass ein Prozessgas in der Behandlungskammer in ein hochdichtes Plasma energetisiert wird. Eine Energiequelle hält das Plasma hoher Dichte (zum Beispiel 105 bis 106 Ionen/m3 (1011 bis 1012 Ionen/cm3)) in der Kammer aufrecht. Zum Beispiel koppelt eine Antenne 150, wie zum Beispiel die ebene Spiralspule mit mehreren Windungen, die in den 2a und 2b gezeigt ist, eine nicht ebene Spule mit mehreren Windungen oder eine Antenne, welche eine andere Form aufweist, welche durch eine geeignete HF-Quelle und durch eine geeignete Schaltung zur HF-Impedanzabgleichung mit Leistung versorgt wird, induktiv HF-Energie in die Kammer ein, um ein Plasma mit hoher Dichte zu erzeugen. Das Plasma kann jedoch auch durch andere Quellen erzeugt werden, wie zum Beispiel durch Quellen des Typs ECR, Parallelplatten, Helicon, spiralförmiger Resonator etc. Die Kammer kann eine geeignete Vakuumpumpvorrichtung zum Halten des Innenraums der Kammer auf einem gewünschten Druck (zum Beispiel unterhalb von 650 Pa (5 Torr)), vorzugsweise auf 0,13 bis 13,3 Pa (1–100 mTorr)) umfassen. Ein dielektrisches Fenster, wie zum Beispiel das ebene dielektrische Fenster 155 mit gleichförmiger Dicke, welches in den 2a und 2b gezeigt ist, ein nicht ebenes dielektrisches Fenster oder ein dielektrisches Element in der Form eines Brausekopfes (Showerhead), eine Gasverteilungsplatte etc., ist zwischen der Antenne 150 und dem Innenraum der Behandlungskammer 140 vorgesehen und bildet die Vakuumwand an dem oberen Ende der Behandlungskammer 140 aus.
  • Eine Gaszufuhr, welche Prozessgas in die Kammer zuführt, umfasst einen primären Gasring 170 unter dem dielektrischen Fenster 155. Der Gasring 170 kann mechanisch an dem Kammergehäuse über dem Substrat angeschlossen sein. Der Gasring 170 kann zum Beispiel aus Aluminium oder galvanisiertem Aluminium hergestellt sein.
  • Die Gaszufuhr umfasst einen sekundären Gasring 160 unter dem dielektrischen Fenster 155. Das Prozessgas kann ein oder mehrere Gase wie Ar und O2 umfassen, welche in die Kammer 140 durch Öffnungen in dem sekundären Gasring 160 zugeführt werden. Jeder geeignete Gasring kann als der sekundäre Gasring 160 verwendet werden. Der sekundäre Gasring 160 kann oberhalb von dem Gasring 170, getrennt von diesem durch einen optionalen Abstandhalter 165, welcher aus Aluminium oder galvanisiertem Aluminium hergestellt ist, positioniert sein, wie in der 2a gezeigt ist. Alternativ kann, obwohl dies nicht gezeigt ist, der sekundäre Gasring 160 unterhalb von dem Gasring 170, zwischen dem Gasring 170 und dem Substrat 120 positioniert sein. Noch eine weitere Alternative ist, dass Ar und O2 durch Öffnungen in einem Gasring 162 zugeführt werden, welcher an den Kammerboden angeschlossen ist, wie in der 2b gezeigt ist, wobei der Abstandhalter 165 das dielektrische Fenster 155 und den primären Gasring 170 trennt. Das Gas wird zu den Gasringen 160 und 170 über Verteilerräume 160a, 170a aus geeigneten Zufuhröffnungen für Gas, welche an eine oder mehrere Gasquellen (nicht gezeigt) angeschlossen sind, zugeführt.
  • Die Gaszufuhr kann ferner eine Vielzahl von abnehmbaren Einspritzrohren 180 umfassen, welche an dem primären Gasring 170 angeschlossen sind, um wenigstens einen Teil eines Prozessgases, wie zum Beispiel SiH4 oder ein ähnliches siliziumbeinhaltendes Abscheidungsgas wie SiF4, TEOS und so weiter, auf das Substrat 120 zu leiten. Diese Gase werden zu dem Substrat aus den Injektoren 180 durch die Injektoraustrittsöftnungen 187 zugeführt. Zusätzlich können Reaktantgase (gasförmige Reaktionspartner) durch Öffnungen in dem primären Gasring 170 zugeführt werden. Die Injektoren können aus jedem geeigneten Material, wie zum Beispiel Aluminium, galvanisiertem Aluminium, Quarz oder Keramiken wie Al2O3 hergestellt sein. Prozessgas wird zu diesen Injektoren aus einer Gasquelle über den Verteilerraum 170a zugeführt. Obwohl in den 2a und 2b zwei Injektoren gezeigt sind, kann jede Anzahl von Injektoren verwendet werden. Zum Beispiel kann ein Injektor an jeder der Öffnungen auf dem primären Gasring 170 angeschlossen sein. Vorzugsweise werden acht bis zweiunddreißig Injektoren auf einem Ring 170 mit einem Durchmesser von 0,02 bis 0,021 m (200 bis 210 mm) für ein Substrat von 0,02 m (200 mm) verwendet. Die Injektoren 180 sind oberhalb der Ebene des Substrates 120 positioniert, wobei ihre Öffnungen auf jedem geeigneten Abstand, wie zum Beispiel 0,03 bis 0,1 Meter (drei bis zehn Zentimeter) enternt von dem Substrat liegen.
  • Die Injektoren können mit Abstand innerhalb, nahe oder außerhalb des Substratumfanges liegen, zum Beispiel 0 bis 0,05 Meter (null bis fünf Zentimeter) oder mehr entfernt von dem Substratumfang. Dies hilft sicherzustellen, dass jegliche mögliche Teilchenabblätterungen aus den Injektoren nicht auf das Substrat fallen und es verunreinigen werden. Die Injektoren können alle dieselbe Länge aufweisen, oder alternativ kann eine Kombination aus verschiedenen Längen verwendet werden, um die Abscheidungsgeschwindigkeit und – gleichförmigkeit zu erhöhen. Die Injektoren sind derart ausgerichtet, dass wenigstens einige der Injektoren das Prozessgas in eine Richtung leiten, welche die freiliegende Oberfläche des Substrates schneidet.
  • Die Injektoren sind derart ausgerichtet, dass sie das Prozessgas in einer Richtung einspritzen, welche eine freiliegende Oberfläche des Substrates in einem spitzen Winkel schneidet. Der Winkel oder die Achse der Einspritzung kann von rund 15 bis < 90 Grad, vorzugsweise 15 bis 45 Grad gegenüber der horizontalen Ebene des Substrates reichen. Der Winkel oder die Achse der Einspritzung kann parallel zu der Achse des Injektors oder alternativ auf einem Winkel von bis zu 90 Grad mit Bezug auf die Achse des Injektors liegen. Der Durchmesser der Austrittsöffnung von dem Injektor kann zwischen 2,5 × 10–4 m (0,010 Inches) und 1,5 × 10–3 m (0,060 Inches) betragen, vorzugsweise 5,1 × 10–4 m (0,020 Inches) bis 1,01 × 10–3 m (0,040 Inches). Der hohle Kern des Injektors 180 kann derart gebohrt sein, dass er das Zweifache des Durchmessers der Austrittsöffnungen 187 beträgt, um sicherzustellen, dass eine Schallgeschwindigkeit an dem Austritt der Öffnung und nicht innerhalb des Kerns des Injektors auftritt. Die Strömungsgeschwindigkeit von SiH4 beträgt vorzugsweise zwischen 2,5 × 10–5 bis 3,0 × 10–4 m3 (25 bis 300 sccm) für ein Substrat von 0,02 m (200 mm), aber sie kann bei größeren Substraten größer sein.
  • Aufgrund der kleinen Öffnungsgröße und der Anzahl von Injektoren und großen Strömungsgeschwindigkeiten von SiH4 kann sich ein großes Druckdifferential zwischen dem Gasring 170 und dem Inneren der Kammer entwickeln. Zum Beispiel bei einem Gasring mit einem Druck von > 133 Pa (1 Torr) und einem Innenraum der Kammer mit einem Druck von rund 1,3 Pa (10 mTorr) beträgt das Druckdifferential rund 100 : 1. Dies führt zu einer gedrosselten Schallgeschwindigkeitsströmung in den Öffnungen der Injektoren. Die innere Öffnung des Injektors kann ebenso konturiert sein, um eine Überschallgeschwindigkeit an dem Auslass zur Verfügung zu stellen.
  • Das Einspritzen des SiH4 mit Schallgeschwindigkeit hindert das Plasma daran, in die Injektoren einzudringen. Diese Ausführung verhindert eine plasmainduzierte Entmischung des SiH4 und die nachfolgende Bildung von amorphen Siliziumrückständen innerhalb des Gasringes und der Injektorverlängerungsrohre.
  • Eine Kombination aus konvektiver Kühlung und Strahlungskühlung kann verwendet werden, um die Temperaturen der Kammerwand und des Gasrings auf vorzugsweise weniger als rund 100°C während des Behandelns zu beschränken. Alternativ kann ein zirkulierendes Fluid, vorzugsweise mit –20 bis 100°C, innerhalb der Kammerwand verwendet werden, um die Temperaturen der Wand und des Gasringes zu steuern. Wenn die Gasringtemperatur auf weniger als 100C° gehalten wird, wird keine thermische Entmischung von SiH4 innerhalb des Gasrings beobachtet. Zudem, weil der Gasring effektiv eine elektrisch geerdete, geschlossene Metallkammer ist, sind keine signifikanten elektrischen Felder innerhalb des Gasringes vorhanden, was die Plasmabildung innerhalb des Ringes verhindert.
  • Das Plasmabehandlungssystem stellt im allgemeinen eine vergrößerte Abscheidungsgeschwindigkeit und eine verbesserte Gleichförmigkeit auf dem Substrat zur Verfügung, im Vergleich zu herkömmlichen Gasverteilungssystemen, durch Konzentrieren des siliziumbeinhaltenden Prozessgases oberhalb von dem Substrat und durch vorzuziehendes Richten des Prozessgases auf spezifische Bereiche des Substrates. Die nachfolgende Beschreibung beschreibt experimentelle Daten, welche die verbesserte Fähigkeit des Plasmabehandlungssystems darstellen, und welche ebenso den relevanten theoretischen Hintergrund kurz beschreibt.
  • Die 3a stellt zwei exemplarische SiO2-Abscheidungsprofile für ein Plasmabehandlungssystem dar, in welchem die Gasinjektoren auf verschiedenen Positionen mit Bezug auf das Substrat positioniert sind. Beide von diesen Fällen wurden unter denselben Abscheidungszuständen erzielt (Leistung der Plasmaquelle = 2000 Watt, Elektrodenvorspannungsleistung = 2000 Watt, SiH4 Strömung = 1,8 × 10–5 m3 (180 sccm), O2 Strömung = 3,0 × 10–5 m3 (300 sccm), Druck = 1,54 Pa (12 mTorr), 22,5 Grad Einspritzwinkel mit Bezug auf die Substratebene nach unten), mit einem nicht optimierten primären Gasring 170. Der Fall 1 (leere Kästchen) zeigt experimentelle Daten, wobei Öffnungen des Injektors 180 (16 Stück mit gleichem Abstand in Umfangsrichtung zueinander angeordnet) rund 0,5 Zentimeter außerhalb von dem Substratumfang positioniert waren, wohingegen der Fall 2 (leere Dreiecke) experimentelle Daten zeigt, wobei die Injektoröffnungen 187 rund 2 Zentimeter außerhalb des Substratumfanges positioniert waren. In beiden von diesen Beispielen waren die Injektoröffnungen 187 rund 5 Zentimeter oberhalb des Substrates 120 positioniert. Allgemein gesagt, in dem Plasmabehandlungssystem gemäß der vorliegenden Erfindung hat die radiale Position der Injektoröffnungen, solange sich die vertikale Position der Injektoröffnungen 187 ein paar Zentimeter oder mehr oberhalb des Substrates 120 befindet, einen viel größeren Einfluss auf die Abscheidungsgeschwindigkeit, als dies die vertikale Position hat.
  • In dem Fall 1 ist die Gesamtabscheidungsgeschwindigkeit größer, welche 1,8 × 10–8 m/s (10800 Angstrom/Minute) beträgt, im Vergleich zu 1,53 × 10–8 m/s (9200 Angstrom/Minute) für den Fall 2. Dies liegt daran, weil in dem Fall 1 das siliziumbeinhaltende Prozessgas stärker über der Mitte des Substrates konzentriert wird. Die vergrößerte Abscheidungsgeschwindigkeit in dem Fall 1 geht jedoch zu Lasten einer verminderten Gleichförmigkeit, welche 8,1% (1σ) in dem Fall 1 betrug, im Vergleich zu 4,1% in dem Fall 2. Durch Konzentrieren eines größeren Teils des siliziumbeinhaltenden Prozessgases auf die und oberhalb von der Mitte des Substrates wird die Abscheidungsgeschwindigkeit auf dem äußeren (radialen) Bereich des Substrates nicht in dem selben Verhältnis wie die Abscheidungsgeschwindigkeit in der Mitte vergrößert. Auf der anderen Seite wird durch Positionieren der Injektoröffnungen 187 weiter außerhalb die Gesamtabscheidungsgeschwindigkeit vermindert, aber die Gleichförmigkeit wird verbessert. Somit gibt es bei einem konstanten Einspritzwinkel (in diesem Fall von 22,5 Grad) mit Bezug auf das Substrat eine Verschiebung zwischen der Abscheidungsgeschwindigkeit und der Gleichförmigkeit, welche auftritt, wenn die radiale Position des Einspritzpunktes variiert wird.
  • Die Richtung der Einspritzung aus dem Gasring 170 kann jedoch für jeden Injektor optimiert werden, so dass er das Prozessgas vorzugsweise auf spezifische Bereiche des Substrates leitet. Zum Beispiel kann der Winkel der Einspritzung beim Optimieren des Gasringes 170 für den Fall 1 derart eingestellt werden, dass er vorzugsweise mehr siliziumbeinhaltendes Gas auf die Substratoberfläche gerade eben innerhalb des Umfangs des Substrats richtet. Dies würde zu einer Vergrößerung der lokalen Abscheidungsgeschwindigkeit auf dem Substrat führen und dadurch die Gleichförmigkeit verbessern.
  • Die 3b zeigt experimentelle Daten, welche die Fähigkeit des Plasmabehandlungssystems zum Optimieren der Abscheidungsgeschwindigkeit und der Gleichförmigkeit durch Auswählen des geeigneten Einspritzwinkels für eine vorgegebene Einspritzposition darstellen. Beide Fälle, welche in der 3b dargestellt sind, wurden mit denselben Zuständen erzielt (Leistung der Plasmaquelle = 2500 Watt, Elektrodenvorspannungsleistung = 2000 Watt, SiH4 Strömung = 2,5 × 10–4 m3 (250 sccm), O2 Strömung = 3,5 × 10–4 m3 (350 sccm), Druck = 1,82 Pa (14 mTorr)), mit identischen Einspritzpositionen (16 Injektoren, welche in Umfangsrichtung mit gleichem Abstand zueinander angeordnet waren, näherungsweise 2 Zentimeter außerhalb von und näherungsweise 6 Zentimeter oberhalb von dem Substrat), wobei der Gasring 170 verwendet wurde. In dem Fall 3 (leere Kreise) betrug der Einspritzwinkel 0 Grad (parallel zu dem Substrat), wohingegen in dem Fall 4 (ausgefüllte Quadrate) der Winkel der Einspritzung 30 Grad nach unter (in Richtung zu dem Substrat) betrug. In dem Fall 3 betrug die Abscheidungsgeschwindigkeit 10800 Angstrom/Minute, wobei die Gleichförmigkeit 5,3% betrug, wobei die Abscheidungsgeschwindigkeit nahe dem Substratumfang am geringsten war. Ähnlich zu den Ergebnissen, welche in der 3b gezeigt sind, konnte die Gleichförmigkeit des Falles 3 durch Bewegen der Einspritzposition nach weiter außerhalb des Substrates verbessert werden. Dies würde jedoch ebenso zu einer wesentlich verminderten Abscheidungsgeschwindigkeit führen (in der 3a wurde die Gleichförmigkeit nahezu verdoppelt, aber mit einem 15% Verlust in der Abscheidungsgeschwindigkeit). Durch Einstellen des Einspritzwinkels auf 30 Grad nach unter, so wie in dem Fall 4, wird die Abscheidung auf den äußeren Bereich des Substrates vergrößert und die Gleichförmigkeit auf 2,5% verbessert, während nahezu dieselbe Gesamtabscheidungsgeschwindigkeit beibehalten wird.
  • Dieses Beispiel hat ein unerwartetes Ergebnis gezeigt, welches durch das Plasmabehandlungssystem zur Verfügung gestellt wird, einer verbesserten Abscheidungsgleichförmigkeit ohne einen Verlust in der Abscheidungsgeschwindigkeit. Dies kann für den erheblichen Vorteil verwendet werden, den Substratdurchsatz während der Behandlung von Halbleitern zu vergrößern.
  • Das Plasmabehandlungssystem entsprechend dieser Ausführung stellt einen gleichförmigen, eher gerichteten als diffusiven Fluss von SiH4 auf ein Substrat bei typischen HDPCVD-Zuständen zur Verfügung. Dementsprechend werden in den meisten Fällen beständige Abscheidungsgleichförmigkeiten mit 1σ < 3% produziert. Dies wird ausgeführt durch sorgfältiges Überlagern der Sprühungen der individuellen Injektoren, so dass die Summe der Einspritzflüsse an jedem Punkt auf dem Substrat nahezu gleich ist.
  • Die theoretische Grundlage zum Vergrößern der Abscheidungsgeschwindigkeit nahe dem Substratumfang, ohne die Geschwindigkeit nahe der Mitte des Substrates signifikant zu reduzieren, kann aus der nachfolgenden Beschreibung verstanden werden. Typischerweise treten Freistrahlexpansionen aus Düsen mit Schallgeschwindigkeit in der Kontinuumströmungsgrenze auf, was zu einer beschränkten Expansion aufgrund der Bildung einer Tonnenstoß (barrel shock)/Mach-Scheiben-Struktur führt. Mit solchen beschränkten Expansionen wird man nicht erwarten, in der Lage zu sein, eine gleichförmige Flussverteilung über dem Substrat mit einer relativ kleinen Anzahl von Injektoren zu erreichen. Die Dichte des Strahls und die Kammerumgebung sind so niedrig, dass der Strahl schnell in das System der freien Molekularströmung übergeht.
  • In diesem System der freien Molekularströmung wird der Strahl so dünn, dass eine Stoßstruktur nicht ausgebildet werden kann, und sich der Strahl einfach als eine Prandtl-Meyer-Expansion expandiert, mit einer effektiv eingefrorenen (konstanten) Temperatur und Geschwindigkeit. Die 4 stellt beispielhafte Stromlinien des Gasstrahls aus einem Injektor dar. Bezugnehmend auf die 4 scheinen die Stromlinien der Strömung bei der Expansion aus einer Punktquelle auszustrahlen. Die Dichte nimmt entlang von jeder Stromlinie proportional zu dem inversen Quadrat des Abstandes von der Quelle ab, und die Variation der Dichte von Stromlinie zu Stromlinie (mit dem Polarwinkel Θ) ist nahezu unabhängig von der Polarkoordinate R. Somit beträgt zum Beispiel bei einer beispielhaften Strömungsrate von 2,04 × 10–4 m3 (200 sccm) SiH4 aus 16 Injektoren, welche Öffnungen mit einem Durchmesser von 5,1 × 10–4 m (0,020 Inch) aufweisen, einem Kammerdruck von 1,3 Pa (10 mTorr) und einem Gasringdruck von 518 Pa (3,9 Torr) der gesamte umfasste Winkel der konischen Expansion näherungsweise 150 Grad. Diese Expansion ist weniger divergent und somit mehr gerichtet als die Kosinusverteilung, welcher einer reinen Ausströmung zugeordnet ist.
  • Die Dichte der Mittellinie nimmt ab als das Quadrat des Abstandes von dem Strahlaustritt. Das bedeutet, die lokale Gasdichte ρ wird gegeben als: ρ(R, Θ = 0)α(ρ(R = 0, Θ = 0))/R2, (1)wobei R und Θ Polarkoordinaten sind, zentriert an dem Strahlaustritt, mit Θ = 0 definiert als die Strahlachse. Zudem nimmt die Dichte für solch eine Expansion mit einer cos2 Θ Abhängigkeit ab, das bedeutet: ρ(R, Θ) = ρ(R, Θ) cos2(πΘ/2ϕ), (2)wobei ϕ eine empirische Konstante ist, welche von dem Isentropenexponent (specific heat ratio) für das eingespritzte Gas abhängig ist. Zum Beispiel gilt ϕ = 1,66 für Stickstoff. Durch Kombinieren der Gleichung 1 und der Gleichung 2 und durch Realisieren, dass die Geschwindigkeit über einige wenige Strahldurchmesser konstant ist, wird der Fluss J als eine Funktion der Position innerhalb der Expansion bestimmt zu: JSiH4(R, Θ) = Konstante·ρ(R, Θ), (3)wobei JSiH4 der Fluss von SiH4 ist.
  • Die 5 stellt qualitativ dar, wie ein gleichförmiger SiH4-Fluss auf das Substrat geleitet werden kann. Bezugnehmend auf die 5 nehme man an, dass der Fluss, welcher auf das Substrat in einem Punkt A entlang einer Mittellinie des Strahls auftrifft, der gewünschte Fluss ist. An einem Punkt B außerhalb der Achse wird der radiale Abstand von der Achse zu dem Strahl vermindert, wohingegen der Winkel der Stromlinie mit Bezug auf die Mittellinie des Strahls vergrößert wird. Die Flussabhängigkeit von R und Θ sind daher komplementär und führen zu einem weitgehend gleichförmigen Fluss. Das bedeutet, der verminderte radiale Abstand wirkt derart, dass er den Strahlfluss vergrößert, wohingegen der vergrößerte Stromlinienwinkel Θ derart wirkt, dass er den Strom vermindert. An dem außerhalb der Achse gelegenen Punkt C ist beides, die radiale Position und der Stromlinienwinkel, im Hinblick auf den Punkt A vergrößert. Dies führt zu einem verminderten Fluss in der Mitte des Substrats ausgehend von dem Injektor, aber dies kann dadurch kompensiert werden, dass Sprühkoni von den anderen, zum Beispiel 15 Injektoren entlang des Umfangs des Substrates überlappt werden. Ähnliche Beobachtungen können für die anderen Punkte auf dem Substrat gemacht werden. Die Gleichförmigkeit wird zudem erhöht, wegen der großen konischen Expansion von jedem Strahl.
  • Die vereinfachte Analyse, welche oben beschrieben worden ist, berücksichtigt keine Gasphasenkollisionen. Bei einem mittleren freien Weg in der Größe von einem Zentimeter kann man erwarten, dass mehrere Gasphasenkollisionen auftreten, bevor die SiH4-Moleküle das Substrat erreichen. Diese Kollisionen wirken derart, dass sie den gerichteten Fluss von SiH4 etwas streuen, aber die Strahlen behalten immer noch eine in wesentlich größerem Umfang gerichtete Natur als eine rein diffusive Quelle. Es ist diese eher gerichtete als diffusive Natur des Plasmabehandlungssystems gemäß der vorliegenden Erfindung, welche zu den lokal erhöhten Abscheidungsgeschwindigkeiten führt.
  • Die 6 stellt ein anderes Plasmabehandlungssystem dar. Das Plasmabehandlungssystem, welches in der 6 dargestellt ist, ist ähnlich zu jenem, welches in der 2a gezeigt ist, mit der Ausnahme, dass der primäre Ring 170 in der 6 freitragend und wassergekühlt ist. Gemäß dieser Ausführung wird Reaktantgas durch Öffnungen in den Gasring 170 zugeführt, welche in jeder Richtung ausgerichtet sein können. Vorzugsweise sind einige der Öffnungen in Richtung des Substrates ausgerichtet, um die Abscheidungsgeschwindigkeit (Abscheidungsrate) zu erhöhen.
  • Das Kühlen des Gasringes 170 mit Wasser kann dadurch ausgeführt werden, dass zwei unabhängige geschweißte Rohre (das heißt das Rohr 170 und das wassergekühlte Rohr 185) verwendet werden, wie in der 6 gezeigt ist, oder durch Verwenden einer doppelten (dualen) Rohrstruktur. Alternativ kann ein wassergekühltes Rohr (nicht gezeigt) spiralförmig um den Gasring 170 herum gewickelt sein. Das Kühlen des Gasrings 170 mit Wasser kann dadurch erreicht werden, dass Wasser durch den Einlass 185b in den Verteilerraum 185a des Rohres 185 zugeführt wird. Das Kühlen mit Wasser stellt eine thermische Steuerung zur Verfügung, um ein Abblättern von dem Gasring zu minimieren, und verhindert ebenso ein übermäßiges Aufheizen des Gasringes aufgrund seines Aussetzens gegenüber einem Plasma hoher Dichte. Zusätzlich kann ein Kühlen durch Strahlung verwendet werden, um die Temperaturen der Kammerwand und des Gasringes zu begrenzen und um eine thermische Entmischung zu vermeiden.
  • Die 7 zeigt ein weiteres Plasmabehandlungssystem. Bezugnehmend auf die 7 kann das Plasmabehandlungssystem einen freitragenden, wassergekühlten Gasring 170 und Injektoren 180 umfassen. Der Gasring 170 kann ebenso von dem Boden der Kammer aus getragen werden.
  • Entsprechend zu dieser Anordnung können Reaktant-Gase (gasförmige Reaktionspartner) auf dieselbe Art und Weise, wie sie oben beschrieben worden ist, in Richtung des Substrates eingespritzt werden. Kühlung durch Strahlung kann verwendet werden, um die Temperaturen der Kammerwand und des Gasringes zu beschränken. Zusätzlich kann der untere Gasring mit Wasser gekühlt werden, wie oben beschrieben worden ist.
  • Die 8a bis 8d zeigen detaillierte Ansichten von beispielhaften Injektoren in dem Plasmabehandlungssystem, welches oben beschrieben worden ist. Zur Einfachheit der Darstellung sind einige Elemente des Plasmabehandlungssystems wie die Antenne 150 und die Gasringe 160 und 170 nicht gezeigt. Die 8a und 8c stellen Beispiele von Ausrichtungen von dem Injektor 180 mit Bezug auf das Substrat 120 dar. Die 8a zeigt den Injektor 180 derart, dass dieser näherungsweise 45 Grad gegenüber der horizontalen Ebene des Substrates 120 ausgerichtet ist. Die 8c zeigt eine alternative aber weniger optimale Ausrichtung des Injektors 180 mit 90 Grad gegenüber der horizontalen Ebene des Substrates 120. Obwohl dies nicht gezeigt ist, beträgt vorzugsweise die Achse der Einspritzung (das heißt die Strömungsrichtung des Gases) 15 bis 45 Grad gegenüber der horizontalen Ebene des Substrates 120.
  • Die 8b und 8d stellen im Detail den Injektor 180 dar, welcher jeweils in den 8a und 8c gezeigt ist. Wie in den 8b und 8d gezeigt ist, ist der Kern des Injektors größer als der Durchmesser der Austrittsöffnung 187 des Injektors. Dies stellt sicher, dass die Schallströmung an der Austrittsöffnung und nicht innerhalb des Kerns des Injektors auftritt.
  • Die 9a bis 9c und 10a bis 10b stellen detaillierte Ansichten von beispielhaften Gasringen dar. Die 9a stellt eine Ansicht von oben des Gasringes 160 dar, und die 9b stellt eine Ansicht von unten des Gasringes 160 dar. Die 9c stellt eine detaillierte Ansicht von oben der Gaszufuhröffnung 160b und des Verteilerraums 160a des Gasringes dar. Auf ähnliche Art und Weise stellen die 10a und 10b jeweils eine Ansicht von oben und eine Ansicht von unten des Gasringes 170 und der Injektoren 180 dar.
  • Die 11 stellt eine detaillierte Ansicht von einem beispielhaften Einspritzrohr dar, welches in den Systemen benutzt wird, welche oben beschrieben sind. Das Einspritzrohr kann jede geeignete Abmaße aufweisen, wie zum Beispiel eine Länge von zum Beispiel 1,2 × 10–2 m bis 9,6 × 10–2 m (1/2 bis 4 Inches), einen äußeren Durchmesser von 3,75 × 10–3 m bis 7,5 × 10–3 m (0,15 bis 0,3 Inch), einen inneren Durchmesser von 1,25 × 10–3 m bis 3,75 × 10–3 m (0,05 bis 0,15 Inch), eine Auslassöffnung von 2,5 × 10–4 m bis 7,5 × 10–4 m (0,01 bis 0,03 Inch) und einen Montageflansch von rund 8,75 × 10–3 m (0,35 Inch) im Durchmesser bei einer Dicke von 1,25 × 10–3 m (0,05 Inch) mit einem Abstand von rund 0,05 Inch gegenüber dem fernen Ende des Injektors. In einer Ausführung, welche später beschrieben werden soll, kann die Auslassöffnung mit einem Abstand von 2,5 × 10–4 m (0,01 Inch) oder mehr gegenüber der fernen Spitze des Injektors angeordnet sein, und die Öffnung kann auf einem Winkel von 0 bis 90 Grad mit Bezug auf die Längsachse des Injektors ausgerichtet sein. Wie in den 8b und 8d ist es ersichtlich aus der 11, dass der innere Durchmesser des Einspritzrohres 180 größer ist als der Durchmesser der Austrittsöffnung 187 des Injektors. Dies stellt sicher, dass die Schallströmung an der Austrittsöffnung und nicht innerhalb des Kerns des Injektors auftritt. Das Einspritzrohr gemäß des ersten Aspekts der vorliegenden Erfindung kann jegliche gewünschten Abmaße aufweisen, welche in Abhängigkeit von den Serviceanforderungen des Einspritzrohrs ausgewählt werden können.
  • Während der Verwendung wird Gas von den fern gelegenen Enden der Injektoren eingespritzt, wobei wenigstens ein Teil des Gases in Richtung der Oberfläche des Substrates geleitet wird. Ein Problem, welches mit dem Einspritzen des Gases von dem fern gelegenen Ende von einem Injektor verbunden ist, ist jedoch, dass dies zu einem Verstopfen der Öffnung führen kann, aufgrund der Ablagerung von Nebenprodukten aus dem Reaktant-Prozessgas auf dem fern gelegenen Ende. Dies kann man mit Bezug auf die 12a bis b sehen.
  • Bezugnehmend auf die 12a ist das Plasma 200, welches durch Anregen des Prozessgases erzeugt worden ist, ein elektrisch leitendes Gas, welches auf einem angehobenen elektrischen Potential umläuft, das heißt dem Plasmapotential. Das Plasmapotential wird weitgehend durch die kapazitive Kopplung zwischen dem Plasma 200 und der HF-getriebenen Substratelektrode 130 bestimmt. Unter typischen Bedingungen kann das Plasmapotential Hunderte von Volt erreichen. Der Injektor 180 verbleibt im allgemeinen auf einem niedrigeren Potential (zum Beispiel dem Erdungspotential für einen metallischen Injektor) als das Plasma. Wie in der 12b gezeigt ist, bildet sich ein dünner Mantel 210 entlang des „plasmaeingetauchten" Bereiches des Injektors 180 aus, und elektrische Feldlinien 220 werden durch den Unterschied in dem Potential zwischen dem Plasma 200 und dem geerdeten Injektor 180 erzeugt. Die resultierenden elektrischen Feldlinien 220 sind senkrecht zu dem Mantel 210. Diese elektrischen Felder können sehr groß als ein Ergebnis der Vorspannungsleistung (angelegt durch den Substratträger) sein, was verursacht, dass das Plasmapotential mit einer Größe von hunderten Volt schwingt, aufgrund der kapazitiven Kopplung mit dem mit HF-Leistung versorgten Substratträger.
  • Es ist sehr bekannt, dass äußere bauliche Ecken oder Kanten, gleich ob sie spitz oder abgerundet sind, derart wirken, dass sie elektrische Felder fokussieren (siehe zum Beispiel Classical Electrodynamics, by John David Jackson, John Wiley & Sons, New York, 1975, 2nd ed.). Bereiche mit starken elektrischen Feldern innerhalb eines Plasmaprozessors führen zu einer verstärkten Gasdissoziation. Wie in der 12b gezeigt ist, wirkt die Spitze des Injektors 180 derart, dass sie das lokale elektrische Feld fokussiert, so dass die elektrischen Feldlinien um die Spitze herum konzentriert werden. In der Figur wird die Konzentration des elektrischen Feldes durch die Anzahl der Pfeile dargestellt. Wenn die Einspritzöffnung 187 an dem fernen Ende des Injektors 180 positioniert ist, wird das Gas in den Bereich mit den stärksten lokalen elektrischen Feldern eingespritzt, was zu einer vergrößerten lokalen Dissoziation und einer hieraus folgenden Abscheidung 230 auf der Spitze des Injektors führt. Im Verlaufe der Zeit kann die Abscheidung 230 die Öffnung 187 verstopfen, was die Prozessgleichförmigkeit nachteilig beeinflussen kann.
  • Gemäß der Erfindung wird das Problem des Verstopfens dadurch gelöst, dass die Öffnung entfernt von dem fernen Ende des Injektors positioniert wird. Diese Ausführung verwendet das Merkmal, dass das Prozessgas über dem Substrat eingespritzt wird, um große Abscheidungs-/Ätzgeschwindigkeiten (Abscheidungs/Ätzraten) und eine hohe Gleichförmigkeit zur Verfügung zu stellen, und stellt ferner den Vorteil einer verminderten Anfälligkeit gegenüber einem Verstopfen der Öffnung zur Verfügung. Zudem kann das Verstopfen dadurch reduziert werden, dass die Öffnung derart positioniert wird, dass sie nicht einer Zurückabscheidung (Redeposition) von Material ausgesetzt ist, welches von dem Substrat abgesputtert wird. Das verminderte Potential des Verstopfens der Öffnung ermöglicht somit, dass mehr Substrate nacheinander behandelt werden, bevor ein Reinigen des Injektors erforderlich ist, was den Durchsatz bei der Substratbehandlung ultimativ verbessert.
  • Die 13a und 13b stellen beispielhafte Injektoren dar, welche in einem Plasmabehandlungssystem gemäß der vorliegenden Erfindung verwendbar sind. Die 13a stellt eine Öffnung 187A dar, welche entlang einer Längsachse eines Einspritzrohres 180A positioniert ist, entfernt von einer abgerundeten fernen Spitze 182A des Injektors. Wie man in der 13a erkennen kann, wird die Abscheidung 230 um die abgerundete Spitze 182A herum konzentriert, eher als um die Öffnung 187A herum. Die 13b stellt ein Einspritzrohr 180B mit einer Öffnung 187A dar, welche entlang seiner Längsachse positioniert ist, entfernt von einer winkligen Spitze 182B, welche eine stumpf abgewinkelte Kante 182C und eine spitz abgewinkelte Kante 182D aufweist. Wie man aus der 13b erkennen kann, konzentriert sich die Abscheidung 230 am meisten auf der spitz abgewinkelten Kante 182D.
  • Die 14a bis 14b stellen beispielhafte Plasmabehandlungssysteme dar, welche gemäß der vorliegenden Erfindung ausgeführt sind. Wie in der 14a gezeigt ist, sind die Injektoren 180A oberhalb der Ebene und/oder außerhalb des Umfangs des Substrates 120 positioniert, mit den Öffnungen 187A auf jedem geeigneten Abstand, wie zum Beispiel ein bis fünfzehn Zentimeter oder mehr entfernt von dem Substrat, in Abhängigkeit von der Ausrichtung des Injektors. Somit kann Gas an Positionen entfernt von der Kammerwand eingespritzt werden, um eine Film- und Polymerabscheidung auf Nichtsubstratoberflächen wie Kammerwänden etc. zu minimieren. Gemäß einer vorzuziehenden Ausführung erstrecken sich die Injektoren 180A ausgehend von der Seitenwand der Behandlungskammer 140 bis zu dem aber nicht innerhalb von dem Umfang des Substrates 120. Solch eine Anordnung vermindert die Abbildung von induzierten Ungleichförmigkeiten des Plasmas auf dem Substrat. Wie in der 14a gezeigt ist, sind die Injektoren derart ausgerichtet, dass wenigstens etwas von dem Gas, welches durch die Öffnungen eingespritzt wird, in Richtung der primären Plasmaerzeugungszone 300 (der Bereich, in welchem die Ionisierung die höchste ist) gerichtet wird, welche innerhalb der Plasmaabgrenzung 310 positioniert ist, zwischen dem dielektrischen Fenster 155 und dem Substrat 120. Dies führt zu einer potentiellen nicht gleichförmigen Verteilung von zugeführten gasförmigen Reaktionspartnern, aber die nachfolgende Dissoziation, Diffusion und konvektive Mischung erzielt einen gleichförmigen Fluss von chemischen Radikalen und reaktiven Zwischenspezien, welche die Substratoberfläche kontaktieren.
  • In der 14a sind die Injektoren nach unten gerichtet, in Richtung der Substratoberfläche. Die Öffnungen sind entlang der Längsachsen der Injektoren positioniert und sind derart ausgerichtet, dass sie das Gas in Richtung der Plasmaerzeugungszone 300 in einer Ringröhrenform einspritzen. Alternativ können die Injektoren parallel zu der Oberfläche des Substrates ausgerichtet sein, wie in der 14b gezeigt wird, oder nach oben in Richtung der Kammerdecke (nicht gezeigt). Obwohl dies nicht gezeigt ist, können die Injektoren ebenso in verschiedenen anderen Richtungen ausgerichtet sein und an anderen Positionen positioniert sein.
  • Die 15a bis 15c stellen detaillierte Ansichten von weiteren beispielhaften Injektoren dar, welche in einem Plasmabehandlungssystem gemäß der vorliegenden Erfindung verwendbar sind. Zur Einfachheit der Darstellung sind einige Elemente des Plasmabehandlungssystems nicht gezeigt.
  • Wie in der 15a gezeigt ist, ist die Öffnung 187A derart ausgerichtet, dass sie das Prozessgas entlang einer Einspritzachse (mit „A" bezeichnet) in einer Richtung einspritzt, welche von dem Substrat 120 weg und in Richtung des primären Plasmaerzeugungsbereiches zeigt. Die Austrittsöffnung 187A weist einen gleichförmigen Durchmesser entlang ihrer Länge auf. Alternativ, wie in der 15b gezeigt ist, kann eine gestufte Austrittsöffnung 188, welche einen Bereich mit einem inneren konstanten Durchmesser und einen äußeren expandierenden Bereich aufweist, welcher konisch verjüngt oder konisch erweitert ist, verwendet werden. Eine weitere Alternative ist eine Austrittsöffnung 189, welche eine divergierende abgerundete Kontur aufweist, wie in der 15c gezeigt ist.
  • Der Injektor 180A in den 15a bis 15c weist eine geschlossene und abgerundete ferne Spitze 182A auf. Alternativ kann die Injektorspitze jede gewünschte Ausbildung aufweisen, wie zum Beispiel einen Injektor 180B, welcher ein winkliges Ende 182B aufweist, wie in der 15d gezeigt ist.
  • In den Ausführungen, welche in den 15a bis 15d gezeigt sind, können die Achse oder die Achsen der Einspritzung von rund 0 bis 90 Grad reichen, vorzugsweise rund 10 bis 80 Grad und am meisten vorzuziehen rund 15 bis 60 Grad gegenüber der Horizontalebene des Substrates. Zudem ist der Winkel der Einspritzung vorzugsweise derart ausgerichtet, dass das eingespritzte Gas in einer Zone innerhalb eines äußeren Umfanges des Substrates verteilt wird.
  • Die 16 stellt eine detaillierte Ansicht eines weiteren beispielhaften Injektors dar, welcher in einem Plasmabehandlungssystem gemäß der vorliegenden Erfindung verwendbar ist. Obwohl drei Öffnungen 187A, 188 und 198 entlang der Längsachse des Injektors in der 16 gezeigt sind, wird vorzugsweise nur eine Öffnung nahe dem fernen Ende des Injektors 180A verwendet. Es kann jedoch jede Anzahl von Öffnungen vorgesehen sein. Gemäß einer beispielhaften Ausführung kann der Abstand „I" von der Öffnung 187A zu dem Bereich der fern gelegenen Spitze „E", an welcher die Spitze abgerundet ist, von 1,0 × 10–3 m bis 5,0 × 10–2 m (0,1 bis 5 Zentimeter) reichen.
  • Wie in der 16 dargestellt ist, ist die Querschnittsfläche des Kernes „C" von jedem Injektor 180A vorzugsweise größer als die Summe der Flächen der Austrittsöffnungen 187A, 188 und 189 des Injektors. Dies stellt sicher, dass eine Schallgeschwindigkeitsströmung an der Austrittsöffnung und nicht innerhalb des Kerns des Injektors auftritt. Vorzugsweise ist die Gesamtfläche von allen Injektoröffnungen geringer als rund die Hälfte der Querschnittsfläche des Verteilerraumes 170a, welcher zu den Injektoren führt. Zusätzlich ist die Gesamtfläche der Öffnungen auf einem vorgegebenen Injektor vorzugsweise kleiner als rund die Hälfte der Querschnittsfläche des Kerns des Injektors. Dies hilft sicherzustellen, dass jeder Öffnung Prozessgas derart zugeführt wird, dass das Prozessgas gleichmäßig innerhalb der Kammer verteilt werden kann. In einer beispielhaften Ausführung kann der Kern C des Injektors in dem Bereich zwischen 1,5 × 10–3 m und 1,25 × 10–2 m (0,06 und 0,5 Inch) im Durchmesser liegen. Der Durchmesser der Austrittsöffnungen 187A, 188 und 189 kann im Bereich zwischen 2,5 × 10–4 m und 3,125 × 10–3 m (0,01 und 0,125 Inch), vorzugsweise zwischen 5,0 × 10–4 m und 1,5 × 10–3 m (0,02 und 0,06 Inch) liegen.
  • Gemäß dieser Ausführung, durch Positionieren der Einspritzöffnung entlang der Längsachse des Injektors, sind die Öffnungen weniger anfällig gegenüber einem Verstopfen in Anwendungen von Plasma-CVD und polymerisierendem, Halogenbasierten Plasmaätzen. Zudem sind die Öffnungen, durch Positionieren der Öffnungen entfernt von der fernen Spitze, vorzugsweise vor einer Zurückabscheidung (Redeposition) von vom Substrat abgesputterten Material abgeschirmt.
  • Gemäß dieser Ausführung werden die Gleichförmigkeit des Photoresistätzens und die Selektivität für SiO2 bei Oxidätzanwendungen, welche Halogen- und Halocarbonbasierte chemische Verbindungen benutzen, verbessert. Eine herkömmliche Einspritzung durch einen Brausekopf, welcher in einem oder unterhalb von einem dielektrischen Fenster eingebracht ist, kann zu einem nicht gleichförmigen Ätzen quer über dem Substrat führen, zum Beispiel „einem schnellen Resistätzen in der Mitte", was zu einer schlechten Steuerung der geätzten Merkmale und Profile führen kann, und zu Unterschieden in den Merkmalen in der Substratmitte und am Substratrand. Zudem kann eine Polymerausbildung auf dem TCPTM-Fenster oder dem Brausekopf zu einem unerwünschten Abblättern von Partikeln und einer Verunreinigung auf dem Substrat führen. Im Gegensatz dazu kann eine Randeinspritzung über einen Gaseinspritzring zu einem „schnellen Ätzen des Randes" und einer Polymerabscheidung auf den Kammerwänden führen. Die Selektivitäten von Photoresist gegenüber Oxid betragen typischerweise nur 1 bis 4 in diesen Fällen, wohingegen 5 bis 10 wünschenswert wäre. Die Ausführung der Erfindung, welche Injektoren in Kombination mit Gasöffnungen in der Seitenwand verwendet, kann eine Verbesserung bei der Gleichförmigkeit der Resistätzgeschwindigkeit (typischerweise 6% 3σ) zur Verfügung stellen, mit gleichzeitigen Selektivitäten von Resist zu Oxid von 10 oder mehr. Die vorzuziehende Ausführung der Injektion scheint somit einen viel gleichförmigeren Fluss von reaktiven Zwischenprodukten und chemischen Radikalen zu der Substratoberfläche zur Verfügung zu stellen, umfassend beide Ätzspezien wie atomare Fluorine und polymerisierende Spezien, wie zum Beispiel CF, CF2 und CF3.
  • Wenn die Substratgröße zunimmt, so tut dies auch die Notwendigkeit für in der Mitte zugeführtes Gas. Einspritzsysteme, welche Gas von Injektoren zuführen, die an die Seitenwände einer Behandlungskammer angeschlossen sind, wie diejenigen, welche oben beschrieben worden sind, können keine geeignete Prozessgaszufuhr zu der Mitte von Substraten mit großen Flächen, welche typischerweise bei der Behandlung von Flachtafeln/Flachbildschirmen vorkommen, zur Verfügung stellen. Dies gilt insbesondere bei unten gepumpten Kammerausführungen (bottom-pumped), welche man gewöhnlich in Plasmabehandlungssystemen finden kann. Zum Beispiel, wie in der 17 gezeigt ist, strömt Prozessgas entlang der Stromlinien 235 aus den Öffnungen in Richtung des Bodens der Behandlungskammer. Die Stromlinien 235 erstrecken sich nur teilweise über dem Substrat 120A, welches auf dem Substratträger 130A getragen wird. In dem Fall von Plasmaätzen, ohne ein Mittel für eine mittlere Gaszuführung, können Ätznebenprodukte 240 oberhalb der Mitte des Substrates 120A stagnieren, in welchem Fall der Transport im wesentlichen alleine durch die Fusion stattfindet. Dies kann zu einem unerwünschten nicht gleichförmigen Ätzen quer über dem Substrat führen.
  • Eine bessere Anordnung ergibt sich für Prozessgas, dass innerhalb des Plasmabereiches eingespritzt werden soll, welcher der Mitte des Substrates gegenübersteht und in dichter Nähe zu dieser angeordnet ist. Zum Beispiel ist eine Brausekopfdüse 250 innerhalb des Plasmas eingetaucht und von der oberen Plasmagrenzfläche (zum Beispiel der Kammerdecke) aus montiert, egal ob diese ein ebenes oder gekrümmtes dielektrisches Fenster ist, eine Vakuumglocke/Glasglocke oder eine Kuppel, eine mechanische Tragstruktur, eine obere Elektrode oder ähnliches. Die Brausekopfdüse 250 ist innerhalb des Plasmas auf einem auswählbaren Abstand eingetaucht, so dass der Abstand zwischen ihren Gaseinspritzöffnungen und dem Substrat eingestellt werden kann, ohne das Gesamtlängenverhältnis der Kammer zu ändern, das heißt ohne die Höhe der Decke mit Bezug auf das Substrat zu ändern. Das Längenverhältnis der Kammer muss ausreichend sein, um eine adäquate Diffusion der Ionen und neutralen Spezien zu ermöglichen, um eine gleichförmige Ätz- oder Abscheidungsgeschwindigkeit (Ätzrate oder Abscheidungsrate) sicherzustellen. Durch Anordnen einer mittleren Gaszufuhr mit Einspritzöffnungen, welche dicht zu dem Substrat positioniert sind, wird der konvektive Transport aus dem Bereich oberhalb des Substrates heraus verbessert, wie durch die Stromlinien 235A in der 18 dargestellt ist.
  • In der 18 kann die in Plasma eingetauchte Brausekopfdüse 250 ein integraler Teil der Kammerdeckenstruktur sein oder kann vakuumabgedichtet und mechanisch entfernbar sein. Die Brausekopfdüse 250 kann aus jedem geeigneten elektrisch leitenden oder dielektrischen Material ausgeführt sein, wie zum Beispiel Aluminium, galvanisiertes Aluminium oder Keramiken wie zum Beispiel Alumina, Siliziumnitrit, Siliziumkarbid oder Quarz oder Kombinationen hieraus. Wenn die Düse 250 an ein dielektrisches Fenster, eine Vakuumglocke/Glasglocke oder ähnliches angeschlossen ist, ist die äußere Wand der Düse vorzugsweise aus demselben Material oder aus einem mit einem ähnlichen thermischen Expansionskoeffizient wie die Komponente, an welche sie angeschlossen ist, ausgeführt. Dies vermindert Probleme durch eine Teilchenkontaminierung, welche mit einer unterschiedlichen thermischen Expansion zwischen der Düse und der Komponente, an welche sie angeschlossen ist, verbunden sind. In dem Falle eines Quarzfenster kann die Düse 250 für eine einfache Herstellung an das Fenster angeschmolzen sein.
  • Obwohl eine oder mehrere Brausekopfdüsen überall auf der Kammerdecke positioniert sein können, ist vorzugsweise eine einzige Brausekopfdüse 250 in der Mitte der Kammerdecke positioniert. Dies positioniert die eingetauchte Düse in einem Bereich, in welchem das azimutale elektrische Feld, welches durch die TCPTM-Spule auf Null abfällt, was die Störungen der Plasmaerzeugungszone minimiert. Ferner ist es vorzuziehen, dass die Düse 250 auf einem geeigneten Abstand eingetaucht ist, wie zum Beispiel nicht mehr als rund 80 Prozent des Abstandes zwischen der Kammerdecke und dem Substrat. Dies stellt sicher, dass die Ionendiftusion aus den oberen Bereichen der Kammer einen ausreichenden Raum hat, um den Raum der geringeren Ionendichte unmittelbar unterhalb der Brausekopfdüse aufzufüllen. Dies wird jeden „Schatten" der Düse in dem Ionenfluss zu dem Substrat minimieren.
  • Das Verwenden der eingetauchten Brausekopfdüse stellt das Mittel zum unabhängigen Auswählen der mittleren Gaszuführungsposition und des Längenverhältnisses der Kammer zur Verfügung. Dies erleichtert effizient die Verwendung des Prozessgases und verbessert die Prozessgaszufuhr zu dem mittleren Bereich von Substraten mit großer Fläche, bei einer minimalen Störung der Gleichförmigkeit des Plasmas. Diese Ausführung ist ebenso vorteilhaft, weil das Positionieren der Düsenöffnungen nahe zu dem Substrat den konvektiven Transport relativ zu dem diffusiven Transport in dem Bereich unmittelbar oberhalb des Substrates vergrößert. Zudem, um die Zufuhr der Reaktanten zu verbessern, erleichtert der eingetauchte Brausekopf einen effizienten Transport von Ätz-Nebenprodukten aus dem Substratbereich heraus, was vorteilhaft die Steuerung der Ätzgleichförmigkeit und des -profils beeinflussen kann, insbesondere bei chemisch angetriebenen Anwendungen, wie zum Beispiel dem Aluminiumätzen (aluminum etching).
  • Die 19a und 19b stellen beispielhaft Brausekopfdüsen dar, welche in einem Plasmabehandlungssystem gemäß der vorliegenden Erfindung verwendbar sind. In den 19a und 19b ist die Brausekopfdüse 250 durch das dielektrische Fenster (oder die Kammerdecke) 155 eingefügt, und die Integrität des Kammervakuums wird durch eine Vakuumabdichtung 157 beibehalten. Die Vakuumabdichtung 157 kann eine O-Ringdichtung, ein Verklebungsmittel oder andere geeignete Abdichtungen umfassen. Wie in den 19a und 19b gezeigt ist, kann die Brausekopfdüse 250 verschiedene Öffnungen 252, 254 und 256 umfassen, die an ausgewählten Positionen positioniert sind, welche entfernt zu den Punkten auf der Düse sind, die einem Fokussieren des elektrischen Feldes unterliegen, zum Beispiel externe Ecken oder Spitzen mit einer scharf konvexen Krümmung. Vorzugsweise sind die Öffnungen entlang der Längsachse der Düse 250 positioniert, aber die Öffnungen können auf lokal ebenen Bereichen bei zum Beispiel einer Düse mit einer konischen Spitze positioniert sein. Wie in der 19b gezeigt ist, kann die Gaseinspritzöffnung 258 ebenso auf dem fernen Ende der ebenen axialen Stirnseite einer zylindrisch geformten Düse positioniert sein. Die Brausekopfdüse mit konischer Spitze ist vorzuziehen, weil sie eine Ionendiffusion zu dem Bereich unmittelbar unterhalb des Injektors erleichtert. Durch Positionieren der Einspritzöffnungen außerhalb der Bereiche mit lokalen Fokussierungen des elektrischen Feldes wird das Potential des Verstopfens der Öffnung bei Anwendungen mit Polymerisationsätzungen oder -abscheidungen vermindert.
  • Gemäß einer beispielhaften Ausführung sind die Einspritzöffnungen klein genug, dass der Plasmamantel 210, welcher um die Düse herum ausgebildet wird, weitgehend unbeeinflusst durch das Vorhandensein der Einspritzöffnungen ist. Die Gesamtfläche der Öffnungen der Brausekopfdüse beträgt vorzugsweise mehr als die Querschnittsfläche des Kerns C1 der Brausekopfdüse. Dies hilft sicherzustellen, dass jede Öffnung gleichförmig mit Prozessgas versorgt wird, so dass Prozessgas aus jeder Öffnung zugeführt werden kann, so dass das Prozessgas gleichmäßig innerhalb der Kammer verteilt werden kann. Die Einspritzung in verschiedene Bereiche oberhalb des Substrates kann dadurch angepasst werden, dass verschiedene Durchmesser für die verschiedenen Einspritzöffnungen verwendet werden.
  • Die Öffnungen können jede gewünschte Form aufweisen, wie zum Beispiel die Formen, die in den 19a und 19b gezeigt sind. Alternativ können die Öffnungen konisch verjüngte oder erweiterte Oberflächen aufweisen oder radial konturiert sein. Die Öffnungen können derart ausgerichtet sein, dass sie das Gas in jegliche Richtung einspritzen, umfassend direkt zu dem Substrat, mit einem spitzen Winkel mit Bezug auf das Substrat, parallel zu dem Substrat oder zurück zu der oberen Grenzfläche des Plasmas (mit einem stumpfen Winkel mit Bezug auf die Längsachse der Düse) oder entsprechend von Kombinationen hieraus. Es ist wünschenswert, einen gleichförmigen Fluss von chemischen Radikalen und reaktiven Zwischenprodukten auf die Substratoberfläche zu erreichen, um gleichförmige Ätz- und Abscheidungsgeschwindigkeiten quer über Substrate mit großer Oberfläche zu erreichen. Dies kann ein zusätzliches Gaseinspritzmittel nahe des Umfangs des Substrates oder von anderen Kammerwänden erfordern.
  • Die 20 stellt eine detaillierte schematische Darstellung einer beispielhaften Brausekopfdüse 250A dar. Wie in der 20 gezeigt ist, kann die Brausekopfdüse eine koaxiale Verbundstruktur sein, welche eine innere metallische Auskleidung oder Hülse 255 umfasst, die in den Innenraum eines dielektrischen Rohres 257 eingesetzt ist. Die metallische Auskleidung 255 kann über eine geeignete Schaltung 260 mit Masse verbunden sein, so dass die Plasmabildung innerhalb der Auskleidung unterdrückt wird. Alternativ kann die Schaltung die metallische Auskleidung an eine Leistungsquelle anschließen, wie zum Beispiel eine Gleichstrom-, Wechselstrom- oder HF-Leistungsquelle, um einen Ionenbeschuss auf die äußere dielektrische Oberfläche der Düse zu fördern, wodurch eine Polymer- oder Filmabscheidung auf der eingetauchten Düse unterdrückt wird.
  • Vorzugsweise gibt es keine scharfen Kanten an dem fernen Ende der Düse, um eine lokale Verstärkung des elektrischen Feldes nahe der Düsenspitze zu vermindern. Es kann jedoch Fälle geben, in welchen eine solche Feldverstärkung vorteilhaft sein kann.
  • Das Vorhergehende hat die Prinzipien, vorzuziehende Ausführungen und Betriebsmodi der vorliegenden Erfindung beschrieben. Die Erfindung soll jedoch nicht derart ausgelegt werden, dass sie auf die besonderen beschriebenen Ausführungen beschränkt ist. Somit sollen die oben beschriebenen Ausführungen eher als beispielhaft denn als einschränkend betrachtet werden, und es soll verständlich sein, dass verschiedene Variationen an jenen Ausführungen durch den Fachmann ausgeführt werden können, ohne vom Schutzumfang der vorliegenden Erfindung abzuweichen, wie er durch die folgenden Ansprüche definiert wird.

Claims (23)

  1. Ein Plasmabehandlungssystem, umfassend: eine Plasmabehandlungskammer (140); einen Substratträger (130), welcher ein ebenes Substrat (120) derart innerhalb der Behandlungskammer trägt, dass die Oberfläche einer Seite des Substrats vollständig durch den Substratträger abgedeckt wird und die Oberfläche einer entgegengesetzten Seite des Substrats für eine Behandlung derselben freiliegend ist; ein dielektrisches Element (155), welches eine innere Oberfläche aufweist, die dem Substratträger gegenübersteht, wobei das dielektrische Element eine Wand der Behandlungskammer ausbildet; eine Gaszufuhr, umfassend wenigstens ein lang ausgestrecktes Einspritzrohr (180a, 180b), welches ein fernes Ende aufweist, das sich in die Behandlungskammer hinein erstreckt, und welches eine oder mehrere Öffnungen (187a, 188, 189) in einer Seitenwand desselben aufweist, wobei die Gaszufuhr Prozessgas in die Kammer hinein zuführt; und eine HF-Energiequelle (150), welche HF-Energie induktiv durch das dielektrische Element hindurch und in die Kammer hinein koppelt, um das Prozessgas in einen Plasmazustand zu energetisieren, um das Substrat zu behandeln; wobei ein elektrisches Feld um das Einspritzrohr herum erzeugt wird, in Reaktion auf das Energetisieren des Prozessgases, das elektrische Feld an dem fernen Ende des Einspritzrohrs konzentriert wird, und die eine oder die mehreren Öffnungen mit Abstand zu dem konzentrierten elektrischen Feld angeordnet sind.
  2. Das System aus Anspruch 1, wobei das System ein Ätzsystem mit Plasma hoher Dichte ist.
  3. Das System aus Anspruch 1, wobei das System ein System mit Gasphasenabscheidung nach chemischem Verfahren mit Plasma hoher Dichte ist.
  4. Das System aus einem der vorhergehenden Ansprüche, wobei die HF-Energiequelle eine HF-Antenne umfasst, und das Einspritzrohr das Prozessgas in Richtung einer primären Plasmaerzeugungszone in der Kammer einspritzt.
  5. Das System aus einem der Ansprüche 1, 3 oder 4, wobei die HF-Energiequelle eine ebene spiralförmige Spule mit mehreren Windungen mit Leistung versorgt.
  6. Das System aus einem der vorhergehenden Ansprüche, wobei die eine oder mehreren Öffnungen mit Abstand zueinander entlang einer Längsachse des Einspritzrohrs angeordnet sind, und jede Öffnung einen gleichförmigen oder nicht gleichförmigen Querschnitt entlang ihrer Länge aufweist.
  7. Das System aus einem der vorhergehenden Ansprüche, wobei eine Vielzahl von Einspritzrohren um den Umfang des Substrats herum auf solch eine Art und Weise verteilt ist, dass die Einspritzrohre sich nicht in eine Zone innerhalb des Umfangs des Substrats hinein erstrecken.
  8. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr das Prozessgas mit einer Unterschall-, Schall- oder Überschallgeschwindigkeit einspritzt.
  9. Das System gemäß einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr eine Brausekopf-Düse umfasst, welche eine Vielzahl von Öffnungen entlang einer Längsachse derselben aufweist.
  10. Das System aus Anspruch 9, wobei die Brausekopf-Düse sich in einen mittleren Bereich der Kammer hinein erstreckt.
  11. Das System aus Anspruch 9 oder 10, wobei die Düse eine innere elektrisch leitende Schicht umfasst, welche geerdet oder leistungsversorgt ist, und eine äußere dielektrische Schicht, welche die innere Schicht einkapselt.
  12. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr ein geschlossenes fernes Ende aufweist, und die eine oder mehreren Öffnungen Prozessgas mit einem spitzen Winkel relativ zu einer Ebene einspritzen, welche parallel zu der freiliegenden Oberfläche der Seite des Substrats ist.
  13. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr sich geradlinig erstreckt und ein geschlossenes fernes Ende aufweist, das Prozessgas von dem Einspritzrohr in einer Richtung eingespritzt wird, welche nicht parallel zu einer Längsachse des Einspritzrohrs ist, und die eine oder mehreren Öffnungen mit Abstand zu dem geschlossenen fernen Ende angeordnet sind.
  14. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr eine Brausekopf-Düse (250) umfasst, die durch das dielektrische Element getragen wird, die Brausekopf-Düse wenigstens eine Öffnung (254, 258) in einem verjüngten, gekrümmten oder ebenen fernen Ende umfasst.
  15. Das System aus einem der vorhergehenden Ansprüche, wobei das Substrat ein einzelnes Substrat ist, welches auf dem Substratträger getragen wird.
  16. Das System aus einem der vorhergehenden Ansprüche, wobei der Substratträger eine mit HF-Leistung versorgte Elektrode umfasst.
  17. Das System aus einem der vorhergehenden Ansprüche, wobei das Substrat ein Halbleiter-Wafer ist.
  18. Das System aus einem der vorhergehenden Ansprüche, wobei das Substrat elektrostatisch an den Substratträger gespannt ist.
  19. Das System aus einem der vorhergehenden Ansprüche, wobei die Gaszufuhr eine Vielzahl von abnehmbaren Einspritzrohren umfasst, die an einen primären Gasring (170) angeschlossen sind.
  20. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr geerdet ist.
  21. Das System aus einem der vorhergehenden Ansprüche, wobei das oder jedes Einspritzrohr einen Kern (C) umfasst, welcher eine Querschnittsfläche aufweist, die größer ist als die Summe der Fläche der Austrittsöffnungen (187a).
  22. Das System aus einem der vorhergehenden Ansprüche, wobei das Einspritzrohr derart ausgerichtet ist, dass wenigstens ein Teil des Gases, welches durch die Öffnungen eingespritzt wird, in Richtung einer primären Plasmaerzeugungszone gerichtet wird.
  23. Ein Verfahren zur Plasmabehandlung eines Substrats, umfassend: das Anordnen eines ebenen Substrats (120) auf einem Substratträger (130) in einer Behandlungskammer (140), derart, dass die Oberfläche einer Seite des Substrats vollständig durch den Substratträger abgedeckt wird und die Oberfläche einer entgegengesetzten Seite des Substrats zur Behandlung derselben freiliegend ist, wobei eine innere Oberfläche eines dielektrischen Elements (155), welches eine Wand der Behandlungskammer ausbildet, dem Substratträger gegenübersteht; das Zuführen von Prozessgas in die Behandlungskammer hinein aus einer Gaszufuhr, umfassend wenigstens ein lang ausgestrecktes Einspritzrohr (180a, 180b), welches ein fernes Ende aufweist, das sich in die Prozesskammer hinein erstreckt, und welches eine oder mehrere Öffnungen (187a, 188, 189) in einer Seitenwand desselben aufweist; und das Energetisieren des Prozessgases in einen Plasmazustand, durch induktives Koppeln von HF-Energie, welche durch eine HF-Energiequelle (150) produziert wird, durch das dielektrische Element in die Behandlungskammer hinein, wobei das Prozessgas mit der freiliegenden Seitenoberfläche des Substrats in einer Plasmaphase reagiert, wobei ein elektrisches Feld um das Einspritzrohr herum erzeugt wird, in Reaktion auf die Energetisierung des Prozessgases, das elektrische Feld an dem fernen Ende des Einspritzrohres konzentriert wird, und die eine oder mehreren Öffnungen mit Abstand zu dem konzentrierten elektrischen Feld angeordnet sind.
DE69829390T 1997-06-30 1998-06-30 Gasinjektions-system für plasma-behandlungsvorrichtung Expired - Lifetime DE69829390T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US885353 1997-06-30
US08/885,353 US6013155A (en) 1996-06-28 1997-06-30 Gas injection system for plasma processing
PCT/US1998/013777 WO1999000532A1 (en) 1997-06-30 1998-06-30 Gas injection system for plasma processing apparatus

Publications (2)

Publication Number Publication Date
DE69829390D1 DE69829390D1 (de) 2005-04-21
DE69829390T2 true DE69829390T2 (de) 2006-04-13

Family

ID=25386718

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69829390T Expired - Lifetime DE69829390T2 (de) 1997-06-30 1998-06-30 Gasinjektions-system für plasma-behandlungsvorrichtung

Country Status (7)

Country Link
US (1) US6013155A (de)
EP (1) EP1017876B1 (de)
JP (1) JP4153048B2 (de)
AT (1) ATE291104T1 (de)
DE (1) DE69829390T2 (de)
TW (1) TW514672B (de)
WO (1) WO1999000532A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6974452B1 (en) 2000-01-12 2005-12-13 Clinicon Corporation Cutting and cauterizing surgical tools
KR100470986B1 (ko) * 2000-03-14 2005-03-07 주성엔지니어링(주) 반도체소자 제조용 고진공 장치 및 이를 이용한 에피택셜막 형성방법
EP1139402A1 (de) * 2000-03-27 2001-10-04 Infineon Technologies AG Verfahren und Vorrichtung zur Abscheidung einer dielektrischen Schicht
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
CN100403198C (zh) * 2001-05-24 2008-07-16 迅捷公司 流体流量控制器和定比率控制流体流量的方法和装置
KR20020095842A (ko) * 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
US6706138B2 (en) 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6681716B2 (en) * 2001-11-27 2004-01-27 General Electric Company Apparatus and method for depositing large area coatings on non-planar surfaces
WO2003054912A1 (en) * 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
EP1504136A2 (de) * 2002-05-03 2005-02-09 Ecole Polytechnique Fédérale de Lausanne (EPFL) Grossflächige abscheidung im hochvakuum mit hoher gleichmässigkeit der dicke
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
TW529456U (en) * 2002-06-27 2003-04-21 Nanya Technology Corp Pipeline for mixing
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040065256A1 (en) * 2002-10-03 2004-04-08 Kim Gi Youl Systems and methods for improved gas delivery
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
AU2004213021B2 (en) * 2003-02-18 2010-12-09 Medtronic, Inc. Occlusion resistant hydrocephalic shunt
US20040173314A1 (en) * 2003-03-05 2004-09-09 Ryoji Nishio Plasma processing apparatus and method
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
KR100578136B1 (ko) * 2004-01-27 2006-05-10 삼성전자주식회사 플라즈마로 강화된 반도체 증착 장비
JP4713903B2 (ja) * 2004-03-04 2011-06-29 三星モバイルディスプレイ株式會社 誘導結合プラズマ化学気相蒸着装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US20060051392A1 (en) * 2004-09-03 2006-03-09 Medtronic, Inc. Porous coatings for drug release from medical devices
US7658991B2 (en) * 2004-10-21 2010-02-09 University Of Georgia Research Foundation, Inc. Structures having aligned nanorods and methods of making
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
CN101351871B (zh) * 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7685965B1 (en) 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP4915985B2 (ja) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
JP5055834B2 (ja) * 2006-05-17 2012-10-24 東洋製罐株式会社 プラズマ処理用ガス供給管
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
DE102007045216A1 (de) * 2007-09-21 2009-04-02 Khs Corpoplast Gmbh & Co. Kg Vorrichtung zur Plasmabehandlung von Werkstücken
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101624123B1 (ko) * 2008-10-31 2016-05-25 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
JP2010251705A (ja) * 2009-03-24 2010-11-04 Nuflare Technology Inc 成膜装置および成膜方法
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
TW201130401A (en) * 2009-11-23 2011-09-01 Jusung Eng Co Ltd Apparatus for processing substrate
KR101587053B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
KR101589109B1 (ko) * 2009-11-23 2016-01-28 주성엔지니어링(주) 기판처리장치
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
JP2012038965A (ja) * 2010-08-09 2012-02-23 Lapis Semiconductor Co Ltd 半導体装置及びその製造方法
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
JP2014157944A (ja) * 2013-02-15 2014-08-28 Toshiba Corp ガス供給部材及びプラズマ処理装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
CN105051242A (zh) * 2013-02-25 2015-11-11 应用材料公司 具有气体供应的沉积装置及沉积材料的方法
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
CN104103484B (zh) * 2013-04-15 2017-07-25 中微半导体设备(上海)有限公司 气体供应装置及等离子体处理装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP2015082546A (ja) * 2013-10-22 2015-04-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6209064B2 (ja) * 2013-11-13 2017-10-04 東レエンジニアリング株式会社 薄膜形成装置
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
US9275840B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9275866B2 (en) * 2014-05-15 2016-03-01 International Business Machines Corporation Gas cluster reactor for anisotropic film growth
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
KR101715192B1 (ko) * 2015-10-27 2017-03-23 주식회사 유진테크 기판처리장치
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US11560627B2 (en) 2017-05-23 2023-01-24 Starfire Industries Llc Atmospheric cold plasma jet coating and surface treatment
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
WO2020154162A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post plasma gas injection in a separation grid
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
WO2022039858A1 (en) * 2020-08-19 2022-02-24 Applied Materials, Inc. Substrate processing chamber with side gas injection

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) * 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS5994811A (ja) * 1982-11-22 1984-05-31 Mitsubishi Electric Corp プラズマcvd処理装置
AU548915B2 (en) * 1983-02-25 1986-01-09 Toyota Jidosha Kabushiki Kaisha Plasma treatment
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH0766910B2 (ja) * 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
US4614639A (en) * 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) * 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JP2839720B2 (ja) * 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
DE4106770C2 (de) * 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
CA2060917A1 (en) * 1991-03-12 1992-09-13 Milam Pender Plasma enhanced chemical vapor deposition device
KR100188454B1 (ko) * 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5384008A (en) * 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
EP0967633A1 (de) * 1993-07-30 1999-12-29 Applied Materials, Inc. Gaseinlässe für einen Waferbearbeitungsraum
US5498313A (en) * 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
TW296534B (de) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5591268A (en) * 1994-10-14 1997-01-07 Fujitsu Limited Plasma process with radicals
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
US10971340B2 (en) 2016-05-12 2021-04-06 Stephan Wege Gas injector for reaction regions

Also Published As

Publication number Publication date
JP4153048B2 (ja) 2008-09-17
EP1017876B1 (de) 2005-03-16
DE69829390D1 (de) 2005-04-21
EP1017876A1 (de) 2000-07-12
ATE291104T1 (de) 2005-04-15
JP2002511905A (ja) 2002-04-16
TW514672B (en) 2002-12-21
WO1999000532A1 (en) 1999-01-07
US6013155A (en) 2000-01-11

Similar Documents

Publication Publication Date Title
DE69829390T2 (de) Gasinjektions-system für plasma-behandlungsvorrichtung
DE60219343T2 (de) Abstimmbares mehrzonen-gasinjektionssystem
KR100665646B1 (ko) 플라즈마 처리용 가스 주입 시스템
DE69732918T2 (de) Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD
DE19856307C1 (de) Vorrichtung zur Erzeugung eines freien kalten Plasmastrahles
US6417111B2 (en) Plasma processing apparatus
US6042687A (en) Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5976308A (en) High density plasma CVD and etching reactor
US5421891A (en) High density plasma deposition and etching apparatus
US5429070A (en) High density plasma deposition and etching apparatus
US7572337B2 (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US6553933B2 (en) Apparatus for injecting and modifying gas concentration of a meta-stable species in a downstream plasma reactor
US6344420B1 (en) Plasma processing method and plasma processing apparatus
WO2004059033A2 (en) Blocker plate bypass arrangement to improve clean rate at the edge of a processing chamber
JP2002532896A (ja) プラズマ加工装置
US6706141B1 (en) Device to generate excited/ionized particles in a plasma
JPH10134995A (ja) プラズマ処理装置及びプラズマ処理方法
JPS6267177A (ja) プラズマ装置

Legal Events

Date Code Title Description
8364 No opposition during term of opposition