CN1682345A - 用于等离子体加工系统中的改进的波纹管罩的方法和装置 - Google Patents

用于等离子体加工系统中的改进的波纹管罩的方法和装置 Download PDF

Info

Publication number
CN1682345A
CN1682345A CNA038223767A CN03822376A CN1682345A CN 1682345 A CN1682345 A CN 1682345A CN A038223767 A CNA038223767 A CN A038223767A CN 03822376 A CN03822376 A CN 03822376A CN 1682345 A CN1682345 A CN 1682345A
Authority
CN
China
Prior art keywords
bellows cover
attached
cylindrical wall
protective layer
base seat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038223767A
Other languages
English (en)
Other versions
CN100508103C (zh
Inventor
三枝秀仁
高濑均
三桥康至
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1682345A publication Critical patent/CN1682345A/zh
Application granted granted Critical
Publication of CN100508103C publication Critical patent/CN100508103C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

本发明提供了一种用于等离子体加工系统的改进的波纹管罩,其中结合到基底座电极的该波纹管罩的设计和制作通过实质使波纹管罩的侵蚀最小化从而有利地对波纹管提供了保护。

Description

用于等离子体加工系统中的改进的波纹管罩的方法和装置
相关申请的交叉引用
本申请涉及在同一日期提交的名称为“用于等离子体加工系统中的具有沉积罩的改进的上部电极板的方法和装置(Method andapparatus for an improved upper electrode plate with depositionshield in a plasma processing system)”、代理号为226272US6YA、序列号为10/XXX,XXX的共同在审的美国专利申请;在同一日期提交的名称为“用于等离子体加工系统中的改进的挡板的方法和装置(Method and apparatus for an improved baffle plate in a plasmaprocessing system)”、代理号为226274US6YA、序列号为10/XXX,XXX的共同在审的美国专利申请;在同一日期提交的名称为“用于等离子体加工系统中的改进的挡板的方法和装置(Method and apparatus for animproved baffle plate in a plasma processing system)”、代理号为228411US6YA、序列号为10/XXX,XXX的共同在审的美国专利申请;在同一日期提交的名称为“用于等离子体加工系统中的改进的沉积罩的方法和装置(Method and apparatus for an improved depositionshield in a plasma processing system)”、代理号为226275US6YA、序列号为10/XXX,XXX的共同在审的美国专利申请;在同一日期提交的名称为“用于等离子体加工系统中的改进的光学窗口沉积罩的方法和装置(Method and apparatus for an improved optical windowdeposition shield in a plasma processing system)”、代理号为226276US6YA、序列号为10/XXX,XXX的共同在审的美国专利申请;在同一日期提交的名称为“用于等离子体加工系统中的改进的上部电极板的方法和装置(Method and apparatus for an improved upperelectrode plate in a plasma processing system)”、代理号为225277US6YA、序列号为10/XXX,XXX。的共同在审的美国专利申请。在此结合这些申请的全部内容作为参考。
技术领域
本发明涉及一种用于等离子体加工系统的改进部件,更具体的涉及一种应用于等离子体加工系统中用以保护波纹管(bellows)的波纹管罩(bellows shield)。
背景技术
在半导体工业中,集成电路(IC)的制造一般采用等离子体以在等离子体反应堆中生成和参与表面化学,这对于去除基底上的材料并在基底上沉积材料是必需的。通常,利用供应的加工气体加热电子以赋予充足的能量来维持离子碰撞从而在处于真空条件下的等离子体反应堆内形成等离子体。而且,被加热的电子可以具有足够的能量来维持分离的碰撞,从而选择在预定条件(例如室压力、气流速度等)下的特定系列的气体来产生大量的适于在室内进行特殊加工(例如从基底去除材料的蚀刻加工或将材料加到基底上的沉积加工)的充电类型和化学反应类型。
尽管大量的充电类型(离子等)和化学反应类型的形成对于在基底表面上执行等离子体加工系统的功能(即材料蚀刻、材料沉积等)是必需的,但是在加工室内部的暴露于物理和化学作用等离子体的其它部件表面可能会被及时腐蚀。这些等离子体加工系统中的暴露部件的侵蚀会导致等离子体加工性能的逐渐退化并最终使得系统完全失效。
为了最小化由于暴露于加工等离子体而维系的损害,已知的维持对加工等离子体的暴露的等离子体加工系统的部件上涂敷有保护层。例如,可以阳极氧化由铝制成的部件以生成对等离子体更具耐抗性的氧化铝的表面层。在另一个例子中,可以将可消耗的或可替换的部件例如由硅、石英、氧化铝、碳或碳化硅制成的部件插入加工室中以保护更有价值的部件的表面,这些部件在频繁的替换中会消耗更大的成本。另外,希望选择这种表面材料,其能够使不需要的内含物、杂质等对加工等离子体的引入以及可能对形成于基底上的装置的引入最小化。
在这些实例中,保护涂层不可避免的失效或是归因于保护层的整体性或是归因于制作保护层的整体性,而且可替换部件可消耗的属性要求对等离子体加工系统进行频繁的维护。这种频繁的维护会产生很多费用,这些费用涉及到等离子体加工停工期以及很昂贵的新等离子体加工室部件。
发明内容
本发明提供一种用于等离子体加工系统的改进的波纹管罩,其中该波纹管罩的设计和制作有利于克服上述缺陷。
本发明的一个目的是提供一种可以结合到等离子体加工系统的基底座的波纹管罩。该等离子体加工系统包括具有内表面、外表面、第一端和第二端的柱形壁。柱形壁的第一端可以包括附加凸缘,其中附加凸缘包括结合到柱形壁内表面并用于与基底座配合的内部表面,附加凸缘还包括内径表面以及结合到柱形壁的外表面的外部表面。柱形壁的第二端可包括端表面。
波纹管罩的附加凸缘还可包括多个用来接收紧固器件以将波纹管罩结合到基底座上的紧固件接收器。每个紧固件接收器可包括进口腔、出口通孔以及内接收表面。
波纹管罩还可包括形成于波纹管罩的面向加工等离子体的多个暴露表面上的保护层。
本发明的另一目的在于波纹管罩的多个暴露表面包括柱形壁的端表面、柱形壁的外表面以及附加凸缘的邻近柱形壁的外表面的外部表面。
本发明提供一种制作在等离子体加工系统中的波纹管罩的方法,包括以下步骤:制作波纹管罩;阳极氧化波纹管罩以在波纹管罩上形成表面阳极氧化层;机械加工波纹管罩上的暴露表面以除去表面阳极氧化层;以及在暴露表面上形成保护层。
本发明还可选择性的包括机械加工未实际暴露于等离子体的其它部分。可以机械加工这些部分以便提供免于接触阳极氧化层(例如为了提供较好的机械或电接触)。这些部分可以包括但并不局限于:附加凸缘的内部表面以及多个紧固件接收器的内接收表面。
本发明提供另一种制作在等离子体加工系统中的波纹管罩的方法,包括以下步骤:制作波纹管罩、掩模波纹管罩上的暴露表面以防止形成表面阳极氧化层;阳极氧化波纹管罩以在波纹管罩上形成表面阳极氧化层;以及在暴露表面上形成保护层。
本发明还可选择性的包括掩模未实际暴露于等离子体的其它部分。可以掩模这些部分以便提供免于接触阳极氧化层(例如为了提供较好的机械或电接触)。这些部分可以包括但并不局限于:附加凸缘的内部表面以及多个紧固件接收器的内接收表面。
本发明还提供机械加工和掩模结合的方法,以便提供能在其上形成保护层的裸暴露表面。
附图说明
通过下面结合附图而对本发明的示范实施例进行的详细说明,本发明的这些以及其它优点将变得更加明显并更容易理解,其中:
图1显示为包括根据本发明一个实施例的波纹管罩的等离子体加工系统的简化方框图;
图2显示为根据本发明一个实施例的用于等离子体加工系统的波纹管罩的截面图;
图3显示为根据本发明一个实施例的用于等离子体加工系统的波纹管罩的局部平面图;
图4显示为根据本发明一个实施例的用于等离子体加工系统的波纹管罩的附加凸缘的分解图;
图5显示为根据本发明一个实施例的用于等离子体加工系统的波纹管罩的第二端上的端表面的分解图;
图6显示为根据本发明一个实施例的制作用于等离子体加工系统的波纹管罩的方法;
图7显示为根据本发明另一个实施例的制作用于等离子体加工系统的波纹管罩的方法;
图8显示为根据本发明另一个实施例的制作用于等离子体加工系统的波纹管罩的方法。
具体实施方式
根据本发明的一个实施例,图1中描绘的等离子体加工系统1包括等离子体加工室10、上部设备20、电极板24、用于支撑基底35的基底座30、以及与真空泵(未图示)连接以便在等离子体加工室10中提供压力降低的大气11的泵管道40。等离子体加工室10可便于在邻近于基底35的加工间12中形成加工等离子体。该等离子体加工系统1可以用于便加工各种尺寸的基底(例如200mm的基底、300mm的基底或更大的基底)。
在所图示的实施例中,上部设备20可包括盖、气体喷射装置以及上部电极阻抗匹配网络中的至少之一。例如,电极板24可连接于RF源。在另一个选择实施例中,上部设备20包括盖和电极板24,其中电极板24的电势维持在等同于等离子体加工室10的电势。例如,等离子体加工室10、上部设备20以及电极板24可电连接到地电势。
等离子体加工室10还可例如包括用于保护等离子体加工室10免于在加工间12中与加工等离子体接触的沉积罩14、以及光学视口16。光学视口16可包括与光学窗沉积罩18的背侧连接的光学窗17、以及可用于将光学窗17连接到光学窗沉积罩18的光学窗缘19。可在光学窗缘19和光学窗17之间、在光学窗17和光学窗沉积罩18之间以及在光学窗沉积罩18和等离子体加工室10之间提供密封元件,例如O形环。光学视口16可例如允许监视从加工间12中的加工等离子体的光发射。
基底座30还可例如包括由连接到基底座30以及等离子体加工室10的波纹管52包围的垂直传送装置50,并且基底座30用于密封垂直传送装置50免于接触等离子体加工室10中的压力降低的大气11。此外,波纹管罩54还可以例如连接到基底座30并用于保护波纹管52免于与等离子体接触。基底座10还可例如连接到聚焦环60和罩环62中的至少一个。而且挡板64可绕基底座30的外围延伸。
基底35可以例如通过槽阀(未图示)和室馈通(未图示)而经过机器人基底传送系统被传送进出等离子体加工室10,在机器人基底传送系统中,基底35由封装于基底座30内的基底升降杆(未图示)接收并由封装于其内的装置来机械传递。一旦从基底传送系统接收到基底35,就将其下降到基底座30的上表面上。
可以例如通过静电吸附系统而将基底35固定到基底座30上。而且基底座30还可以例如包括冷却系统,冷却系统包括从基底座30接收热量并将热量传送到热交换系统(未图示)或者当加热时从热交换系统传送热量的再循环冷却液流。而且,气体可以例如通过背侧气体系统而传递到基底35的背面,从而改善在基底35和基底座30之间的气隙热传导。当需要以升高或降低的温度对基底进行温度控制时可以利用这样一种系统。在其它实施例中,还可以包括加热元件,例如阻加热元件或热电加热器/致冷器。
在图1中所显示的实施例中,基底座30可包括电极,RF电源通过该电极而连接到加工间12中的加工等离子体。例如,以从RF发生器(未图示)通过阻抗匹配网络(未图示)到基底座30的RF电能传递而来的RF电压可以对基底座30进行电偏压。该RF偏压可用来加热电子从而形成并维持等离子体。在这种构造中,该系统可以作为反应离子蚀刻(RIE)反应堆而操作,其中室和上部气体喷射电极用做地表面。对于RF偏压的典型频率的范围可以从1MHz到100MHz并且优选为13.56MHz。用于等离子体加工的RF系统对于本领域普通技术人员来说是公知的。
或者,可以利用平行板、电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、及其任意结合以及用和不用DC磁系统来形成在加工间12中形成的加工等离子体。或者可以利用电子回旋加速器谐振(ECR)来形成加工间12中的加工等离子体。在另一实施例中,可以通过Helicon波的发射来形成加工间12中的加工等离子体。在另一实施例中,可以通过表面波的传播来形成加工间12中的加工等离子体。
现在参见图2(截面图)和图3(局部平面图)中所示的本发明的示意实施例,波纹管罩54包括柱形壁80,柱形壁80包括内表面82、外表面84、第一端86和第二端88。柱形壁80的第一端86包括结合到柱形壁80并用于将波纹管罩54连接到基底座30上的附加凸缘90、以及容纳基底座30的上表面的通孔92。柱形壁80的第二端88包括端表面94。
图4提供了附加凸缘90的放大图,附加凸缘90结合到柱形壁80并用于将波纹管罩54结合到基底座30上。附加凸缘90包括内部表面96、内径表面97和外部表面98。此外,内部表面96可包括配合表面99并且外部表面可包括安装表面91,安装表面91用于将波纹管罩54结合到基底座30上。
此外,附加凸缘90可以例如包括多个紧固件接收器100,每个紧固件接收器100结合到内部表面96和外部表面98并且用于接收紧固器件(未图示)(如螺钉)从而将波纹管罩54结合到基底座30上。紧固件接收器100可包括入口腔102、出口通孔104以及内接收表面106。例如,在波纹管罩54内形成的紧固件接收器100的数量的范围可以是从0到100。理想地,紧固件接收器100的数量的范围可以从5到20;并且紧固件接收器100的数量优选为至少5个。
图5提供了形成柱形壁80的第二端88的端表面94的放大图。
现在参照图2至5,波纹管罩54还包括形成于波纹管罩54的多个暴露表面110上的保护层150。在本发明的一个实施例中,多个暴露表面110可包括柱形壁80的端表面94、柱形壁80的外表面84以及邻近于柱形壁80的外表面84的附加凸缘90的外部表面98。或者,暴露表面110还可包括余下的在波纹管罩54上的所有表面。
在本发明的一个实施例中,保护层150可包括含有铝的氧化物如Al2O3的化合物。在本发明的另一实施例中,保护层150可包括Al2O3和Y2O3的混合物。在本发明的另一实施例中,保护层150可包括III列元素(周期表的列III)和镧系元素中的至少一种。在本发明的另一实施例中,III列元素可包括钇、钪和镧中的至少一种。在本发明的另一实施例中,镧系元素可包括铈、镝和铕中的至少一种。在本发明的另一实施例中,形成保护层150的化合物可包括氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
在本发明的一个实施例中,形成于波纹管罩54上的保护层150具有最小厚度,其中最小厚度可以指定为横过过多个暴露表面110中的至少一个上的常数。在另一实施例中,最小厚度可以是横过多个暴露表面110中的至少一个上的变数。或者,最小厚度可以是多个暴露表面110中的至少一个的第一部分上的常数和多个暴露表面110中的至少一个的第二部分上的变数(即可变厚度可以出现在弯曲表面上、拐角上或孔里)。例如,最小厚度的范围可以从0.5微米到500微米。理想地,最小厚度的范围从100微米到200微米;并且最小厚度优选为至少20微米。
图6显示了根据本发明的一个实施例生产图1中所示的等离子体加工系统中的波纹管罩的方法。流程图300以制作波纹管罩54(如上所述)的步骤310开始。制作波纹管罩的步骤可以包括机械加工、铸造、磨光、锻造和抛光中的至少之一。例如,利用包括铣、车等的传统技术按照机械制图上列出的说明可以机械加工上述每个元件。利用例如铣或车来机械加工部件的技术对于机械加工领域的普通技术人员来说是众所周知的。波纹管罩54可以例如由铝制成。
在步骤320中,阳极氧化波纹管罩以形成表面阳极氧化层。例如,当用铝制作波纹管罩时,表面阳极氧化层包括铝的氧化物(Al2O3)。阳极氧化铝部件的方法对于表面阳极氧化领域的普通技术人员来说是众所周知的。
在步骤330中,利用标准机械加工技术从暴露表面110上除去表面阳极氧化层。在该步骤或一个单独步骤中,还可以机械加工另外的非暴露表面(例如附加凸缘的内部表面和多个紧固件接收器的内接收表面的配合表面)。可以机械加工这些非暴露表面以便在这些部分和与之配合的部分之间提供较好的机械或电接触。
在步骤340中,在暴露表面110上形成保护层150。利用(热)喷涂技术可以形成含有例如氧化钇的保护层,喷涂技术对于陶器喷涂领域中的普通技术人员来说是众所周知的。在一个选择实施例中,形成保护层的步骤还可包括磨光(或精加工)热喷涂层。例如,磨光热喷涂层可包括在喷涂表面上应用砂纸。
图7显示了根据本发明的另一实施例生产图1中所示的等离子体加工系统中的波纹管罩的方法。流程图400以制作波纹管罩54(如上所述)的步骤410开始。制作波纹管罩的步骤可以包括机械加工、铸造、磨光、锻造和抛光中的至少之一。例如,利用包括铣、车等的传统技术按照机械制图上列出的说明可以机械加工上述每个元件。利用例如铣或车来机械加工部件的技术对于机械加工领域的普通技术人员来说是众所周知的。波纹管罩54可以例如由铝制成。
在步骤420中,将暴露表面110掩模以防止在其上形成表面阳极氧化层。在该步骤或一个单独步骤中,可以将另外的非暴露表面(例如附加凸缘的内部表面和多个紧固件接收器的内接收表面)掩模。可以掩模这些非暴露表面以便在这些部分和与之配合的部分之间提供较好的机械或电接触。表面掩模和去掩模的技术对于表面涂敷和表面阳极氧化领域中的普通技术人员来说是众所周知的。
在步骤430中,阳极氧化波纹管罩以在剩余的未掩模表面上形成表面阳极氧化层。例如,当用铝制作波纹管罩时,表面阳极氧化层可包括铝的氧化物(Al2O3)。阳极氧化铝部件的方法对于表面阳极氧化领域中的普通技术人员来说是众所周知的。
在步骤440中,在暴露表面110上形成保护层150。利用(热)喷涂技术可以形成含有例如氧化钇的保护层,喷涂技术对于陶器喷涂领域中的普通技术人员来说是众所周知的。在一个选择实施例中,形成保护层的步骤还可包括磨光(或精加工)热喷涂层。例如,磨光热喷涂层可包括在喷涂表面上应用砂纸。
图8显示了根据本发明的另一实施例生产图1中所示的等离子体加工系统中的电极板的方法。流程图500以制作波纹管罩54(如上所述)的步骤510开始。制作电极板的步骤可以包括机械加工、铸造、磨光、锻造和抛光中的至少之一。例如,利用包括铣、车等的传统技术按照机械制图上列出的说明可以机械加工上述每个元件。利用例如铣或车来机械加工部件的技术对于机械加工领域的普通技术人员来说是众所周知的。电极板可以例如由铝制成。
在步骤520中,在电极板的暴露表面110上形成保护层。利用(热)喷涂技术可以形成含有例如氧化钇的保护层,喷涂技术对于陶器喷涂领域中的普通技术人员来说是众所周知的。在一个选择实施例中,形成保护层的步骤还可包括磨光(或精加工)热喷涂层。例如,磨光热喷涂层可包括在喷涂表面上应用砂纸。
参照图6-8所示的在暴露表面110上形成保护层150的工序可以改进,以利用机械加工和掩模的组合。在这种改进的工序中,至少一个暴露表面110被掩模,以在阳极氧化其它暴露表面110的同时防止在其上形成阳极氧化层。然后机械加工未掩模的暴露表面110,并将掩模的暴露表面去掩模。然后可以在所有暴露表面110上形成保护层150。如上所述,利用在其上形成阳极氧化层的方法期间还可以机械加工为非暴露表面的额外表面(例如为了提供较好的机械或电接触)。
尽管上面已经详细说明了本发明的仅几个示范实施例,但是本领域普通技术人员可以容易地理解:在本质上不脱离本发明新颖教导和优点的前提下,可以对示范实施例进行多种修改。此外,所有的这些修改意欲包含于本发明的范围之内。

Claims (61)

1.一种改进的波纹管罩,用于保护在等离子体加工系统的基底座上的波纹管,其包括:
具有内表面、外表面、第一端和第二端的柱形壁,其中所述第一端包括附加凸缘,所述附加凸缘包括结合到所述柱形壁的所述内表面并用于与所述基底座配合的内部表面、结合到所述内部表面的内径表面以及结合到所述外表面和所述内径表面的外部表面,其中所述柱形壁的所述第二端包括端表面;以及
结合到所述波纹管罩的多个暴露表面的保护层,其中所述多个暴露表面包括所述第二端的所述端表面、所述柱形壁的所述外表面、以及所述第一端的所述附加凸缘的所述外部表面。
2.如权利要求1所述的改进的波纹管罩,其中,所述附加凸缘还包括多个紧固件接收器,它们结合到所述附加凸缘的所述内部表面和所述外部表面并用于接收紧固器件从而将所述波纹管罩连接到所述基底座上。
3.如权利要求2所述的改进的波纹管罩,其中,所述多个紧固件接收器中的每一个都包括进口腔、出口通孔以及内接收表面。
4.如权利要求1所述的改进的波纹管罩,其中,所述改进的波纹管罩包括金属。
5.如权利要求4所述的改进的波纹管罩,其中,所述金属包括铝。
6.如权利要求1所述的改进的波纹管罩,其中,所述保护层包括含有III列元素和镧系元素中的至少一种的化合物。
7.如权利要求6所述的改进的波纹管罩,其中,所述III列元素包括钇、钪和镧中的至少一种。
8.如权利要求6所述的改进的波纹管罩,其中,所述镧系元素包括铈、镝和铕中的至少一种。
9.如权利要求1所述的改进的波纹管罩,其中,所述保护层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
10.如权利要求1所述的改进的波纹管罩,其中,所述保护层包括具有最小厚度的热喷涂层,并且所述最小厚度为横过所述暴露表面中的至少一个的常数。
11.如权利要求1所述的改进的波纹管罩,其中,所述保护层包括具有最小厚度的热喷涂层,并且所述最小厚度为横过所述暴露表面中的至少一个上的变数。
12.如权利要求1所述的改进的波纹管罩,其中,所述柱形壁具有至少两毫米的最小厚度。
13.如权利要求1所述的改进的波纹管罩,其中,所述内径表面包括至少200毫米的最小直径。
14.一种波纹管罩,用于保护在等离子体加工系统的基底座上的波纹管,其包括:
柱形元件,其包括内表面、外表面、结合到所述内表面并用于与所述基底座配合的内部表面、结合到所述内部表面的内径表面、结合到所述外表面和所述内径表面的外部表面、以及结合到所述内表面和所述外表面的端表面;以及
结合到所述波纹管罩的多个暴露表面的保护层,其中所述多个暴露表面包括所述端表面、所述外表面和所述外部表面。
15.如权利要求14所述的波纹管罩,还包括多个紧固件接收器,它们结合到所述内部表面和所述外部表面并用于接收紧固器件从而将所述波纹管罩结合到所述基底座。
16.如权利要求15所述的波纹管罩,其中,所述多个紧固件接收器中的每一个都包括进口腔、出口通孔以及内接收表面。
17.如权利要求14所述的波纹管罩,所述内部表面还包括配合表面。
18.如权利要求17所述的波纹管罩,还包括多个紧固件接收器,它们结合到所述配合表面和所述外部表面并用于接收紧固器件,从而将所述波纹管罩结合到所述基底座。
19.如权利要求14所述的波纹管罩,所述外部表面还包括安装表面。
20.如权利要求19所述的波纹管罩,还包括多个紧固件接收器,它们结合到所述安装表面和所述内部表面并用于接收紧固器件,从而将所述波纹管罩结合到所述基底座。
21.如权利要求14所述的波纹管罩,还包括金属。
22.如权利要求21所述的波纹管罩,其中,所述金属包括铝。
23.如权利要求14所述的波纹管罩,其中,所述内径表面包括大于200mm的直径。
24.如权利要求14所述的波纹管罩,其中,所述保护层包括含有III列元素和镧系元素中的至少一种的化合物。
25.如权利要求24所述的波纹管罩,其中,所述III列元素包括钇、钪和镧中的至少一种。
26.如权利要求24所述的波纹管罩其中,所述镧系元素包括铈、镝和铕中的至少一种。
27.如权利要求14所述的波纹管罩,其中,所述保护层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
28.如权利要求14所述的波纹管罩,所述内表面还包括阳极氧化层。
29.如权利要求28所述的波纹管罩,其中,所述阳极氧化层包括Al2O3
30.如权利要求14所述的波纹管罩,所述内部表面还包括阳极氧化层。
31.一种制作包围等离子体加工系统中的波纹管的波纹管罩的方法,所述方法包括以下步骤:
制作所述波纹管罩,所述波纹管罩包括柱形元件,其具有内表面、外表面、结合到所述内表面并用于与所述等离子体加工系统中的基底座配合的内部表面、结合到所述内部表面的内径表面、结合到所述外表面和所述内径表面的外部表面、以及结合到所述内表面和所述外表面的端表面;并且
在暴露表面上形成保护层,所述暴露表面包括所述端表面、所述外表面和所述外部表面。
32.如权利要求31所述的方法,所述方法还包括:
阳极氧化所述波纹管罩以在所述波纹管罩上形成表面阳极氧化层;并且
去除所述暴露表面上的所述表面阳极氧化层。
33.如权利要求32所述的方法,其中,所述去除步骤包括机械加工、精加工、磨光和抛光中的至少之一。
34.如权利要求31所述的方法,所述方法还包括:
掩模所述波纹管罩上的所述暴露表面以防止形成表面阳极氧化层;
阳极氧化所述波纹管罩以在所述波纹管罩的未掩模表面上形成表面阳极氧化层;以及
将所述暴露表面去掩模。
35.如权利要求31所述的方法,其中,所述制作步骤包括机械加工、涂覆、掩模、去掩模、铸造、磨光、锻造和抛光中的至少之一。
36.如权利要求31所述的方法,其中,所述形成步骤包括喷涂、加热和冷却中的至少之一。
37.如权利要求31所述的方法,所述方法还包括精加工所述保护层。
38.如权利要求31所述的方法,其中,所述波纹管罩还包括多个紧固件接收器,它们结合到所述内部表面和所述外部表面并用于接收紧固器件,从而将所述波纹管罩结合到所述基底座。
39.如权利要求38所述的方法,其中,所述多个紧固件接收器中的每一个都包括进口腔、出口通孔以及内接收表面。
40.如权利要求31所述的方法,所述内部表面还包括配合表面。
41.如权利要求31所述的方法,所述外部表面还包括安装表面。
42.如权利要求31所述的方法,所述波纹管罩包括金属。
43.如权利要求42所述的方法,其中,所述金属包括铝。
44.如权利要求31所述的方法,其中,所述暴露表面还包括所述内径表面。
45.如权利要求31所述的方法,其中,所述保护层包括含有III列元素和镧系元素中的至少一种的化合物。
46.如权利要求45所述的方法,其中,所述III列元素包括钇、钪和镧中的至少一种。
47.如权利要求45所述的方法,其中,所述镧系元素包括铈、镝和铕中的至少一种。
48.如权利要求31所述的方法,其中,所述保护层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
49.如权利要求31所述的方法,其中,所述保护层包括最小厚度,并且所述最小厚度为横过所述暴露表面中的至少一个的常数。
50.如权利要求31所述的方法,其中,所述保护层包括可变厚度并且所述可变厚度的范围从0.5到500微米。
51.一种制作能够结合到等离子体加工系统的基底座的改进的波纹管罩的方法,所述方法包括以下步骤:
制作所述波纹管罩,所述波纹管罩包括具有内表面、外表面、第一端和第二端的柱形壁,其中所述第一端包括附加凸缘,所述附加凸缘包括结合到所述柱形壁的所述内表面并用于与所述基底座配合的内部表面、结合到所述内部表面的内径表面以及结合到所述柱形壁的所述外表面和所述内径表面的外部表面,其中所述柱形壁的所述第二端包括端表面;
阳极氧化所述波纹管罩以在所述波纹管罩上形成表面阳极氧化层;
机械加工所述波纹管罩上的暴露表面以除去所述表面阳极氧化层,所述暴露表面包括所述柱形壁的所述端表面、所述柱形壁的所述外表面以及所述附加凸缘的所述外部表面;以及
在暴露表面上形成保护层。
52.如权利要求51所述的方法,其中,所述附加凸缘还包括多个紧固件接收器,它们结合到所述附加凸缘的所述内部表面和所述外部表面并用于接收紧固器件,从而将所述波纹管罩结合到所述基底座。
53.如权利要求51所述的方法,其中,所述保护层包括含有III列元素和镧系元素中的至少一种的化合物。
54.如权利要求51所述的方法,其中,所述保护层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
55.一种制作能够结合到等离子体加工系统的基底座的改进的波纹管罩的方法,所述方法包括以下步骤:
制作所述波纹管罩,所述波纹管罩包括具有内表面、外表面、第一端和第二端的柱形壁,其中所述柱形壁的所述第一端包括附加凸缘,所述附加凸缘包括结合到所述柱形壁的所述内表面并用于与所述基底座配合的内部表面、内径表面以及结合到所述柱形壁的所述外表面的外部表面,其中所述柱形壁的所述第二端包括端表面;
掩模所述波纹管罩上的暴露表面以防止形成表面阳极氧化层,所述暴露表面包括所述柱形壁的所述端表面、所述柱形壁的所述外表面以及结合到所述柱形壁的所述附加凸缘的所述外部表面;
阳极氧化所述波纹管罩以在所述波纹管罩上形成所述表面阳极氧化层;
将暴露表面去掩模;以及
在暴露表面上形成保护层。
56.如权利要求55所述的方法,其中,所述附加凸缘还包括多个紧固件接收器,它们结合到所述附加凸缘的所述内部表面和所述外部表面并用于接收紧固器件,从而将所述波纹管罩结合到所述基底座。
57.如权利要求56所述的方法,其中,所述多个紧固件接收器中的每一个都包括进口腔、出口通孔以及内接收表面。
58.如权利要求57所述的方法,还包括掩模所述内接收表面。
59.如权利要求55所述的方法,其中,所述保护层包括含有III列元素和镧系元素中的至少一种的化合物。
60.如权利要求55所述的方法,其中,所述保护层包括Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
61.一种制作能够结合到等离子体加工系统的基底座的改进的波纹管罩的方法,所述方法包括以下步骤:
制作所述波纹管罩,所述波纹管罩包括具有内表面、外表面、第一端和第二端的柱形壁,其中所述柱形壁的所述第一端包括附加凸缘,所述附加凸缘包括结合到所述柱形壁的所述内表面的内部表面,所述内部表面具有用于与所述基底座配合的配合表面,附加凸缘还包括内径表面以及结合到所述柱形壁的所述外表面的外部表面,其中所述柱形壁的所述第二端可包括端表面;
掩模所述波纹管罩上的暴露表面以防止形成表面阳极氧化层,所述暴露表面包括所述柱形壁的所述端表面、所述柱形壁的所述外表面以及结合到所述柱形壁的所述附加凸缘的所述外部表面;
阳极氧化所述波纹管罩以在所述波纹管罩上形成所述表面阳极氧化层;
将所述暴露表面去掩模;
机械加工所述附加凸缘的所述内部表面的所述配合表面;以及
在暴露表面上形成保护层。
CNB038223767A 2002-09-30 2003-09-29 用于等离子体加工系统中的改进的波纹管罩的方法和装置 Expired - Fee Related CN100508103C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,306 2002-09-30
US10/259,306 US7204912B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved bellows shield in a plasma processing system

Publications (2)

Publication Number Publication Date
CN1682345A true CN1682345A (zh) 2005-10-12
CN100508103C CN100508103C (zh) 2009-07-01

Family

ID=32029477

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038223767A Expired - Fee Related CN100508103C (zh) 2002-09-30 2003-09-29 用于等离子体加工系统中的改进的波纹管罩的方法和装置

Country Status (6)

Country Link
US (2) US7204912B2 (zh)
JP (1) JP4627659B2 (zh)
KR (1) KR100699636B1 (zh)
CN (1) CN100508103C (zh)
AU (1) AU2003269394A1 (zh)
WO (1) WO2004030012A2 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP2006086230A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5398358B2 (ja) * 2009-05-29 2014-01-29 三菱重工業株式会社 基板支持台の構造及びプラズマ処理装置
JP5558035B2 (ja) * 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
JP5518598B2 (ja) * 2010-07-02 2014-06-11 東京エレクトロン株式会社 パーティクル分布解析支援方法及びその方法を実施するためのプログラムを記録した記録媒体
CN102322268B (zh) * 2011-08-30 2013-06-19 中国铁建重工集团有限公司 一种盾构机推进油缸保护装置
CN102361529A (zh) * 2011-09-29 2012-02-22 北京航空航天大学 一种采用同轴保护气流的等离子体射流保护罩
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
KR102554832B1 (ko) * 2015-05-07 2023-07-11 어플라이드 머티어리얼스, 인코포레이티드 챔버 컴포넌트들을 위한 부식 제어
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
KR101975834B1 (ko) * 2017-03-21 2019-05-08 주식회사 삼일프론테크 벨로우즈 보호막 형성방법 및 벨로우즈 보호막 형성시스템
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3663870A (en) * 1968-11-13 1972-05-16 Tokyo Shibaura Electric Co Semiconductor device passivated with rare earth oxide layer
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
DE3335839A1 (de) * 1983-10-03 1985-04-18 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren zur absenkung der reaktivitaet und zum abschalten eines gasgekuehlten, graphitmoderierten kernreaktors und graphitelement mit abschaltsubstanz
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
US6238774B1 (en) * 1988-02-04 2001-05-29 Fujitsu Limited Protection of oxide superconductor
DE69015715T2 (de) 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) * 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JPH05121360A (ja) * 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk 半導体処理装置
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
EP0573057A1 (en) 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
WO1994014878A1 (en) 1992-12-28 1994-07-07 Nippon Zeon Co., Ltd. Molding with hard-coating layer and process for producing the same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JP3208008B2 (ja) * 1994-05-24 2001-09-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
DE4413173C2 (de) * 1994-04-15 1996-02-15 Ibm Vorrichtung zur unterbrechungsfreien Kühlung von Geräten
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) * 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) * 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
ES2204853T3 (es) * 2000-03-15 2004-05-01 Paul-Eric Preising Procedimiento y dispositivo de limpieza para piezas de instalaciones conductoras de alta tension.
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6695929B2 (en) 2001-02-07 2004-02-24 Sumitomo Special Co., Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
DE10132943A1 (de) * 2001-07-06 2003-01-23 Degussa Silanmodifizierter oxidischer oder silikatischer Füllstoff, Verfahren zu dessen Herstellung und dessen Verwendung
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
ATE407458T1 (de) * 2001-09-21 2008-09-15 Fujifilm Corp Organische elektrolumineszente vorrichtung
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7494723B2 (en) * 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Also Published As

Publication number Publication date
US7678226B2 (en) 2010-03-16
KR100699636B1 (ko) 2007-03-23
JP2006501646A (ja) 2006-01-12
AU2003269394A1 (en) 2004-04-19
AU2003269394A8 (en) 2004-04-19
JP4627659B2 (ja) 2011-02-09
US20070125494A1 (en) 2007-06-07
KR20050053712A (ko) 2005-06-08
US7204912B2 (en) 2007-04-17
WO2004030012A3 (en) 2004-12-16
WO2004030012A2 (en) 2004-04-08
CN100508103C (zh) 2009-07-01
US20040060656A1 (en) 2004-04-01

Similar Documents

Publication Publication Date Title
CN1682345A (zh) 用于等离子体加工系统中的改进的波纹管罩的方法和装置
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
CN100555550C (zh) 等离子加工系统中的改进的上电极板的方法和装置
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US8057600B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
CN100495413C (zh) 用于邻接在处理元件上的相邻覆层的方法
KR101016913B1 (ko) 처리요소용 배리어층 및 그의 형성방법
CN1853254A (zh) 用于改良的挡板的方法和装置
CN1849691A (zh) 包括改进聚焦环的方法和装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090701

Termination date: 20180929

CF01 Termination of patent right due to non-payment of annual fee