KR20050053712A - 플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법 - Google Patents

플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법 Download PDF

Info

Publication number
KR20050053712A
KR20050053712A KR1020057005450A KR20057005450A KR20050053712A KR 20050053712 A KR20050053712 A KR 20050053712A KR 1020057005450 A KR1020057005450 A KR 1020057005450A KR 20057005450 A KR20057005450 A KR 20057005450A KR 20050053712 A KR20050053712 A KR 20050053712A
Authority
KR
South Korea
Prior art keywords
bellows shield
shield
bellows
recited
protective layer
Prior art date
Application number
KR1020057005450A
Other languages
English (en)
Other versions
KR100699636B1 (ko
Inventor
히데히토 사이구사
다이라 다카세
고지 미쓰하시
히로유키 나카야마
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050053712A publication Critical patent/KR20050053712A/ko
Application granted granted Critical
Publication of KR100699636B1 publication Critical patent/KR100699636B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

플라즈마 처리시스템의 기판홀더에 연결되어 있는 벨로우즈 실드를 제공하는 것이다. 상기 플라즈마 처리시스템은 안쪽면, 바깥면, 제1단, 제2단을 가진 실린더형상의 벽을 구비하고 있다
실린더형상의 벽의 제1단은 부착플랜지를 구비하고 있으며, 상기 부착플랜지는 내부면, 안쪽지름면과 외부면을 구비하고 있다. 상기 내부면은 실린더형상의 벽의 안쪽면에 연결되어 있으며, 기판홀더에 접촉할 수 있도록 형상을 이루고 있다. 상기 외부면은 실린더형상의 벽의 바깥면에 연결되어 있다. 실린더형상의 벽의 제2단은 끝단면을 구비할 수 있다.

Description

플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한 장치 및 방법 {Method And Apparatus For An Improved Bellows Shield In A Plasma Processing System}
본 발명은 플라즈마 처리시스템을 위한 개선된 요소에 관한 것으로, 보다 상세하게는 벨로우즈를 보호하기 위하여 플라즈마처리시스템에 적용된 벨로우즈 실드에 관한 것이다.
[관련출원의 상호참조]
본 출원은 미국특허출원번호가 10/XXX,XXX 이고, 대리인 파일번호가 226272US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 용착 실드가 구비된 개선된 상부 전극판을 위한 장치 및 방법",
미국특허출원번호가 10/XXX,XXX 이고, 대리인 파일번호가 226274US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및 방법",
미국특허출원번호가 10/XXX,XXX 이고, 대리인 파일번호가 228411US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및 방법",
미국특허출원번호가 10/XXX,XXX 이고, 대리인 관리번호가 226275US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 용착 실드를 위한 장치 및 방법",
미국특허출원번호가 10/XXX,XXX 이고, 대리인 관리번호가 226276US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 광학창 용착실드를 위한 장치 및 방법",
미국특허출원번호가 10/XXX,XXX 이고, 대리인 관리번호가 225277US6YA이며, 이 출원과 동일자로 출원된 "플라즈마 처리 시스템에서 개선된 상부 전극판를 위한 장치 및 방법"과 관련이 있다. 이 모든 출원의 전체 내용은 본원에 참고로 포함된다.
[종래기술]
반도체산업분야에서 집적회로(IC)의 제작은 일반적으로 기판에서 물질을 제거하거나 물질을 침전시키게 하는 플라즈마반응기내에서 표면화학작용을 생성하거나 보조하게 하기 위하여 플라즈마를 이용하고 있다.
일반적으로 플라즈마는 공급된 처리가스와 충돌에 의해 이온상태를 유지하기에 충분한 에너지를 가진 가열된 전자에 의해 진공상태로 되어 있는 플라즈마 반응기내에서 형성된다.
또한, 가열된 전자는 해리충돌을 유지하기에 충분한 에너지를 가지고 있다. 그러므로, 미리 지정된 상태(예를 들면, 실내압력, 가스유동률 등)에서의 가스내 특별한 입자가 반응실내에서 수행되는 특별한 과정에 적합한 대전입자 및 화학적 반응입자을 생성하는데 선택된다.
비록 대전입자의 집단과 화학적 방전입자의 생성이 기판표면에서 플라즈마처리시스템의 기능을 수행하기 위하여 반드시 필요하나(예를 들면, 물질애칭, 물질침전), 처리실의 내부에 있는 다른 요소표면이 반응플라즈마에 화학적으로 그리고 물리적으로 노츨되면, 표면부식이 발생하게 된다. 플라즈마처리시스템에서 노츨된 요소들에 부식이 일어나면 플라즈마처리성능의 점진적 저하가 일어나게 되고, 최종적으로는 시스템의 완전한 붕괴까지 이르게 된다.
이러한 처리시스템의 노출로 인한 손상을 최소한으로 하기 위하여, 처리플라즈마에 노츨이 유지되는 것으로 알려져 있는 플라즈마처리시스템내의 요소들은 보호층으로 코팅된다.
예를 들면, 알루미늄으로 제작된 요소는 플라즈마에 더 저항력을 가지는 양극산화처리된 알루미늄 표면층을 형성하기 위하여 양극산화된다.
다른예는, 실리콘, 석영, 알루미나, 카본, 실리콘 카바이드등과 같은 소모요소 또는 교체요소와 같이 주기적으로 교체되고, 이러한 교체를 위하여 많은 비용이 드는 중요한 요소들은 표면을 보호하기 위해서 처리실 내부로 넣어지게 된다.
더욱이, 원하지 않는 오염물, 불순물이 플라즈마 처리실과 기판에 형성된 장치등으로 유입되는 것을 최소화할 수 있는 표면재료를 선택하는 것이 바람직하다.
두 경우에 있어서, 보호방벽의 완전성 또는 보호방벽의 제작의 완전성에 관한 보호코팅의 필연적인 실패 및 교체할수있는 요소의 소모성은 플라즈마처리시스템의 주기적 관리를 요구한다. 이러한 주기적인 관리는 플라즈마처리의 미사용시간 및 새로운 플라즈마 처리실로 인한 비용을 발생시키게 되고, 그러한 비용은 과다하게 된다.
본 발명의 장점은 다음 동반된 그림과 관련된 발명의 구체화된 형상에 대한 상세한 표현을 통하여 보다 명백하고 쉽게 이해될 수 있다.
도 1은 본 발명에 따른 벨로우즈 실드를 구비한 플라즈마처리시스템의 단순화된 블록다이아그램.
도 2는 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드의 단면도.
도 3은 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드의 평면도.
도 4는 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드의 부착플랜지의 분해조립도.
도 5는 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드의 제2단에 형성된 끝단면을 나타내는 분해조립도.
도 6은 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드를 생성하기 위한 방법.
도 7은 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드를 생성하기 위한 다른 방법
도 8은 본 발명에 따른 플라즈마처리시스템을 위한 벨로우즈 실드를 생성하기 위한 다른 방법.
본 발명은 플라즈마 처리시스템을 위한 개선된 벨로우즈 실드를 제공하는 것이고, 벨로우즈 실드의 설계 및 제작은 상기 확인된 단점을 효과적으로 처리한다.
본 발명의 목적은 플라즈마 처리시스템의 기판홀더에 연결되어 있는 벨로우즈 실드를 제공하는 것이다. 상기 플라즈마 처리시스템은 안쪽면, 바깥면, 제1단, 제2단을 가진 실린더형상의 벽을 구비하고 있다
실린더형상의 벽의 제1단은 부착플랜지를 구비하고 있으며, 상기 부착플랜지는 내부면, 안쪽지름면과 외부면을 구비하고 있다. 상기 내부면은 실린더형상의 벽의 안쪽면에 연결되어 있으며, 기판홀더에 접촉할 수 있도록 형상을 이루고 있다. 상기 외부면은 실린더형상의 벽의 바깥면에 연결되어 있다. 실린더형상의 벽의 제2단은 끝단면을 구비할 수 있다.
벨로우즈 실드의 부착플랜지는 벨로우즈 실드를 기판홀더에 부착하기 위한 체결기구를 수용하도록 하는 복수의 체결기구 수용기를 더 구비할 수 있다.
각각의 체결기구 수용기는 진입구멍과 배출관통홀과 내부수용면을 구비할 수 있다.
벨로우즈 실드는 처리플라즈마에 접하는 벨로우즈 실드의 복수의 노출면에 형성된 보호층을 더 구비할 수 있다.
본 발명의 목적은 복수의 벨로우즈 실드의 노출면이 실린더형상의 벽의 끝단면, 실린더형상의 벽의 바깥면과 부착플랜지의 외부면을 구비하는 것이며, 상기 부착플랜지는 실린더형상의 벽의 바깥면에 접하고 있다.
본 발명은 다음 단계를 포함하는 플라즈마 처리시스템에서의 벨로우즈 실드를 생산하는 방법을 제공한다.
상기 단계는 벨로우즈 실드를 제작하는 단계와;
벨로우즈 실드에 표면양극산화층을 생성하기 위한 벨로우즈 실드의 양극산화처리단계와;
표면양극산화층을 제거하기 위하여 벨로우즈 실드에 노출면을 기계가공하는 단계와;
노출면에 보호층을 형성하는 단계;로 이루어져 있다.
본 발명은 실제로 플라즈마에 노출되지 않은 다른 부분에 대한 기계가공도 선택적으로 포함할 수 있다. 그런 부분은 양극산화층(예를들면, 보다 나은 기계적 또는 전기적 접촉이 이루어 질 수 있도록)이 자유롭게 접촉될 수 있도록 하기 위하여 기계가공될 수 있다. 그러한 부분은, 한정됨이 없이, 부착플랜지의 내부면과 복수의 체결기구 수용기의 내부수용면을 포함할 수 있다.
본 발명은 다음단계를 포함하는 플라즈마처리시스템에서의 벨로우즈 실드를 생산하는 다른 방법을 제공한다.
이러한 방법은 벨로우즈 실드를 제작하는 단계와;
표면양극산화층의 형성을 방지하기 위하여 벨로우즈 실드에 노출면을 마스크하는 단계와;
벨로우즈 실드에 표면양극산화층을 형성하기 위하여 벨로우즈 실드를 양극산화하는 단계와;
노출면에 보호층을 형성하는 단계;로 이루어 진다.
본 발명은 실제로 플라즈마에 노출되지 않은 다른 부분을 마스크하는 단계도 선택적으로 포함할 수 있다.
그러한 부분은 양극산화층(예를들면, 보다 나은 기계적 또는 전기적 접촉이 이루어 질 수 있도록)이 자유롭게 접촉될 수 있도록 하기 위하여 기계가공될 수 있다. 그러한 부분은, 한정됨이 없이, 부착플랜지의 내부면과 복수의 체결기구 수용기의 내부수용면을 포함할 수 있다.
본 발명은 노출면 위에 보호층을 형성할 수 있도록, 기계가공 및 마스크를 복합적으로 수행하여 벗겨진 노출면을 형상하는 공정을 더 포함한다.
본 발명의 실시예에 따르면, 플라즈마처리시스템(1)은 도 1에 나타나 있는 바와 같이 플라즈마처리실(10), 상부조립체(20), 전극판(24), 기판을 지지하는 기판홀더(30), 플라즈마처리실(10)에 감압된 공기(11)을 제공하기 위한 진공펌프에 결합되어 있는 펌핑덕트(40)로 구성된다.
플라즈마처리실(10)은 기판(35)에 인접한 처리공간(12)에서 처리플라즈마의 형성을 돕는다. 플라즈마처리시스템(1)은 200mm기판, 300mm기판, 또는 그보다 큰 기판을 처리할 수 있도록 되어있다.
도시된 실시예에서, 상부조립체(20)은 최소한 하나의 커버, 하나의 분사조립체와 상부 전극 임피던스 매치 네트워크를 구비한다. 예를 들면, 전극판(24)는 RF소스에 결합되고, 플라즈마처리시스템(1)을 위해 상부전극의 작용을 돕는다.
다른 실시예에서 상부조립체(20)는 커버와 전극판(24)를 구비하고 있으며, 상기 전극판(24)은 플라즈마 처리실(10)과 등가의 전기적포텐셜을 유지한다. 예를 들면, 플라즈마 처리실(10), 상부조립체(20)와 전극판(24)은 전기적으로 그라운드포텐셜에 연결되고, 플라즈마처리시스템(1)을 위한 상부전극의 작용을 돕는다
예를들면, 플라즈마 처리실(10)은 처리공간(12)의 처리플라즈마로부터 플라즈마처리실을 보호하는 용착실드(14)과 광학 뷰포트(optical viewport)(16)를 구비하고 있다. 광학 뷰포트(16)눈 광학창 용착실드(18)의 후면에 결합되어 있는 광학창(17)을 구비하고, 상기 광학창(17)은 광학창 플랜지(18)에 의해서 광학창 용착실드(18)에 결합된다.
O-링과 같은 실링요소는 광학창플랜지(19)와 광학창(17)사이 및 광학창(17)과 광학창 용착실드(18)과의 사이 및 광학창 용착실드(18)과 플라즈마처리실(10)의 사이에 구비된다. 예를 들면, 광학뷰포트는 처리공간(12)에서의 처리플라즈마로부터 방출되는 것들을 눈으로 관찰할 수 있게 한다.
기판홀더(30)은 기판홀더(30)와 플라즈마처리실(10)에 연결하는 벨로우즈(52)에 둘러쌓인 수직이행장치(50)를 구비한다. 상기 벨로우즈(52)는 플라즈마처리실(10)내에 있는 수직이행장치가 낮은 기압(11)에서 밀봉이 될 수 있도록 한다.
이에 더하여, 처리플라즈마로부터 벨로우즈(52)를 보호하기 위하여 형성된 벨로우즈실드(54)은 기판홀더(30)에 결합된다.
예를 들면, 기판홀더(10)는 최소한 하나의 포커스링(60)과 차폐링(62)에 연결되어 있다. 더욱이, 배플판(64)는 기판홀더(30)의 주위까지 뻗어있다.
기판(35)은 기판을 기판홀더내에 있는 상승핀(미도시)에 의해서 받고 기계적으로 이송하는 로봇이송시스템에 의해서 슬롯밸브(미도시)와 처리실공급를 통하여 플라즈마처리실(10)의 안팎으로 이송된다. 기판(35)은 기판이송시스템에 의해서 기판홀더(30)의 상부면에 내려진다.
예를들면, 기판(35)은 정전기적인 클램핑시스템을 통하여 기판홀더에 부착된다. 그러므로 기판홀더(30)은 기판홀더(30)으로부터 받은 열을 열교환시스템(미도시)으로 보내거나, 열교환시스템이 가열된 경우에는 열교환시스템의 열을 전달하는 재순환하는 냉매유동을 가진 쿨링시스템을 더 구비할 수 있다.
더욱이, 가스는 기판(35)과 기판홀더(30)사이의 가스-공극간의 열전도력을 향상시키기 위하여 후면가스시스템에 의해서 기판후면으로 전달될 수 있다.
그런 시스템은 온도상승과 온도하강에 따라 기판의 온도조절이 필요할 때 이용될 수 있다. 다른 실시예에서 저항열소자와 같은 가열소자 또는 열전기적 히터/쿨러가 포함될 수 있다.
도 1에 도시된 실시예에서 기판홀더는 전극을 포함할 수 있으며, 이 전극을 통하여 RF전원은 처리실(12)에 있는 처리플라즈마에 연결될 수 있다. 예를들면, 기판홀더(30)은 임피던스 매치 네트워크를 통하여 RF발전기(미도시)에서 생성된 RF전원의 전송에 의해서 RF전압을 전기적으로 바이어스 될 수 있다.
이러한 RF바이어스는 플라즈마를 형성하고 유지할 수 있도록 전자를 가열할 수 있게 한다. 이 구성에서, 상기 시스템은 반응이온에칭(RIE)리엑터으로 기능할 수 있으며, 상기 처리실과 상부가스분사전자는 그라운드면으로 사용된다.
RF바이어스를 위한 일반적인 주파수는 1Mhz에서 100Mhz까지의 범위내에서 가능하고 바람직하게는 13.56Mhz인 것이 좋다. 플라즈마 처리를 위한 RF시스템은 이러한 종래 기술로써 잘 알려져 있다.
다른 실시예로 처리공간(12)에서 형성된 처리플라즈마는 축전결합 플라즈마(CCP) 소스, 유도결합형 플라즈마(ICP)소스, 그것에 의한 어떤 결합과, 마그넷시스템을 가지거나 가지지 않은 플라즈마평판을 사용하여 형성될 수 있다.
다른 실시예로는 처리공간(12)에서 처리플라즈마는 전자 싸이클로트론 플라즈마(ECR)을 사용하여 형성될 수 있다.
다른 실시예에서, 처리공간(12)내에서의 처리플라즈마는 헬리콘웨이브의 접속으로부터 형성된다.
또한, 다른 실시예에서, 처리공간(12)에서의 처리플라즈마는 진행하는 표면파에서 형성된다.
도 2 와 도 3 에 나타난 실시예에 대하여 언급하면, 벨로우즈 실드(54)는 실린더형상의 벽(80)를 구비하고 있으며, 상기 실린더형상의 벽(80)은 안쪽면(82), 바깥면(84), 제1단(86), 제2단(88)을 구비하고 있다.
실린더형상의 벽(80)은 부착플랜지(90)와 관통홀(92)를 구비하고 있다. 상기 부착플랜지(90)는 실린더형상의 벽(80)에 연결되며, 기판홀더(30)을 벨로우즈 실드(54)에 부착시킬 수 있는 형상을 이루고 있다. 상기 관통홀(92)은 기판홀더(30)의 상부면을 수용할 수 있도록 한다.
실린더형상의 벽(80)의 제2단(88)은 끝단면(94)를 구비하고 있다.
도 4는 부착플랜지(90)의 확대도를 나타낸 것으로, 상기 부착플랜지(90)는 실린더형상의 벽에 연결되어 있으며, 벨로우즈 실드(54)를 기판홀더(30)에 결합시킬 수 있는 형상을 이룬다.
부착플랜지(90)은 내부면(96), 안쪽지름면(97)과 외부면(98)로 구성되어 있다. 덧붙여서, 내부면(96)은 접촉면(99)를 구비할 수 있고, 외부면(98)은 설치면(91)을 구비할 수 있다. 상기 설치면(91)은 기판홀더(30)에 벨로우즈 실드(54)가 결합될 수 있도록 형상을 이루고 있다.
예를 들어, 부착플랜지(90)은 복수의 체결기구 수용기(100)를 더 구비할 수 있다. 상기 각각의 체결기구 수용기(100)는 내부면(96)과 외부면(98)에 연결되어 있으면서, 기판홀더(30)에 벨로우즈 실드(54)가 결합되게 하는 체결기구(미도시)를 수용할 수 있는 형상을 이루고 있다.
상기 체결기구 수용기(100)는 진입구멍(102), 배출관통홀(104)와 내부수용면(106)을 구비하고 있다.
예를 들면, 벨로우즈 실드내에 형성된 체결기구 수용기(100)의 수는 0에서 100정도이다. 바람직하게는 체결기구 수용기(100)의 수는 5에서 20이내인 것이 좋고, 더욱 바람직하게는 체결기구 수용기(100)의 수는 최소 6개 이상인 것이 좋다.
도 5에는 실린더형상의 벽(80)의 제2단(88)을 형성하는 끝단면(94)의 확대된 도면을 나타낸다. 도 2 내지 도 5에서 나타낸 바와 같이, 벨로우즈 실드(54)는 보호층(150)을 구비하고 있으며, 상기 보호층(150)은 벨로우즈 실드(54)의 복수의 노출면(110)에 형성되어 있다.
본 발명에 따른 실시예에서 복수의 노출면(110)은 실린더형상의 벽(80)의 끝단면(94), 실린더형상의 벽(80)의 바깥면(84)와 부착플랜지(90)의 외부면(98)를 더 구비할 수 있다. 상기 부착플랜지(90)는 실린더형상의 벽(80)의 바깥면(84)에 인접하고 있다.
다른 예로써, 노출면(110)은 벨로우즈 실드(54)에 남겨진 모든 면을 더 포함할 수 있다.
본 발명의 실시예에서 보호층(150)은 Al2O3와 같은 산화알루미늄을 포함한 합성물을 포함한다. 본 발명의 다른 실시예에서는 보호층(150)이 Al2O3 와 Y2O3등의 혼합물을 포함한다.
본 발명의 다른 실시예에서는 보호층(150)은 적어도 하나의 3주기 원소와 하나의 란탄족 원소를 포함한다.
본 발명의 다른 실시예에서는 3주기 원소는 적어도 하나의 이트륨, 스칸듐과 란탄을 포함할 수 있다. 본 발명의 다른 실시예에서 란탄족 요소는 적어도 하나의 세륨, 디스프로늄과 유러퓸을 포함한다. 본 발명의 다른 실시예에서 보호층(150)을 형성하는 혼합물은 적어도 하나의 산화이트륨(Y2O3), SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3를 포함하고 있다.
본 발명의 실시예에서, 벨로우즈 실드(54)에 형성된 보호층(150)은 최소의 두께를 가지고 있다. 상기 최소의 두께는 복수의 노출면 중 적어도 하나를 지나면서 일정한 값을 가질 수 있다.
다른 실시예에서, 최소두께는 복수의 노출면 중 적어도 하나를 지나면서 그 값이 변화된다.
다른 실시예에서, 최소의 두께는 복수의 노출면(110) 중 적어도 처음 제1부분에서는 일정한 값을 가지고, 복수의 노출면 중 적어도 다른 제2부분은 변화하는 값을 가진다.(즉, 곡면, 코너, 구멍에서 두께가 변화할 수 있다.)
예를 들면, 최소두께는 0.5미크론에서 500미크론의 범위를 가진다. 바람직하게는 최소두께의 범위는 100미크론에서 200미크론이 좋고, 더 바람직하게는 최소두께가 적어도 20미크론인 것이 좋다.
도 6은 도 1에 도시된 플라즈마 처리시스템에서의 벨로우즈 실드를 생산하는 방법을 나타낸다.
플로우 다이아그램(300)은 상술한 바와 같이 벨로우즈 실드(54)를 제작하는 단계(301)에서 시작한다.
벨로우즈 실드의 제작은 적어도 하나의 기계가공, 캐스팅, 광택, 단조, 그라이딩을 포함할 수 있다. 예를 들면 상기 언급된 각각의 요소들은 종래의 기술, 즉 밀링, 선반등을 사용하여 기계도면에 나타난 사양에 따라 기계가공된다. 밀링, 선반 혼합요소를 이용하여 기계가공하는 기술은 종래에 잘 알려져 있다. 예를 들면 벨로우즈 실드(54)는 알루미늄으로 제작될 수 있다.
320에서 벨로우즈 실드는 표면양극산화층을 형성하기 위하여 양극산화처리된다. 예를들면, 알루미늄으로 배플판을 제작하는 경우 표면양극산화층은 산화알루미늄(Al2O3)을 포함한다. 알루미늄 구성요소의 양극산화하는 방법은 종래의 표면양극산화분야에서 잘 알려져 있다.
330에서 표면양극산화층은 표준 기계가공기술을 사용하여 노출면(110)으로부터 제거된다. 이 단계에서 또는 개별단계에서, 부가적인 비노출면(예를 들면, 플랜지의 내부면의 접촉면과 복수의 체결기구 수용기의 내부 수용면)도 또한 기계가공될 수 있다.
그런 비노출면은 그러한 비노출부분들 및 그 부분들과 접촉되는 다른 부분들이 더 나은 전기적 또는 기계적 접촉을 할 수 있게 하기 위하여 기계가공된다.
340에서 보호층(150)은 노출면(11)위에 형성된다. 이트륨등을 포함한 보호층(150)은 분사코팅기술(열을 이용한)을 사용하여 형성될 수 있다. 상기 분사코팅기술은 세라믹코팅에 대한 분야에서 잘 알려진 기술이다.
다른 실시예에서 보호층은 열분사코팅의 광택단계를 더 포함할 수 있다. 예를 들면, 열분사코팅의 광택은 분사된 표면에 사포를 사용하여 만들어낼 수 있다.
도 7에서는 본 발명의 다른 실시예에 따라서 도 1에 나타난 플라즈마처리시스템에서의 벨로우즈 실드를 생산하는 방법에 관한 것을 나타내고 있다. 플로우 다이아그램(400)은 상술한 벨로우즈 실드(54)의 제작(410)으로 시작된다. 여기서 상기 벨로우즈 실드(54)의 제작은 적어도 하나의 기계가공, 캐스팅, 광택, 단조, 그라이딩을 포함한다. 예를 들면, 상기 언급된 각각의 요소들은 종래의 기술, 즉 밀링, 선반등을 사용하여 기계도면에 나타난 사양에 따라 기계가공된다. 밀링, 선반등을 이용하여 기계가공하는 기술은 종래에 잘 알려져 있다. 예를 들면 벨로우즈 실드는 알루미늄으로부터 제작될 수 있다.
420에서 노출면(110)은 표면산화층의 형성을 방지하기 위하여 마스크된다. 이 단계에서 혹은 분리과정에서 부가적인 비노출면(예를 들면, 플랜지의 내부면의 접촉면과 복수의 체결기구 수용기의 내부 수용면)도 또한 기계가공될 수 있다.
그런 비노출면은 그러한 비노출부분들과 그 부분들과 접촉되는 다른 부분들이 더 나은 전기적 또는 기계적 접촉을 할 수 있게 하기 위하여 기계가공된다.
430에서 벨로우즈 실드는 남겨진 마스크 제거된 표면에 표면양극산화층을 형성하기 위하여 양극산화처리된다. 예를 들면, 알루미늄으로 벨로우즈 실드를 제작하는 경우, 표면산화처리층은 산화알루미늄(Al2O3)을 포함한다. 알루미늄요소을 산화처리하는 방법은 종래의 표면산화처리기술에서 잘 알려져 있다.
440에서 보호층(150)이 노출면(110)위에 형성된다. 이트륨등을 포함한 보호층은 열을 이용한 분사코팅기술을 사용하여 형성될 수 있다. 상기 분사코팅기술은 세라믹코팅에 대한 분야에서 잘 알려진 기술이다.
다른 실시예에서 보호층은 열분사코팅의 광택단계를 더 포함할 수 있다. 예를 들면, 열분사코팅을 이용한 광택은 분사된 표면에 사포를 사용하여 만들어낼 수 있다.
도 8은 본 발명에 따라 도 1에 나타난 플라즈마처리시스템에서의 벨로우즈 실드(54)을 생산하는 방법을 제공한다. 플로우 다이어그램(500)은 벨로우즈 실드(54)의 제작(510)으로 시작된다. 여기서 상기 벨로우즈 실드의 제작은 적어도 하나의 기계가공, 캐스팅, 광택, 단조, 그라이딩을 포함한다. 예를 들면, 상기 언급된 각각의 요소들은 종래의 기술, 즉 밀링, 선반등을 사용하여 기계도면에 나타난 사양에 따라 기계가공된다. 밀링, 선반등을 이용하여 기계가공하는 기술은 종래에 잘 알려져 있다. 예를 들면 벨로우즈 실드는 알루미늄으로부터 제작될 수 있다.
520에서 보호층에는 노출면(110)이 형성된다. 이트륨등을 포함한 보호층(150)은 열을 이용한 분사코팅기술을 사용하여 형성될 수 있다. 상기 분사코팅기술은 세라믹코팅에 대한 분야에서 잘 알려진 기술이다.
다른 실시예에서 보호층은 열분사코팅의 광택단계를 더 포함할 수 있다. 예를 들면, 열분사코팅의 광택은 분사된 표면에 사포를 사용하여 만들어낼 수 있다.
노출표면(110)에 보호층(150)을 형성하는 과정은 도 6 내지 도 8에 나타난 것처럼, 기계가공과 마스크를 복합적으로 이용하기 위하여 수정될 수 있다. 그런 수정된 과정에서, 적어도 하나의 노출면(110)은 노출면(110)이 산화되는 경우 양극산화층의 형성을 방지하기 위해 마스크 될 수 있다. 상기 마스크 제거된 노출면(110)은 기계가공되고, 상기 마스크된 노출면에서 마스크가 제거될 수 있다.
그러한 보호층(150)은 모든 노출면(110)에 형성될 수 있다. 상술한 것처럼, 비노출된 다른 면은 상기 방법(그것에 의해 양극산화층을 형성할 때 보다 나은 기계적 또는 전기적 접촉을 하기 위한 방법)동안에 기계가공될 수 있다.
비록 본 발명의 구체적인 실시예가 몇가지 특정되었지만, 실시예에 따른 새로운 기술적사상과 유리한 효과에서 크게 벗어나지 않는 많은 수정품이 가능하다. 따라서, 모든 이러한 수정은 이 발명의 범위내에 포함된다.

Claims (61)

  1. 플라즈마처리시스템의 기판홀더상의 벨로우즈를 보호하기 위한 개선된 벨로우즈 실드에 있어:
    안쪽면과,
    바깥면과,
    실린더형상의 벽의 안쪽면에 연결되고 기판홀더에 접촉할 수 있는 형상을 하고 있는 내부면과 상기 내부면에 연결되는 안쪽지름면과 상기 바깥면 및 안쪽지름면에 연결된 외부면을 구비하고 있는 부착플랜지를 구비한 제1단과,
    끝단면을 구비한 상기 실린더형상의 벽의 제2단으로 구성된 실린더형상의 벽과;
    제2단의 끝단면과 상기 실린더형상의 벽의 바깥면과, 상기 제1단의 부착플랜지의 외부면을 구비한 상기 벨로우즈 실드의 복수의 노출면에 결합된 보호층;으로 구성된 것을 특징으로 하는 개선된 벨로우즈 실드.
  2. 제 1 항에 있어서,
    상기 부착플랜지는 내부면과 외부면에 연결되고, 벨로우즈 실드를 기판홀더에 결합되게 하는 체결기구를 수용하도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 개선된 벨로우즈 실드.
  3. 제 2 항에 있어서, 상기 각각의 복수의 체결기구 수용기는 진입구멍, 배출관통홀과 내부 수용면을 구비한 것을 특징으로 하는 개선된 벨로우즈 실드.
  4. 제 1항에 있어서, 개선된 벨로우즈 실드는 금속으로 이루어진 것을 특징으로 하는 개선된 벨로우즈 실드.
  5. 제 4 항에 있어서, 상기 금속은 알루미늄인 것을 특징으로 하는 개선된 벨로우즈 실드.
  6. 제 1 항에 있어서, 상기 보호층은 적어도 하나의 3주기 원소와 란탄족원소을 함유한 혼합물을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드.
  7. 제 6 항에 있어서, 상기 적어도 하나의 3주기 원소는 최소한 하나의 이트륨, 스칸듐과 란탄을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드.
  8. 제 6 항에 있어서, 상기 란탄족원소는 적어도 하나의 세륨, 디스프로늄과 유러퓸을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드.
  9. 제 1항에 있어서, 상기 보호층은 적어도 하나의 산화이트륨(Y2O3), SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3 를 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드.
  10. 제 1 항에 있어서, 상기 보호층은 최소두께를 가진 열분사코팅으로 이루어지며, 상기 최소두께는 적어도 하나의 노출면을 지나면서 일정한 것을 특징으로 하는 개선된 벨로우즈 실드.
  11. 제 1 항에 있어서, 상기 보호층은 최소두께를 가진 열분사코팅으로 이루어지며, 상기 최소두께는 적어도 하나의 상기 노출면을 지나면서 변하는 것을 특징으로 하는 개선된 벨로우즈 실드.
  12. 제 1 항에 있어서, 상기 실린더형상의 벽은 적어도 2밀리미터의 최소두께를 가지는 것을 특징으로 하는 개선된 벨로우즈 실드.
  13. 제 1항에 있어서, 안쪽지름면은 최소 200밀리미터의 최소지름을 가진 것을 특징으로 하는 개선된 벨로우즈 실드.
  14. 플라즈마처리시스템의 기판홀더상의 벨로우즈를 보호하기 위한 벨로우즈실드에 있어:
    안쪽면과,
    바깥면과,
    상기 안쪽면에 연결되고 기판홀더에 접촉하고 있는 내부면과,
    내부면에 접하는 안쪽지름면과 상기 바깥면에 연결된 외부면과,
    상기 안쪽면과 바깥면에 연결된 끝단면을 구비하고 있는 실린더요소와;
    끝단면, 바깥면, 외부면으로 이루어진 벨로우즈 실드의 복수의 노출면에 연결되어 있는 보호층;을 구비하는 것을 특징으로 하는 벨로우즈 실드.
  15. 제 14 항에 있어서,
    상기 내부면과 상기 외부면에 연결되고 벨로우즈 실드를 기판홀더에 결합되게 하는 체결기구를 수용하도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 벨로우즈 실드.
  16. 제 15 항에 있어서, 상기 각각의 복수의 체결기구 수용기는 진입구멍, 배출관통홀과 내부 수용면을 구비한 것을 특징으로 하는 벨로우즈 실드.
  17. 제 14 항에 있어서, 상기 내부면은 접촉면을 더 구비한 것을 특징으로 하는 벨로우즈 실드.
  18. 제 17 항에 있어서, 상기 접촉면과 외부면에 연결되어 있으며, 기판홀더에 벨로우즈 실드를 결합시키는 체결기구가 수용될 수 있도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 벨로우즈 실드.
  19. 제 14 항에 있어서, 상기 외부면은 설치면을 더 구비한 것을 특징으로 하는 벨로우즈 실드.
  20. 제 19 항에 있어서, 상기 설치면과 내부면에 연결되어 있으며, 기판홀더에 벨로우즈 실드를 결합시키는 체결기구가 수용될 수 있도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 벨로우즈 실드.
  21. 제 14 항에 있어서, 벨로우즈 실드는 금속으로 이루어진 것을 특징으로 하는 벨로우즈 실드.
  22. 제 21 항에 있어서, 상기 금속은 알루미늄인 것을 특징으로 하는 벨로우즈 실드.
  23. 제 14 항에 있어서, 상기 안쪽지름면은 200밀리미터보다 큰 직경을 포함하는 것을 특징으로 하는 벨로우즈 실드.
  24. 제 14 항에 있어서, 상기 보호층은 적어도 하나의 3주기 원소와 란탄족원소을 함유한 혼합물을 포함하는 것을 특징으로 하는 벨로우즈 실드.
  25. 제 14 항에 있어서, 상기 적어도 하나의 3주기 원소는 최소한 하나의 이트륨, 스칸듐과 란탄을 포함하는 것을 특징으로 하는 벨로우즈 실드.
  26. 제 24 항에 있어서, 상기 란탄족원소는 적어도 하나의 세륨, 디스프로늄과 유러퓸을 포함하는 것을 특징으로 하는 벨로우즈 실드.
  27. 제 14 항에 있어서, 상기 보호층은 적어도 하나의 산화이트륨(Y2O3), SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3를 포함하는 것을 특징으로 하는 벨로우즈 실드.
  28. 제 14 항에 있어서, 상기 안쪽면은 양극산화층을 포함하고 있는 것을 특징으로 하는 벨로우즈 실드.
  29. 제 28 항에 있어서, 상기 양극산화층은 Al2O3를 포함하는 것을 특징으로 하는 벨로우즈 실드.
  30. 제 14 항에 있어서, 상기 내부면은 양극산화층을 더 포함하는 것을 특징으로 하는 벨로우즈 실드.
  31. 플라즈마처리시스템에서 벨로우즈를 감싸기 위한 벨로우즈 실드를 생산하는 방법으로:
    안쪽면과,
    바깥면과,
    상기 안쪽면에 연결되고 상기 플라즈마 처리시스템의 기판홀더에 접촉하고 있는 내부면과,
    상기 내부면에 접하는 안쪽지름면과,
    상기 바깥면 및 안쪽지름면에 연결된 외부면과,
    상기 안쪽면과 바깥면에 연결된 끝단면을 구비하고 있는 실린더요소를 포함하는 벨로우즈 실드를 제작하는 단계와;
    상기 끝단면, 바깥면, 외부면으로 이루어진 노출면에 보호층을 형성하는 단계;로 구성된 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  32. 제 31 항에 있어서, 상기 벨로우즈 실드에 표면양극산화층을 형성하기 위한 벨로우즈 실드의 양극산화처리단계와, 상기 노출면에 표면양극산화층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  33. 제 32 항에 있어서, 상기 제거단계에는 기계가공, 다듬질가공, 연마가공, 단조, 및 그라인딩 중 적어도 하나의 가공공정을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  34. 제 31 항에 있어서,
    표면양극산화층의 형성을 방지하기 위한 벨로우즈 실드에 노출면을 마스크하는 단계와;
    상기 벨로우즈 실드의 마스크 제거된 표면상에 표면양극산화층을 형성하기 위하여 벨로우즈 실드의 양극산화처리 단계와;
    상기 노출면을 마스크 제거하는 단계;를 더 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  35. 제 31 항에 있어서, 상기 제작공정에는 기계가공, 다듬질가공, 연마가공, 단조, 및 그라인딩 중 적어도 하나의 가공공정을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  36. 제 31 항에 있어서, 상기 보호층의 형성과정에는 스프레이, 가열, 냉각 중 어느 하나를 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  37. 제 31 항에 있어서, 상기 보호층을 다듬질하는 것을 더 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  38. 제 31 항에 있어서, 상기 벨로우즈 실드는 내부면과 외부면에 연결되고 벨로우즈 실드를 기판홀더에 결합되게 하는 체결기구를 수용할 수 있도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 벨로우즈 실드의 생산방법
  39. 제 38 항에 있어서, 상기 각각의 복수의 체결기구 수용기는 진입구멍, 배출관통홀과 내부 수용면을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  40. 제 31 항에 있어서, 상기 내부면은 접촉면을 더 구비한 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  41. 제 31 항에 있어서, 상기 외부면은 설치면을 더 구비한 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  42. 제 31 항에 있어서, 상기 벨로우즈 실드는 금속으로 이루어진 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  43. 제 42 항에 있어서, 상기 금속은 알루미늄을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  44. 제 31 항에 있어서, 상기 노출면은 안쪽지름면을 더 구비하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  45. 제 31 항에 있어서, 상기 보호층은 적어도 하나의 3주기 원소 및 란탄족 원소를 함유한 혼합물을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  46. 제 45 항에 있어서,
    상기 적어도 하나의 3주기 원소는 최소한 하나의 이트륨, 스칸듐과 란탄을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  47. 제 45 항에 있어서,
    상기 란탄족원소는 적어도 하나의 세륨, 디스프로늄과 유러퓸을 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법
  48. 제 31 항에 있어서,
    보호층은 적어도 하나의 산화이트륨(Y2O3), SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3 를 포함하는 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  49. 제 31 항에 있어서,
    상기 보호층은 최소두께를 가지며, 상기 최소두께는 적어도 하나의 상기 노출면을 지나면서 일정한 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  50. 제 31 항에 있어서,
    상기 보호층은 값이 변화하는 두께를 가지며, 상기 두께의 변화범위는 0.5에서 500 미크론인 것을 특징으로 하는 벨로우즈 실드의 생산방법.
  51. 플라즈마처리시스템의 기판홀더에 연결될 수 있는 개선된 벨로우즈 실드를 생산하는 방법에 있어:
    안쪽면과,
    바깥면과,
    실린더형상의 벽의 안쪽면에 연결되고 기판홀더에 접촉할 수 있는 형상을 하고 있는 내부면과, 상기 내부면에 연결되는 안쪽지름면과, 상기 바깥면 및 안쪽지름면에 연결된 외부면을 구비한 부착플랜지를 포함한 제1단과,
    끝단면을 가진 상기 실린더형상의 벽의 제2단을 구비한 실린더형상의 벽을 포함한 벨로우즈 실드를 제작하는 단계와;
    상기 벨로우즈 실드에 표면양극산화층을 형상하기 위하여 벨로우즈 실드를 양극산화하는 단계와;
    실린더형상의 벽의 끝단면, 실린더형상의 벽의 바깥면과 상기 부착플랜지의 외부면으로 구성되어 있는 노출면에 형성된 표면양극산화층을 제거하기 위하여 기계가공하는 단계와;
    노출면에 보호층을 형성하는 단계;로 이루어진 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  52. 제 51 항에 있어서, 상기 부착플랜지는 내부면과 외부면에 연결되고, 벨로우즈 실드를 기판홀더에 결합되게 하는 체결기구를 수용하도록 형성된 복수의 체결기구 수용기를 더 구비한 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  53. 제 51 항에 있어서, 상기 보호층은 적어도 하나의 3주기 원소 및 란탄족 원소를 함유한 혼합물을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  54. 제 51 항에 있어서,
    보호층은 적어도 하나의 산화이트륨(Y2O3), SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3 를 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  55. 플라즈마처리시스템의 기판홀더에 결합될 수 있는 개선된 벨로우즈 실드를 생산하는 방법에 있어:
    안쪽면과,
    바깥면과,
    실린더형상의 벽의 안쪽면에 연결되고 기판홀더에 접촉할 수 있는 형상을 하고 있는 내부면과, 상기 내부면에 연결되는 안쪽지름면과, 상기 바깥면 및 안쪽지름면에 연결된 외부면을 가진 부착플랜지를 구비한 제1단과,
    끝단면을 가진 제2단을 구비한 실린더형상의 벽을 포함하는 벨로우즈 실드를 제작하는 단계와;
    실린더형상의 벽의 끝단면, 실린더형상의 벽의 바깥면과 상기 실린더형상에 연결된 부착플랜지의 외부면으로 구성된 노출면에서 표면양극산화층을 제거하기 위하여 마스크 단계와;
    상기 벨로우즈 실드상에 표면양극산화층을 형성하기 위하여 벨로우즈 실드를 양극산화하는 단계와;
    노출면의 마스크 제거단계와;
    노출면에 보호층을 형성하는 단계;로 이루어진 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  56. 제 55 항에 있어서, 상기 각각의 복수의 체결기구 수용기는 진입구멍, 배출관통홀과 내부 수용면을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법
  57. 제 56 항에 있어서, 상기 각각의 복수의 체결기구 수용기는 진입구멍, 배출관통홀과 내부 수용면을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법
  58. 제 57 항에 있어서, 상기 내부수용면을 더 구비한 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  59. 제 55 항에 있어서, 상기 보호층은 적어도 하나의 3주기 원소 및 란탄족 원소를 함유한 혼합물을 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  60. 제 55 항에 있어서,
    보호층은 적어도 하나의 Y2O3, SC2O3, SC2F3, YF3, LA2O3, CeO2, Eu2O3 및 DYO3 를 포함하는 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
  61. 플라즈마 처리시스템의 기판홀더에 연결될 수 있는 개선된 벨로우즈 실드를 생산하는 방법에 있어:
    안쪽면과,
    바깥면과,
    실린더형상의 벽의 안쪽면에 연결되고 기판홀더에 접촉할 수 있는 형상을 하고 있는 내부면과, 상기 내부면에 연결되는 안쪽지름면과, 상기 바깥면 및 안쪽지름면에 연결된 외부면을 가진 부착플랜지를 구비한 제1단과,
    끝단면을 가진 실린더 형상의 벽의 제2단을 구비한 실린더형상의 벽을 포함하는 벨로우즈 실드를 제작하는 단계와;
    실린더형상의 벽의 끝단면, 실린더형상의 벽의 바깥면과 상기 실린더형상에 연결된 부착플랜지의 외부면으로 구성되어 있는 노출면에 표면양극산화층을 제거하기 위하여 마스크하는 단계와;
    상기 벨로우즈 실드상에 표면산화층을 형성하기 위하여 벨로우즈 실드를 양극산화하는 단계와;
    노출면의 마스크 제거하는 단계와;
    상기 부착플랜지상의 내부면의 접촉면을 기계가공하는 단계;로 이루어 것을 특징으로 하는 개선된 벨로우즈 실드의 생산방법.
KR1020057005450A 2002-09-30 2003-09-29 플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법 KR100699636B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,306 2002-09-30
US10/259,306 US7204912B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved bellows shield in a plasma processing system

Publications (2)

Publication Number Publication Date
KR20050053712A true KR20050053712A (ko) 2005-06-08
KR100699636B1 KR100699636B1 (ko) 2007-03-23

Family

ID=32029477

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005450A KR100699636B1 (ko) 2002-09-30 2003-09-29 플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법

Country Status (6)

Country Link
US (2) US7204912B2 (ko)
JP (1) JP4627659B2 (ko)
KR (1) KR100699636B1 (ko)
CN (1) CN100508103C (ko)
AU (1) AU2003269394A1 (ko)
WO (1) WO2004030012A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180107441A (ko) * 2017-03-21 2018-10-02 주식회사 삼일프론테크 벨로우즈 보호막 형성방법 및 벨로우즈 보호막 형성시스템

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP2006086230A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5398358B2 (ja) * 2009-05-29 2014-01-29 三菱重工業株式会社 基板支持台の構造及びプラズマ処理装置
JP5558035B2 (ja) * 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
JP5518598B2 (ja) * 2010-07-02 2014-06-11 東京エレクトロン株式会社 パーティクル分布解析支援方法及びその方法を実施するためのプログラムを記録した記録媒体
CN102322268B (zh) * 2011-08-30 2013-06-19 中国铁建重工集团有限公司 一种盾构机推进油缸保护装置
CN102361529A (zh) * 2011-09-29 2012-02-22 北京航空航天大学 一种采用同轴保护气流的等离子体射流保护罩
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10475687B2 (en) * 2014-11-20 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
KR102554832B1 (ko) 2015-05-07 2023-07-11 어플라이드 머티어리얼스, 인코포레이티드 챔버 컴포넌트들을 위한 부식 제어
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3663870A (en) * 1968-11-13 1972-05-16 Tokyo Shibaura Electric Co Semiconductor device passivated with rare earth oxide layer
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
DE3335839A1 (de) * 1983-10-03 1985-04-18 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren zur absenkung der reaktivitaet und zum abschalten eines gasgekuehlten, graphitmoderierten kernreaktors und graphitelement mit abschaltsubstanz
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
US6238774B1 (en) * 1988-02-04 2001-05-29 Fujitsu Limited Protection of oxide superconductor
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JPH05121360A (ja) * 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk 半導体処理装置
JP3175189B2 (ja) 1991-05-13 2001-06-11 ソニー株式会社 減圧cvd装置
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
JPH06224137A (ja) 1992-06-05 1994-08-12 Applied Materials Inc 腐食性化学物質と接触する石英窓表面に化学的耐食性の酸化アルミニウムの保護被膜を備えた集積回路構造プロセス装置
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JP3208008B2 (ja) * 1994-05-24 2001-09-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
DE4413173C2 (de) * 1994-04-15 1996-02-15 Ibm Vorrichtung zur unterbrechungsfreien Kühlung von Geräten
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (ja) 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) * 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) * 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE50100469D1 (de) * 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
ATE404982T1 (de) 2001-02-07 2008-08-15 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
DE10132943A1 (de) * 2001-07-06 2003-01-23 Degussa Silanmodifizierter oxidischer oder silikatischer Füllstoff, Verfahren zu dessen Herstellung und dessen Verwendung
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
US6724140B2 (en) 2001-09-21 2004-04-20 Fuji Photo Film Co., Ltd. Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2007013184A1 (ja) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180107441A (ko) * 2017-03-21 2018-10-02 주식회사 삼일프론테크 벨로우즈 보호막 형성방법 및 벨로우즈 보호막 형성시스템

Also Published As

Publication number Publication date
US20040060656A1 (en) 2004-04-01
US20070125494A1 (en) 2007-06-07
WO2004030012A2 (en) 2004-04-08
US7678226B2 (en) 2010-03-16
AU2003269394A8 (en) 2004-04-19
WO2004030012A3 (en) 2004-12-16
JP4627659B2 (ja) 2011-02-09
US7204912B2 (en) 2007-04-17
CN1682345A (zh) 2005-10-12
AU2003269394A1 (en) 2004-04-19
JP2006501646A (ja) 2006-01-12
CN100508103C (zh) 2009-07-01
KR100699636B1 (ko) 2007-03-23

Similar Documents

Publication Publication Date Title
KR100699636B1 (ko) 플라즈마 처리 시스템에서 개선된 벨로우즈 실드를 위한장치 및 방법
KR100732260B1 (ko) 플라즈마 처리 시스템에서 개선된 광학창 용착실드를 위한장치 및 방법
KR100702296B1 (ko) 플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및방법
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
KR100733167B1 (ko) 플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및방법
JP4532479B2 (ja) 処理部材のためのバリア層およびそれと同じものを形成する方法。
JP2006522482A (ja) 処理部材上に隣接するコーティングを接合する方法。

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee