KR20050035300A - 뚜껑을 가진 열처리 장소 - Google Patents

뚜껑을 가진 열처리 장소 Download PDF

Info

Publication number
KR20050035300A
KR20050035300A KR1020057004040A KR20057004040A KR20050035300A KR 20050035300 A KR20050035300 A KR 20050035300A KR 1020057004040 A KR1020057004040 A KR 1020057004040A KR 20057004040 A KR20057004040 A KR 20057004040A KR 20050035300 A KR20050035300 A KR 20050035300A
Authority
KR
South Korea
Prior art keywords
heated
heat treatment
chamber
workpiece
heating
Prior art date
Application number
KR1020057004040A
Other languages
English (en)
Inventor
뷰옹 피. 응우옌
리차드 이. 심스
시아오광 주
Original Assignee
에프 에스 아이 인터내셔날,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에프 에스 아이 인터내셔날,인코포레이티드 filed Critical 에프 에스 아이 인터내셔날,인코포레이티드
Publication of KR20050035300A publication Critical patent/KR20050035300A/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)

Abstract

공작물의 온도 균일성을 향상시키기 위한 방법 및 장치는 열처리 장소의 가열된 플래튼상에서 처리된다. 가열된 플래튼은 가열된 플래튼이 위치한 처리실에 열에너지를 균일하게 출력하는 부가적인 가열 원을 포함하는 하우징에 포위되어 있다. 바람직한 실시예에서, 이 가열 원은 하우징의 뚜껑에 위치되어 있다. 가열된 뚜껑은 처리실로부터 가스를 도입하거나 정화하기 위해 가스 흐름 통로를 제공하는 구성을 포함하는 것이 더 바람직하다. 포토레지스트 성능면에서, 하우징, 예를 들어, 뚜껑의 부가적인 가열 원을 이용하여 제공된 향상된 열적 균일성은 웨이퍼를 가로지르는 향상된 라인 폭 제어와 라인 균일성을 제공한다.

Description

뚜껑을 가진 열처리 장소{THERMAL PROCESS STATION WITH HEATED LID}
본 발명은 마이크로일렉트로 장치의 제조에서 특히 이용되고 공작물이 열처리 되는 가열된 플래튼을 포함하는 형태의 열처리장소에 관한 것이다. 특히, 본발명은 가열된 플래튼과 부가적인 가열 원을 포함하는 열처리장소에 관한 것이다.
많은 제품의 제조는 온도와 온도의 변화에 대해 정확한 제어를 요구하고 있다. 예를 들면, 집적회로들, 평편한 패널 디스플레이, 박막 헤드와 기타 등등과 같은 마이크로일렉트로닉 장치들의 제조들은 포토레지스트와 같은 재료의 층을(집적회로의 경우에 있어서는 반도체 웨이퍼와 같은) 기판의 표면에 적용하는 단계를 포함한다.
특히, 포토레지스트는 처리과정 중에, 베이킹되어진 다음 포토레지스트의 선택된 부분을 경화시키기 위해 냉각돼야 한다. 베이킹과 냉각 단계는 포토레지스트의 선택된 부분이 양호한 해상도로 적절히 설정되는 것을 보장하도록 정확한 온도 한도 내에서 정밀하게 제어되어야 한다. 정확한 온도 제한과 관련한 제품과 처리는 약품조제, 기구 소독을 포함하는 의학 제품과 처리; 및 가속되는 수명 시험 방법론; 인젝션 모델링 작업; 압전장치; 사진 필름 처리; 스퍼터링과 도금처리와 같은 재료 증착 처리; 마이크로머싱 제조; 잉크젯 프린팅; 연료 주입 등을 포함한다.
일반적으로, 베이킹은 공작물을 고유의 상승한 평형온도까지 가열한 다음 이 공작물을 제한된 기간 동안 특정의 평형온도로 유지하는 단계를 포함한다.
일반적으로, 열처리는 베이크판이라고 하는 가열된 판에 웨이퍼를 위치시킴으로써 성취된다. 가열된 플래튼은 열처리가 주위와 격리된 보호된 환경에서 발생하도록 엔클러저 안쪽에 수용되어 있다.
열처리가 웨이퍼의 전표면적에 걸쳐 가능한 균일하게 되는 것이 중요하다.
웨이퍼 표면에 걸친 큰 온도 변화는 베이킹된 포토레이지스터에 악영향을 주게 되어 합성장치의 성능에도 악영향을 준다.
열 균일성은 다른 방법으로 측정될 수 있다. 하나의 적절한 해결 방법은 먼저, 웨이퍼 또는 이 웨이퍼를 지지하는 가열된 플래튼의 표면온도를 환경에 따라서 웨이퍼 또는 플래튼의 전체 표면에 걸친 여러 대표 점에서 먼저 측정하는 단계를 포함한다.
열균열성은 최고 관측 온도와 최저 관측 온도 차이로써 계산될 수 있다. 시방은 예를 들어, 이 온도 변화가 단지 약간의 섭씨온도일 것을 요구한다. 마이크로이렉트로 장치가 점차 소형화함에 따라, 소형화에 대한 요구가 증가되어, 온도 균일성의 시방은 더 엄격을 요한다.
종래에, 많은 구성이 열 균일성을 향상시키기 위해 열처리 실에 포함했다. 다른 해결책은 가열 플래튼과 이와 관련된 부품의 구조 및/또는 구성을 향상시키는 것이다. 다른 해결책은 플래튼 온도를 감지하고/또는 조절하는 온도센서 및 제어 시스템을 향상시키는 것이다. 또 다른 해결책으로는 처리실이 주위를 열적으로 차단하기 위해 열처리 실의 하우징과 기타 부품의 차단특성을 향상시키는 것이다.
열 균일성을 향상시키는 이들 모두의 해결방식이 바람직하지만, 열 균일성 성능을 더 향상시키는 요구가 지속되고 있다.
과거에는, 가열장치는 열처리 장소 하우징의 바깥쪽 표면, 예를 들어, 하우징의 뚜껑 상에 적층 하여 하우징을 가열하고 이 처리실의 안쪽표면상의 콘텐싱으로부터 처리 증기를 방지하여오고 있다.
예를 들어, FSI International Inc.는 POLARIS 상표 시리즈 공구 클러스터의 가열된 뚜껑을 갖는 열치리 장소를 시판해 오고 있다. 뚜껑을 가열하는데 이용되는 가열장치는 이 뚜껑의 바깥 표면에 적층된 편평한 가열된 시트이다. 부가적으로, 뚜껑 구성은 링 구조에 의해 분리된 4개의 상대적으로 편평한 패넬의 교대하는 시리즈를 포함한다. 이는 뚜껑의 상부의 가열 면의 전체 표면적과 이 뚜껑 아래의 처리실 사이에 3개 이상의 공기 틈을 직렬로 구성하는 3개의 적층된 프리넘을 효과적으로 갖는 뚜껑구조를 형성하였다. 따라서, 이러한 특정 뚜껑 구조는 히터 표면으로부터 이 히터 아래의 처리실로 열에너지를 전도하는 소형의 직접 고체 통로를 제공하였다. 히터로부터 처리실로 열에너지를 전도하는 종래의 직접 통로는 가열장치의 외주변 모서리에 근접하여 위치한 하우징의 바깥쪽 벽이었다. 더구나, 가열 장치는 처리실 안쪽의 증기의 응축을 방지하는데 이용되고 이 처리실 안쪽에서 처리되고 있는 웨이퍼의 열 균일성을 향상시키는 데는 이용되지 않는다.
본발명은 수반한 도면을 참고로 하면서 상세히 설명함으로써 분명하게 될 것이다.
도1은 가열 유닛이 폐쇄된 구성인 본 발명이 열처리 장소의 사시도.
도 2는 가열된 뚜껑 어셈블리를 형성하기 위해 이용된 부품을 더 잘 도시한 도 1의 열처리 실의 확대된 사시도.
도 3은 도 1의 열처리장소에 이용된 가열된 뚜껑 장소의 확대 사시도.
도 4는 도 3의 가열된 뚜껑 어셈블리에 이용된 중간 부재의 상면도.
도 5는 가열된 뚜껑 어셈블리와 처리실을 통한 가스의 흐름을 더 잘 도시 한 도 1의 열처리 실에 이용된 가열유닛의 개략적인 단면도.
도6은 가열된 뚜껑 어셈블리가 능동적으로 가열되는 경우 본 발명의 열처리 장소의 향상된 열 균일성을 도시한 그래프.
본발명은 가열된 플래튼상에서 처리되고 있는 공작물의 온도의 균일성을 향상시키는 방법과 장치를 제공하는 것이다. 본발명은 가열된 플래튼이 위치한 처리실로 열에너지를 균일하게 출력하는 부가적인 가열 원을 포함하는 하위징에 가열된 플래튼을 포위하는 것을 기반으로 한다. 바람직한 실시예에서, 이 가열 원은 하우징의 뚜껑에 위치되어 있다. 가열된 뚜껑은 처리실로부터 가스를 도입하거나 정화하기위해 가스 흐름 통로를 제공하는 구성을 포함하는 것이 부가적으로 바람직하다. 포토레지스트 성능면에서, 하우징, 예를 들어, 뚜껑에 있어서의 부가적인 가열 원을 이용함으로써 제공된 향상된 열 균일성은 향상된 라인 폭과 웨이퍼를 가로지는 라인 균일성을 제공한다.
본 발명의 일 태양에 있어서, 열처리 장소는 포위된 처리실과 부가적인 포위된 챔버를 포함한다. 가열된 플래튼은 포위된 처리실 안쪽에 위치될 수 있고 공작물이 열처리하는 동안 위치한 표면을 일반적으로 갖는다. 부가적인 가열 원이 처리실과 열전도 접촉할 부가적인 포위된 챔버에 위치되어 있다.
본 발명의 또 다른 태양에 있어서, 열 처리 장소는 가열된 뚜껑 어셈블리를 포함하는 하우징과 가열된 뚜껑 어셈블리와 폐쇄할 수 있게 맞물리는 바닥 하우징 어셈블리를 포함한다. 가열된 뚜껑 어셈블리는 뚜껑 챔버안쪽에 위치한 가열 원을 포함한다. 일반적으로, 가열 원은 히터 표면을 갖으며 이 히터 표면이 처리실과 열 접촉하는 방식으로 뚜껑 챔버내에 위치되어 있다. 하우징 안쪽의 처리실은 가열된 뚜껑 어셈블리와 바닥 하우징 어셈블리에 의해 적어도 부분적으로 형성되어 있다. 가열된 플래튼은 공작물이 열처리 동안 지지될 수 있는 처리실 안쪽에 위치할 수 있다.
본 발명의 또 다른 태양에 있어서, 열처리 실은 가열된 뚜껑 어셈블리, 바닥 하우징 어셈블리, 처리실, 유입 프레놈 시스템 및 유출 프리넘 시스템을 포함한다. 가열된 뚜껑 어셈블리는 바닥 하우징 어셈블리위에 위치될 수 있고 처리실은 바닥 하우징 어셈블리에 의해 적어도 부분적으로 통상적으로 형성될 수 있다. 가열된 뚜껑 어셈블리에 있어서의 유입과 유출 프리넘 시스템의 최소한의 부분은 처리실과 유체 연통하는 가스 흐름 통로로 포함할 수 있다. 유입 및 배출 플레놈 시스템의 최소한의 하나는 다수의 방사상 흐름 통로를 가질 수 있다. 하나의 실시예에 있어서, 방사상 흐름 통로는 가열된 뚜껑 어셈블리로부터 처리실로의 열에너지의 전송의 균일성 특성을 향상시는 방식으로 서로 크기가 다르다.
바람직하기로는, 가열 원이 본 발명의 열처리장소의 뚜껑의 챔버에 위치하는 경우, 챔 버는 가열 원의 상면 위에 헤드 스페이스를 갖는다.
본 발명의 또 다른 실시예에서, 방법은 열처리 동안 공작물의 열 균일성을 향상시키기 위해 제공된다. 이 방법은
1) 제1 가열 면을 제1가열 원을 제공하는 단계와;
2) 제 2 가열 면을 갖는 제 2 가열 원을 제공하는 단계와, 이 제 1 및 제 2 가열 면은 서로 대향하고 있으며;
3) 열처리의 최소한의 부분 동안 제 1 및 제 2 대향 하는 가열면사이에 공작물을 위치시는 단계를 구비한다.
상술 된 본 발명의 실시 예는 다음 상세한 설명에 개재된 정확한 형태로 본 발명을 배제하거나 제한하려는 것은 아니다. 당업자가 본 발명의 원리 및 실시를 이해할 수 있도록 또 다른 실시 예가 선택되어 설명되었다. 본 발명의 원리는 마이크론일렉트론 장치의 제조에 있어서의 하나 이상의 단계로 하나 이상의 마이크론일렉트론 장치를 일정하게 열적으로 처리하는 것이 바람직한 여러 다른 종류의 가열 유닛에 적용될 수 있다. 예를 들어, 본 발명의 원리는 이러한 공작물에 패턴 되거나 패턴 되지 않은 포토레지스트을 형성하는 동안에 이용되는 프라밍 또는 베이킹 장소에서 용이하게 적용될 수 있다.
설명을 위해, 본발명은 도 1에 도시된 바람직한 열처리 장소(10)와 연결하여 더 설명될 것이다. 이 열처리 장소(10)와 이의 가열 유닛(12)은 200mm 및 300mm 반도체 웨이퍼 기판에 형성된 넓은 크기의 마이크로일렉트론 공작물을 처리하도록 크기를 하는 것이 바람직하다. 열처리 장소(10)는 FSI International Inc., Chaska, Mn 및 Allen, TX에서 얻어질 수 있는 POLARIS상표2500 또는 POLARIS상표 3000시리즈 클러스터 공구와 같은 마이크로일렉트로닉 장치를 제조하는 데 이용되는 클러스터 공구 모듈러 케비넷으로의 열처리장치 (10))의 장착을 용이하게 하는 슬라이더(18)을 포함하는 지지판(16)에 지지가 되어 있다. 이러한 클러스터 공구에서 열처리 장소로 이용되는 것이 바람직할지라도, 열처리 장소(10)는 열처리 장소 (10))와 임으로 지지판(16) 및 슬라이더(18)가 지지가 되어 적절한 하우징(도시하지 않음)에 포위되는 스탠드 알론 시스템(stand-along system)으로 제공되어도 좋다.
가열 유닛(12) 자체와 슬라이더(18) 외에, 부가적으로 표시된 장소 부품이 또한, 지지판(16)에 지지가 되어 있다. 예를 들어, 이 부품은 적재, 적하, 캘리브레이션, 서비스 등을 위해 가열유닛(12)을 개폐하도록 처리중에 이용되는 리프트 모터(20)와 대응하는 기어박스(22)를 포함한다. 표시된 장소 전자 부품(26)이 제공된다. 이들 전자부품은 하드웨어와 소프트웨어 모두를 포함한다. POLARIS상표 브랜드 공구 클러스터 상에 현재 상업상 이용가능한 플라밍 및 베이킹 장소가 갖는 표시된 장소 부품은 열처리 장소(10)에 이용될 수 있는 지지 부품의 종류를 나타낸다. 열처리 장소(10)에 포함된 장소 부품은 열처리 장소(10)에 의해 이용되는 진공, 처리 가스 및 처리 증기의 공급 및/또는 제거를 제어하는데 도움이 되는 메니폴드 어셈블리(28) 등을 포함한다.
가열 유닛(12)은 가열된 뚜껑 어셈블리(46)와 바닥 하우징 어셈블리(32)로부터 형성된 하우징(31)을 갖는다. 바닥 하우징 어셈블리(32)와 가열된 뚜껑 어셈블리(46)에 의해 적어도 부분적으로 형성된 내부 볼륨은 처리실(34)을 형성한다. 하우징(31)은 개방구성(도시되지 않음)과 폐쇄된 구성(도 1 및 도 5에 도시)을 갖는다. 폐쇄된 구성에 있어서, 바닥 하우징 어셈블리(32)의 림(36)은 가열된 뚜껑 어셈블리(46)의 림(47)을 맞물려서 챔버(34)를 외부 환경과 차단하는 실(seal)을 형성한다. 이러한 외부 환경과의 실을 강화하기 위해, 가열된 뚜껑 어셈블리(46)가 아래로 바이어스되어 림(36)과 (47)사이의 맞물림을 강화시킨다. 개방된 구성에 있어서, 바닥 하우징 어셈블리(32)와 가열된 뚜껑 어셈블리(46)는 챔버(34)로의 접근 및 이 챔버로부터의 접근을 제공하기 위해 충분히 공간을 두고 있다.
어느 적절한 부품을 이용하여 하우징(31)을 개폐한다. 예시를 위해, 하우징 어셈블리(32)와 가열된 뚜껑 어셈블리(46) 사이의 상대 운동은 적절한 구동 메커니즘(도시되지 않음), 예를 들어, 구동 체인, 구동 벨트 등에 의해 리프트 메커니즘(24)에 연결된 리프트 모터(20)와 기어박스(22)에 의해 제공된다. 폐쇄 하우징(31)을 제공하기 위해, 리프트 모터(20), 기어 박스(22) 및 구동 메커니즘을 이용하여 리프트 메커니즘(24)을 동작하여 바닥 하우징 어셈블리(32)를 승강시키게 되어 림(36)은 림(47)과 맞물리게 된다. 개방된 하우징을 제공하기 위해, 리프트 모터(20), 기어박스(22) 및 구동 메커니즘이 이용되어 리프트 메커니즘(24)을 동작함으로써 바닥 하우징 어셈블리(32)가 하강하게 되어 림(360과 림(47)이 충분한 공간을 두개되어 처리실(34)로의 바람직한 진입을 제공한다.
가열된 플래튼(38)은 처리실(34) 안쪽에 위치된다. 웨이퍼(33)는 가열된 플래튼(38)로부터의 열에너지가 웨이퍼(33)에 효과적으로 전달되도록 처리중 가열된 플래튼(38)위에 지지가 된다. 가열된 플래튼(38)은 종래의 리프트 핀 채널(42)을 포함하고 이 핀 채널을 통해 웨이퍼 리프트 핀(43)은 바닥 하우징 어샘블리(32)가 하강하는 경우(즉, 하우징(31)이 개방되는 경우)돌출한다. 리프트 핀(43)은 바닥 하우징 어셈블리(32)가 올라가는 경우(즉 하우징(31)이 폐쇄되는 경우)리프트 핀 채널(42)에 들어간다.
돌기(44)(주위 핀으로 알려짐)는 가열된 플래튼(38)의 상면(39)에 제공되게 되어 웨이퍼(33)의 아래쪽과 가열된 플래튼(38)의 상면(39) 사이에 작은 틈(41)이 존재하게 된다. 이 틈(41)웨이퍼(33)의 아래쪽(45)상의 오염을 감소하는 데, 웨이퍼(33)와 가열된 플래튼(38)사이에 완전한 면접촉이 존재 되는 경우 오염이 발생할 수 있다. 그러나, 틈(41)은 웨이퍼(33)가 가열된 플래튼(38)과 열 접촉을 유지하도록 매우 작다.웨이퍼(33)와 가열된 뚜껑 어셈블리(46) 사이의 처리실(34) 내측에는 헤드스패이스(40)가 있다. 가열된 뚜껑 어셈블리(46)로부터의 가열 에너지는 가열 뚜껑 어셈블리(46)에 의해 뚜껑 어셈블리 구조(후술함)를 경유하여 이 헤드스패이스(40)에 전달된다. 도 1 내지도 5에 도시되어 있듯이, 본 발명의 가열된 뚜껑 어셈블리(46)의 하나의 바람직한 실시 예는 적어도 두 개의 기능적인 단계를 포함한다. 이들 두 개의 단계의 상대적인 위치가 상호 변경되거나/또는 부분적으로 또는 바람직하다면 전체적으로 통합될 수 있을지라도, 이 두 개의 단계는 대표적인 실시예에서, 상부 단계(48), 하부 단계(50)로 도시되 있다. 상부 단계(48)는 가열된 가스와 같은 하나 이상의 적절한 가열원 및/또는 가열 장치를 수용하는 것이 바람직한 반면, 하부 단계(50)는 유입통로와 유출통로를 포함하는 것이 바람직하며, 이 유입통로와 유출통로를 통해 하나 이상의 처리가스가 모이거나 독자적으로 처리중 처리실(34)에 전송되고 이 처리실로부터 독자적으로 전달된다. 또한, 하부 단계(50))는 가열 원으로부터 처리실(34)에 있어서의 헤드스패이스(40)으로의 열에너지를 직접 돕는 고체구조을 포함한다. 이들 고체 구조는 또한 챔버 및 통로를 하부단계(50)에 형성하는데 도움을 주는 부가적인 기능을 하는데, 이 하부 단계를 통해 하나 이상의 처리 가스가 처리 동작중에 전달될 수 있다.
더 상세히 설명하면, 가열된 뚜껑 어셈블리(46)의 커버 부재(52)는 정면 패널(56)으로 부터 연장한 주변 측벽(54)을 포함한다. 부가적인 주변 커버 구조(57)는 풋프린트를 정합하기 위해 주변 측벽(54)으로부터 바깥쪽으로 연장하여 가열된 뚜껑 어셈블리(46)의 다른 대응하는 구조에 걸쳐 고정된다. 커버 부재(52)는 패스너 구멍(55)을 포함하며, 이 패스너 구멍에 의해 커버 부재(52)가 가열된 뚜껑 어셈블리(46)의 다른 부품에 고정된다.
가열된 뚜껑 어셈블리(46)의 중간 커버 부재(58)는 상부면(64)과 하부 면(66)을 갖는 정면 패널(62)에 위치된 상승한 플랜지(60)를 갖는다. 평면의 전기 저항적인 적층된 가열장치(68)의 형태의 가열 원은 주변 플랜지(60) 안쪽의 상부 면(64)에 고정되도록 되어 있다. 바람직하게 작거나 크지만 열에너지를 (바람직하기로는, 일차적으로 열전도를 경유하여)가열장치(68)로부터 처리실(34)로 더 균일하게 이송하기 위해 크기에 주변 플랜지(60) 안쪽의 리세스된 상부 면(64)의 영역과 크기에 있어서 같은 공간으로 연장하는 것이 실질적으로 바람직하다.
가열장치(68)는 하나 이상의 독립된 가열장치로 형성될 수 있지만 가열 장치(68)의 전체 저 면(72)으로 부터의 제어가능한 균일한 열에너지를 출력하는 형태로 제공되는 것이 바람직하다. 어느 실시예에서, 가열장치(68)는 두 개 이상의 독자적으로 제어가능한 가열 영역을 포함한다. 이 실시예에서, 각각의 이 영역의 가열출력이 균일하고 이 영역의 하면의 전체 표면적으로부터 제어가능한 것이 바람직하다. 바람직한 가열 장치(68)는 폴리미드 절연 층 사이에서 샌드위치된 하나이상의 에칭된 금속 포일을 포함한다. 전기 에너지는 컨넥터(158)을 경유하여 태브(69)에서 가열장치(68)에 들어가 탈출하는 와이어링(156)을 경유하여 가열 장치(68)에 및 가열장치로부터 전달될 수 있다. 가열 장치(68)는 기계적인 패스너, 아교, 이들의 결합등을 포함하는 적절한 것을 이용하여 바닥 커버 부재(80)에 고정될 수 있다. 바닥 커버 부재(80)에 가열 장치(68)를 고정함으로써 가열 장치(68)와 바닥 커버 부재(80) 사이에 양호한 열전도를 제공한다. 예시적으로, 가열 장치(68)는 기계적인 패스너(74)를 포함하는데 이 패스너에 의해 가열장치(68)는 바닥 커버 부재에 고정된다.
가열장치(68)의 상부면(70)과 커버 부재(52)의 정면 패넬(56) 사이에는 헤드스페이스(56)이 있다. 이 헤드스페이스(78)는 가열 장치(68)를 정면 패널(56)으로부터 절연 적으로 고립시키게 되어 외부와도 고립된다. 가열장치(68)로부터의 더 균일하고, 일정하고 제어가능한 열 출력이 야기된다. 부가적으로, 헤드 스페이스(78)는 가스가 리세스된 벽 부분(84)을 통해 들어가는 챔버를 제공한다. 처리실(34)로부터 리세스된 벽 부분(84)을 통해 헤드스페이스(78)로의 가스의 에지 방출은 가열 장치(68)의 온도 균일성을 제어하는데 이용될 수 있다.
실질적인 효과에 있어서, 가열 장치(68)는 표면(64)은 챔버 플로어이며, 패넬(56)은 챔버 천정인 자신의 챔버내에 수용되고 측벽(58)은 챔버 벽을 제공한다. 중간 커버 부재(58)의 주변 플랜지(60)는 다수의 구멍을 포함하는데, 이 구멍을 통해 중간 커버 부재(58)는 적절한 기계적인 패스너(76)을 이용하여 가열된 뚜껑 어셈블리(46)의 다른 부품에 고정될 수 있다. 도시되어 있듯이, 주변 플랜지(60)는 이들 패스너(760에 의해 바닥 커버 부재(80)에 고정되어 플랜지(60)와 바닥 커버 부재(86) 사이에 양호한 열 접촉을 제공한다.
바닥 커버 부재(80)는 정면 패넬(90)의 상면(92)에 위치된 상면(85)을 갖는 상승한 주변 플랜지(82)를 포함한다. 정면 패넬(90)은 처리실(34)의 하나의 경계면을 형성하는 바닥면(94)을 갖는다. 주변 플랜지(82)의 측벽(83)은 돌출 벽부(86)을 형성한 리세스된 벽부(84)를 갖는다. 돌출 벽부(86)는 가열된 뚜껑 어셈블리(46)의 요소가 조립될 때 커버 부재(52)의 주변 측벽(54)이 부착된 마운팅 면(88)을 갖는다. 따라서, 측벽(54)은 리세스된 벽부(84)로부터 공간을 멀리 두고 있다. 합성 가스는 측벽(54)과 정면 패넬(56)의 대응하는 부분을 상부 단계(48)와 하부 단계(50)의 배부와 열적으로 격리하는 역할을 하여 결국 상부 단계(48)와 하부단계(50)의 내부를 외부환경과 열적으로 격리하는 역할을 하게 된다.
주변 플랜지(82)외에, 부가적으로 상승된 구성이 정면 패넬(90)의 상부면(92)에 제공된다. 이들은 정면 패넬(90)의 중앙 영역에 위치한 환상 벽(96)을 포함한다. 이 환상벽(96)은 후술 되어 있듯이, 환상벽(96)내측의 가스를 운반하는 입구로서의 기능을 하는 틈(97)을 갖는다. 환상 벽(96)은 상부 면(98)을 갖는다. 방사상 벽(100)은 틈(97)으로부터 주변 플렌지(82)바깥으로 공간을 둔 방식으로 연장되어 있다. 구멍(112)이 방사상 벽(100)의 외단사이의 정면 패넬(90)에 제공되어 있다. 상술 되어 있듯이, 동작의 바람직한 모드에 있어서, 구멍(112)은 유입구로서의 역할을 하며, 이 유입구를 통해 처리가스가 하나 이상의 가스원으로부터 가열된 뚜껑 어셈블리(46)에 흡입될 수 있다.
방사상 벽(101)은 각각의 내부 단(102)으로부터 각각의 외부단(104)로 방사상으로 연장되어 있다. 내부단(102)는 환상벽(96)으로부터 일반적으로 공간을 두고 있는 반면, 외부단(104)는 주변 플렌지(82)로부터 공간을 두고 있다.
방사상 벽(103)은 내부단(105)로부터 방사상 바깥으로 공간을 두고 연장되어 주변 플랜지(82)와 상호 연결된다. 구멍(114)은 방사상 벽(103)의 외단 사이의 정면 패넬(90)에 제공되어 있다. 후술 되어 있듯이, 동작의 바람직한 형태에 있어서, 구멍(114)은 유출구 역할을 하는데, 이 유출구를 통해 처리가스가 수집, 재활용, 충적, 또 다른 처리 등을 위해 가열된 뚜껑 어셈블리(46)와 가열 유닛(12)으로부터 배출될 수 있다.
다수의 구멍(108)이 환상벽(96)내측의 중앙영역에 있어서의 정면 팬넬(90)에 형성되어 있다. 예시를 위해, 구멍(108)은 정면 패넬(90)의 중심 주위의 다수의 동심원에 배열되어 있다. 물론, 이 특정 구성은 단지 바람직하지만 하나 이상의 구멍의 패턴이 바람직한 것으로 동일한 또는 유사한 목적에 이용될 수 있다. 종합적으로, 동작의 바람직한 형태에 있어서, 샤워헤드(showerhead)형 장치의 역할을 하며, 이 샤워헤드를 통해, 처리가스가 가열된 뚜껑 어셈블리(46)로부터 가열된 어셈블리(46)아래의 처리실(34)에 운반된다. 마찬가지로, 다수의 구멍(101) 주변 플렌지(82)에 근접한 정면 패넬(99)의 주변 주위에 형성된다. 종합적으로, 동작의 바람직한 형태에 있어서, 이들 구멍(110)은 출구를 제공하는데 이 출구를 통해 처리 가스가 처리실(34)로부터 가열된 뚜껑 어셈블리(46)에 흡수된다.
방사상 벽(100, 101 및 103)은 각각의 상부 면(106)을 갖는다. 중간 커버 부재(58)가 바닥 커버 부재(80)에 고정되는 경우, 이들 상부 면(106)은 물론 상부면(85,98)은 정면 패넬(62)의 하부면(66)에 대하여 밀봉적으로 시트 된다. 결과적으로, 이러한 상승한 구성중의 리세스된 영역은 유입 프리넘 시스템(1160 및 배출 플레넘 시스템(130)의 채널과 챔버로서 기능 할 수 있다. 유입 프래넘 시스템(116)은 챔버와 통로를 제공하는 데, 이 챔버와 통로에 의해 하나 이상의 처리 가스가 하나 이상의 외부 원으로 터 가열된 뚜껑 어셈블리에 들어간 다음 처리 챔버(34)에 이송된다. 배출 프리넘 시스템(130)은 챔버와 통로를 제공하는데, 이 챔버와 통로를 통하여 처리 가스가 처리실(34)로부터 가열된 뚜껑 어셈블리(46)로 흡입된다.
더 상세히 설명하면, 유입 프리넘 시스템은 구멍(112)을 포함하는데, 이 구멍을 통해 하나 이상의 처리 가스가 하나 이상의 외부 원으로부터 가열된 뚜껑 어셈블리(46)에 공급된 다음 처리실(34)에 이송된다. 적절한 공급 튜빙, 파이핑 또는 기타 적절한 프러밍(pluming)(도 1 내지 도 5에 도시되 않음)이 커넥터 (120))와 같은 장치를 경유하여 구멍(112)에 연결된다. 구멍(112)은 주변 유입 실에 개방된다. 유입 실(122)로부터, 공급된 가스가 중앙 유입 실(126)에 대하여 방사상 유입 통로 (124) 아래로 운반된다. 이 가스는 다음 구멍(108)을 통하여 중앙 유입실(126)로부터 배출된다. 공급가스(들)는 이 방식으로 유입 프리넘 시스템 9116)을 통해 가스(들)의 이송 포트를 촉진하기 위해 적절한 압력하에서 위치될 수 있다. 도 5에 도시되어 있듯이, 가스가 처리실(34)에 들어가 웨이퍼(33)의 중앙 영역 아래쪽으로 흐른다. 다음, 이 가스가 처리실(34)의 주변 바깥쪽으로 방사상으로 그리고 대칭적으로 흐른다. 처리실(34)의 주변에 근접한 가스가 처리실(34)로부터 배출 프리넘 시스템(130)에 흡입된다.임의로, 진공이 시스템(130)을 통해 가스의 흐름을 촉진시킨 위해 배출 프리넘 시스템(130)에 가해진다.
도 1 내지 도 5를 종합적으로 다시 참고하면, 배출 프리넘 시스템(130)은 배출 프리넘 유입구(110)를 포함하는데, 이 유출구를 통해 하나 이상의 처리 가스가 처리실(34)로 부터 가열된 뚜껑 어샘블리(46)에 들어간다. 이들 유입구(110)는 바닥 커버 부재(80)의 외주변에 인접 위치되어 배출가스를 바닥 커버 부재(80)의 외주변에 근접 위치한 외부 배출 프리넘 실(132)에 배출 가스를 도입한다. 외부 배출 프리넘 실(132)로부터 배출가스가 다수의 방사상 배출 채널(136)을 통해 내부의 환상 배출 실(142)에 전달된다. 각각의 방사상 채널(136)은 외부 배출 프리넘에 인접한 각각의 유입구(138)와 내부의 환상 배출 실(142)에 인접한 각각의 유출구(140)를 갖는다. 바람직하기로는, 각각의 유입구(138)의 단면적은 대응하는 유출구(140)의 단면적 보다 크다. 즉, 각각의 통로(136)는 유입구로부터 유출 구로 테이퍼한다.
방사상 배출 통로(144)는 내부의 환상 배출 실(142)로부터 유출 실(146)에 배출가스를 운반하고 이 배출 실(146)로부터 배출 가스는 구멍(114)을 통해 가열 유닛(12)으로부터 방출된다. 구멍(114)은 컨넥터(150)와 같은 적절한 장치를 경유해 적절한 튜빙, 파이핑, 또는 기타 프럼핑에 연결될 수 있다.
임의로, 진공 같은 적절한 동력이 배출 프리넘 시스템(130)을 통해 이송 배출 가스를 돕도록 가해질 수 있다. 진공이 이 목적에 가해지는 경우, (자체적으로 하류 진공원에 더 근접한) 방사상 배출 통로(144)에 가장 근접한 방사상 배출 통로(136)는 가장 강한 진공을 받는 경향이 있다. 결과적으로, 유출구(140)의 모두의 단면적이 이러한 환경하에서 동일하게 되는 경우, 통로(144)에 더 근접한 통로(136)를 통한 배출 가스의 양적 흐름이 더 멀리 있는 통로(136)를 통한 용량 흐름보다 더 큰 경향이 있을 수 있다. 이러한 비대칭 흐름은 열에너지가 가열된 뚜껑 어셈블리(146)으로부터 처리실(34)에 전달된 다음 웨이퍼(33)에 전달되는 균일성에 영향을 준다.
따라서, 열적 균일성을 향상시키 위해, 통로(136)를 통한 배기 가스의 용량흐름이 실행 상 바람직한 것처럼 균일하도록 통로(136)의 단면적이 요구에 따라 변화는 경우 바람직하다.
일반적으로, 통로(136)를 통한 더 대칭적인 흐름을 성취하기 위해, 통로(144)로부터의 유출구(140)이 통로(144)에 더 근접한 유출 구(140)보다 큰 경향이 있을 수 있다. 서미스터(153)와 같은 하나 이상의 온도 센서는 가열된 뚜껑 어셈블리(46)의 온도를 감지하는데 이용될 수 있다. 이러한 온도 센서(들)는 바람직한 설정 점 온도 등에서 가열 장치의 출력을 제어를 돕기 위해 적절한 제어 시스템에 연결되어 있다. PID 피드백 및/또는 피드백 제어는 이 목적에 적절한 것으로 발견되었다. 슬롯(154)은 서미스터(152)가 수용된 통로(도시하지 않음)에 대한 침투를 종래대로 제공한다. 이 슬롯(154)은 서미스터(152)를 삽입, 제거 및/또는 서빙하는 경우 유용한 것으로 알려져 있다.
처리장소(10)의 여러 부품, 특히 하우징(금속 자체, 금속 혼합물, 합금 등)폴리머 재료, 세라믹 및 이의 혼합물을 포함하는 적절한 온도 저항체로 형성되어 있다. 바람직하기로는 열처리 유닛(12)의 하우징 부품은 알루미늄, 알루미늄 합금, 스테인리스 스틸 등과 같은 금속재료로 형성되어 있다. 각각의 부품은 서로 용접되고, 어느 경우 이러한 용접을 실행하기 위해 상용성 금속으로 되는 것이 더 바람직하다. 그 결과는 열적으로 그리고 기계적으로 강한 구조이다. 물론, 다른 응용을 위해, 다른 재료가 적합할 수 있고 다른 연결 기술이 이용될 수 있다. 예를 들어, 중합체가 이용될 수 있고 접착제는 상당히 낮은 처리 온도에서 수행된 처리를 위 부품을 연결할 수 있다. 나사, 볼트, 리벳 등과 같은 기계적인 패스너가 이용될 수 있다. 가열된 플래튼(38)과 가열된 뚜껑 어셈블리(46) 간의 온도의 상대적인 차이는 웨이퍼(33)가 받는 처리온도가 제어될 수 있는 용이함과 정밀도에 영향을 준다. 특히, 실시의 형태에 있어서, 가열된 뚜껑 어셈블리(46)가 가열된 플래튼(38)보다 상당히 뜨거운 경우 처리온도의 제어가 더 어렵다는 것이 관찰되었다. 실질적인 효과에 있어서, 열적 누화는 뚜껑 어셈블리(46)와 가열된 플래튼(38)사이에서 일어나다고 믿어진다. 이러한 열적 누화가 플래튼 및/또는 뚜껑 온도의 덜 정밀한 제어의 결과로서 관찰되는 경우, 누화는 가열된 플래튼(38)의 온도에 대한 가열된 뚜껑 어셈블리(46)의 설정점 온도를 낮춤으로써 감소될 수 있다. 이헌 누화를 방지하거나 감소 시키기 위해, 가열된 뚜껑 어셈블리(46)는 약 1℃에서 약 50℃, 더 바람직하기로는, 약 5℃에서 약 20℃, 최고 바람직하기로는 가열된 플래튼(38)의 온도보다 낮은 약 10℃로 유지되는 것이 바람직하다.
본 발명을 위해, 가열된 뚜껑 어셈블리(46)의 온도는 정면패넬(90)과의 직접적인 물리적인 접촉에 있어서 온도 센서에 의해 측정된 것으로 정면 패넬(90)의 온도인 것으로 간주 될 수 있다. 따라서, 도 3을 참조하면, 서미스터는 정면 패넬(90)과 직접적인 물리적인 접촉상태있어서 가열된 뚜껑 어샘블리(46)의 온도를 나타내는 신호를 출력한다.
가열된 뚜껑 어셈블리(46) 및 프리넘 시스템(116, 130)의 디자인은 여러 성능 목적을 성취한다. 먼저, 이이에 의해 하나 이상의 처리가스의 방사상 흐름이 처리실(34)에 설정된다. 이는 도 5에 개략적으로 도시되어 있다. 웨이퍼(33)의 표면에 걸친 가스의 대칭적인 방사상 흐름은 상면 전체에 걸쳐 웨이퍼(33)의 균일한 가스 정화 처리를 향상시키는데 도움을 준다.
둘째로, 유입가스와 유출가스의 흐름은 가열된 뚜껑 어셈블리(46) 내에서 각각 매우 대칭적이다. 부가적으로, 가열 장치(68)로부터 처리실(34) 아래쪽으로의 열전도의 고체통로는 정면 패넬(62)의 전체 표면적에 걸쳐 가열된 뚜껑 어셈블리(46)내에 실질적으로 대칭적으로 그리고 균일하게 제공된다. 가스 흐름과 열전도 통로의 대칭성은 균일성을 향상시키는데 도음이되는데 이러한 균일성에 의해 열에너지는 가열 장치(68)로부터 처리실(34)에 이송된다. 놀랍게도, 이 균일하게 가열된 뚜껑은 처리실(34) 내에서 가열되고 있는 웨이퍼의 열처리를 크게 향상시킨다.
예를 들어, 도6은 가열된 플래튼의 열적 균일성이 뒤판 뚜껑의 능동 가열로 과 능동 가열 없이 측정된 데이터를 도시한다. 도 1 내지 도 5에 도시된 구성의 장치가 이용된다. 실험에 있어서, 가열된 플래튼의 온도범위는 가열된 뚜껑에 대한 전력이 오프(off)된 상태(즉, 뚜껑이 가열된 플래툰(38)으로부터의 열에너지에 의해 수동적으로 만 가열)된 상태에 플래튼 설정점의 계수로서 측정된다. 다른 실험에서, 가열된 플래튼의 온도범위는 가열된 뚜껑이 가열된 플래튼의 설정점 이하의 10℃인 설정 점 온도에서 동작한 상태에서(즉, 뚜껑이 가열된 뚜껑 어셈블리(46)내에 위치된 가열 장치로부터의 열에너지에 능동적으로 가열된다)플래튼 설정점 온도의 계수로서 측정된다.
본 발명의 다른 실시예는 본 명세서를 고려하거나 본 발명의 실행을 고려하면 당업자가 분명히 알 수 있을 것이고 여러 수정과 변경이 본 발명의 정신 및 범위내에서 벗어나지 않으면 가능하다.

Claims (21)

  1. 공작물을 열적으로 처리하는 열 처리 장소에 있어서,
    가열된 플래튼이 위치된 포위된 처리실을 구비하며, 이 가열된 플래튼은 공작물이 열처리 동안 위치된 면을 갖으며;
    뚜껑 어셈블리를 구비하여,
    이 뚜껑 어셈블리는,
    부가적인 가열 원을 포함하는 제 1단계와, 이 부가적인 가열 원은 처리실과 열적으로 전도적인 접촉을 하며,
    가스가 처리실에 공급된 유입 프리넘 시스템의 부분과, 가스가 처리실로부터 배출된 배출 프리넘 시스템의 부분을 포함하는 제 2단계를 포함하며,
    하나 이상의 유입 및 배출 프리넘 시스템은 다수의 방사상 흐름 통로를 포함열처리장소.
  2. 제 1항에 있어서,
    유입 프리넘 시스템은 다수의 방사상 흐름 통로를 포함하는 열처리장소.
  3. 제 1항에 있어서,
    부가적인 가열 원은 평편한 가열장치를 포함하고, 제 1단계는 평편한 가열장치의 표면 위에 헤드스페이스를 포함하는 열처리장소.
  4. 제 3항에 있어서,
    편평한 가열장치는 제 1단계의 플로워에 인접한 열처리장소.
  5. 제 1항에 있어서,
    제1 단계는 부가적인 가열 원이 위치한 뚜껑 어셈블리를 포함하는 열처리장소.
  6. 제1항에 있어서,
    부가적인 가열 원은 포위된 처리실로부터 공간을 멀리 둔 열처리 장소.
  7. 제1항에 있어서,
    부가적인 가열 원은 다수의 방사상 벽에 의해 포위된 처리실에 열적으로 연결된 열처리장소.
  8. 제1항에 있어서,
    부가적인 가열 원의 가열 면은 가열된 플래튼에 대향하는 열처리장소.
  9. 가열된 뚜껑 어셈블리를 근접할 수 있게 맞물리는 가열된 뚜껑 어셈블리와 바닥 하우징 어셈블리를 포함하는 하우징과;
    가열된 뚜껑 어셈블리와 바닥 하우징 어셈블리에 의해 적어도 부분적으로 형성된 하우징안쪽의 처리실과;
    가열된 뚜껑 어셈블리 안쪽의 뚜껑 챔버와;
    가열 원이 처리실과 열 접촉하도록 뚜껑 챔버안쪽에 위치된 가열 원과;
    공작물이 열처리 동안 지지가 되는 처리실 안쪽의 가열된 플래튼을 구비한 공작물을 열적으로 처리하는 열처리장소.
  10. 제9항에 있어서,
    가열된 뚜껑 어셈블리는
    뚜껑 챔버를 포함하는 제1단계와;
    제1 단계와 처리실 사이에 위치한 제2단계를 구비하며, 이 제 2 단계는 유입 플레놈 시스템의 부분과 프리넘 부분을 포함하는 열처리장소.
  11. 제9항에 있어서,
    가열된 뚜껑 어셈블리는,
    뚜껑 챔버를 포함하는 상부 단계를 구비하고, 뚜껑 챔버에 위치된 가열 원이 뚜껑 챔버의 플로원에 열적으로 연결되어 있으며;
    또한, 제 1단계와 처리 실사이에 위치된 제 2단계를 구비하며, 제2 단계는 유입 프리넘의 부분과 유출 프네넘의 부분을 포함하는 열처리장소.
  12. 제9항에 있어서,
    가열 원은 처리실로부터 공간을 멀리 둔 열처리장소.
  13. 제12항에 있어서.
    가열 원은 다수의 방사상 벽에 의해 처리실에 열적으로 연결된 열처리장소.
  14. 바닥 하우징 어셈블리를 근접할 수 있게 맞물리는 가열된 뚜껑 어셈블리와;
    바닥 하우징 어셈블리에 의해 적어도 부분적으로 형성된 처리실과;
    이 처리실과 유체 연통하는 가스 흐름 통로를 포함하는 가열된 뚜껑 어셈블리에 있는 유입 프리넘의 최소한의 부분과;
    이 처리실과 유체 연통하는 가스 흐름 통로를 포함하는 가열된 뚜껑 어셈블리에 있는 배출 프리넘의 최소한의 부분을 구비하며, 적어도 하나의 유입 및 유출 프리넘 시스템은 다수의 방사상 흐름 통로를 포함하는 열처리장소.
  15. 제14항에 있어서,
    방사상 흐름 통로의 최소한의 부분은 서로 크기가 다른 열처리장소.
  16. 제14항에 있어서,
    가열된 뚜껑 어셈블리는
    뚜껑 챔버에 위치한 가열 원과,
    가열 원의 상면 위에 위치한 헤드스페이스을 포함하는 뚜껑 챔버를 더구비하는 열처리장소.
  17. 열처리 동안 공작물의 열적 균일성을 향상시키는 열처리장소는,
    제 1 가열 표면을 갖는 제 1 가열 원을 제공하는 단계와;
    제 2 가열 표면을 갖는 제 2 가열 원을 제공하는 단계와; 제 1 및 제 2 가열표면은 제 1 및 제 2 가열원사이의 최소한의 부분의 공간이 처리실을 형성하도록 서로 대향하고 있으며;
    처리실과 유체 연통하는 가스 흐름 통로와 처리실을 포함하는 유입 프리넘 시스템과 처리실과 유체 연통하는 가스 흐름 통로를 포함하는 배출 프리넘 시스템을 제공하는 단계와, 유입 및 유출 프리넘의 적어도 하나는 다수의 방사상 흐름 통로를 포험하며;
    열처리의 적어도 부분 동안 제 1 및 제 2 대향 하는 가열면사이에 공작물을 위치시는 단계를 구비한 열처리중 공작물의 열균일성를 향상시키는 방법.
  18. 제1항에 있어서,
    가열된 플래튼으로부터 공작물의 제 1 주요 표면으로의 가열플럭스가 제 1 틈을 가로질로 흐르도록 가열된 플래튼의 주요표면과 공작물의 제 1 주요 표면사이의 제 1틈과;
    부가적인 가열원으로부터 공작물의 제 1 주요 표면으로의 가열 플럭스가 제 1 틈을 라로질러 흐르도록 부가적인 가열 원과 공작물의 제 1 주오 표면 사이의 제2틈을 구비한 열처리장소.
  19. 제 9항에 있어서,
    가열된 플래튼으로부터 공작물의 제 1 주요 표면으로의 가열 플럭스가 제 1 틈을 가로질러 흐르도록 가열된 플래튼의 주요표면과 제 1 주요 표면 사이의 제 1 틈과;
    가열 원으로부터 공작물의 제 2주 요 표면이 제 2 틈을 가로질러 흐르도록 가열 원과 공작물의 제 2 주요표면상의 제 2 틈을 구비한 열처리장소.
  20. 제16항에 있어서,
    공작물이 열처리중 지지가 되는 처리실 안쪽의 가열된 플래튼과;
    가열된 플래튼으로부터 공작물의 제 1 주요표면으로의 가열된 플럭스가 제 1틈을 가로지르도록 가열된 플래튼의 주요표면과 공작물의 제 1 주요표면 사이의 제1틈과;
    가열원으로부터 공작물의 제 2주요 표면으로의 가열 플럭스가 제 2틈을 가로지르도록 가열원과 공작물의 제 2주 요면 사이의 제2틈을 구비한 열처리장소;
  21. 가열 플래튼이 위치된 포위된 처리실과; 이 가열된 플래튼은 공작물이 열처리중 위치한 면을 가지며;
    부가적인 가열 원이 위치한 뚜껑 챔버를 포함하는 제 1 단계와, 이 부가적인 가열원은 처리실과 열전도적 접촉을 하며,
    가스가 처리실에 공급되는 유입 프리넘 시스템의 최소한의 부분과 가스가 처리실로부터 배출되는 배출 프리넘 시스템의 최소한의 부분을 포함하는 제 2단계를 포함하는 뚜껑 어셈블리를 구비하며;
    제 1 및 제 2단계는 제 1단계와 포위된 처리실 사이에 위치되어 있으며, 하나 이상의 유입 및 유출 프리넘 시스템은 다수의 방사상 흐름 통로를 포함하고, 방사상 흐름 통로는 서로 크기가 다른 공작물을 열처리하는 열처리장소.
KR1020057004040A 2002-09-10 2003-08-01 뚜껑을 가진 열처리 장소 KR20050035300A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40956102P 2002-09-10 2002-09-10
US60/409,561 2002-09-10

Publications (1)

Publication Number Publication Date
KR20050035300A true KR20050035300A (ko) 2005-04-15

Family

ID=31993977

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057004040A KR20050035300A (ko) 2002-09-10 2003-08-01 뚜껑을 가진 열처리 장소

Country Status (8)

Country Link
US (1) US6884066B2 (ko)
EP (1) EP1540259A2 (ko)
JP (1) JP2005538564A (ko)
KR (1) KR20050035300A (ko)
CN (1) CN1682084A (ko)
AU (1) AU2003257112A1 (ko)
TW (1) TW200414366A (ko)
WO (1) WO2004025697A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100763698B1 (ko) * 2006-07-20 2007-10-04 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 베이크 유닛

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6994544B2 (en) * 2004-01-30 2006-02-07 Texas Instruments Incorporated Wafer scale thermal stress fixture and method
KR100571841B1 (ko) * 2004-06-21 2006-04-17 삼성전자주식회사 베이크 시스템
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
US7427728B2 (en) * 2006-07-07 2008-09-23 Sokudo Co., Ltd. Zone control heater plate for track lithography systems
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4930495B2 (ja) * 2008-12-04 2012-05-16 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
EP2870623B1 (de) * 2012-07-09 2021-01-13 (CNBM) Bengbu Design & Research Institute for Glass Industry Co., Ltd. Prozessbox, anordnung und verfahren zum prozessieren eines beschichteten substrats
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114678297B (zh) * 2022-03-11 2023-04-21 苏州智程半导体科技股份有限公司 一种半导体加热盘
CN114594665B (zh) * 2022-05-10 2022-08-23 上海芯源微企业发展有限公司 盘盖及其控制方法和烘烤设备
CN115502067B (zh) * 2022-10-25 2023-09-26 长鑫存储技术有限公司 加热装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992016671A1 (en) 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JPH05326453A (ja) 1992-03-27 1993-12-10 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5620560A (en) 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08310896A (ja) 1995-05-12 1996-11-26 Furukawa Electric Co Ltd:The 気相成長装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6198074B1 (en) 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
SG70035A1 (en) 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JPH10233370A (ja) 1997-02-20 1998-09-02 Kokusai Electric Co Ltd 半導体基板の熱処理装置
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6416318B1 (en) * 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
US6307184B1 (en) * 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6644964B2 (en) * 2000-06-20 2003-11-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6838115B2 (en) 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100763698B1 (ko) * 2006-07-20 2007-10-04 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 베이크 유닛

Also Published As

Publication number Publication date
CN1682084A (zh) 2005-10-12
EP1540259A2 (en) 2005-06-15
AU2003257112A8 (en) 2004-04-30
US6884066B2 (en) 2005-04-26
WO2004025697A3 (en) 2004-08-12
US20040048220A1 (en) 2004-03-11
WO2004025697B1 (en) 2004-10-14
AU2003257112A1 (en) 2004-04-30
TW200414366A (en) 2004-08-01
JP2005538564A (ja) 2005-12-15
WO2004025697A2 (en) 2004-03-25

Similar Documents

Publication Publication Date Title
KR20050035300A (ko) 뚜껑을 가진 열처리 장소
KR101089977B1 (ko) 성막 장치 및 성막 방법, 가스 공급 장치 및 기억 매체
EP1371751B1 (en) Film forming device
US6423947B2 (en) Thermal processing chamber for heating and cooling wafer-like objects
US6176198B1 (en) Apparatus and method for depositing low K dielectric materials
TWI489546B (zh) 將氣體徑向傳送至腔室之裝置
KR101096983B1 (ko) 열처리 장치
US7988813B2 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
KR20150126789A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
KR20100099535A (ko) 기판처리장치 및 그의 제조방법
US20070212200A1 (en) Lifter and target object processing apparatus provided with lifter
JP4260404B2 (ja) 成膜装置
JP5356522B2 (ja) 化学処理及び熱処理用高スループット処理システム及びその動作方法
CN115867691A (zh) 用于半导体处理腔室的非对称排气泵送板设计
CN114341398A (zh) 温控吊灯型喷头
JP2003247073A (ja) ガスシャワーヘッド、成膜装置及び成膜方法
TWI831676B (zh) 用於更高產量和更快轉變時間的半導體處理腔室架構
JP2003100579A (ja) 基板処理装置
TWI797678B (zh) 用於面板溫度控制的系統和方法
TWI837376B (zh) 基板處理裝置
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버
JP2002170774A (ja) 基板処理装置
JP2003124087A (ja) 加熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application