CN1682084A - 具有受热盖的热处理设备 - Google Patents

具有受热盖的热处理设备 Download PDF

Info

Publication number
CN1682084A
CN1682084A CN03821445.8A CN03821445A CN1682084A CN 1682084 A CN1682084 A CN 1682084A CN 03821445 A CN03821445 A CN 03821445A CN 1682084 A CN1682084 A CN 1682084A
Authority
CN
China
Prior art keywords
equipment
heating
heated
treating chamber
subassembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN03821445.8A
Other languages
English (en)
Inventor
翁·P·源
理查德·E·西姆斯
朱晓光
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tel Manufacturing and Engineering of America Inc
Original Assignee
FSI International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by FSI International Inc filed Critical FSI International Inc
Publication of CN1682084A publication Critical patent/CN1682084A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)

Abstract

用于改进放置在热处理设备(10)的受热托板(38)上进行处理的工件(33)的温度均匀性的方法和设备。受热托板(38)封闭在壳体(31)内,壳体(31)包含附加热源(68),它均匀地将热能输入受热托板(38)所放置的处理腔(34)中。在优选实施例中,此热源放置在壳体的盖(46)中。附加的优越性还在于,受热盖(46)包括这样的特征,它们形成将气体引入处理腔(34)和/或从处理腔(34)排出的气体流动通路。就光阻材料的性能而论,由于在壳体内,如盖内应用这样的附加热源,其形成的改进的热均匀性提供横越晶片的改进的线宽度控制和线均匀性。

Description

具有受热盖的热处理设备
发明的技术领域
本发明涉及热处理设备,特别是在微电子装置生产中应用的热处理设备,它属于这样的类型,即包含受热托板,置于其上的工件被热处理。更具体地讲,本发明涉及这样的一些设备,它们包含受热托板和附加热源。
发明的背景
许多产品的生产要求对温度和温度变化加以精确控制。例如,诸如集成电路、平板显示器、薄膜磁头等的微电子装置的生产,包括将诸如光阻材料的某种材料层涂敷在基片(诸如集成电路中的半导体晶片)的表面上。特别是,处理期间,光阻材料必须烘烤,然后淬冷以固化或硬化光阻材料的被选部分。烘烤和淬冷步骤必须精确地控制在严格的温度范围,以确保光阻材料的被选部分能以良好的分辨率适当地固化。涉及严格温度控制的其它产品和处理包括如药品制备、器械消毒和生物工程的医药产品和处理;加速生命试验方法学;注射模压作业;压电装置;胶卷处理;材料沉积处理,诸如溅射和喷涂处理;微机械生产;喷墨打印;燃料引射等。
烘烤通常涉及将工件加热至特定升高的平衡温度,然后将工件在具体的平衡温度下保持一定的时间周期。
通常,热处理通过将晶片放置在也称为烘烤板的受热托板上完成。受热托板安置在封闭容器内,从而热处理发生于与四周隔离的保护环境中。十分重要的是,热处理在晶片的整个表面面积上应尽可能的均匀。晶片表面面积上太大的温度变化会有害地冲击被烘烤的光阻材料的性能,从而有害地冲击最终装置的质量。
热均匀性可以不同方法加以定量。一个适当的措施涉及首先根据具体情况在晶片或托板的整个表面的多个代表性点上测量晶片或支承晶片的受热托板的表面温度。然后可按最高与最低测量温度间的差来计算热均匀性。常用的规范可能要求例如此温度变化不大于摄氏度的几分之一。由于受到日益增长的小型化强烈要求的推动,微电子装置日趋变小,温度均匀性规范也越来越变得更严格。因此,持续要求更进一步改进热均匀性。
通常,已在热处理设备中加入了多个特征以加强热均匀性。一个措施涉及改进受热托板及其相关部件的结构和/或特征。另一措施涉及改进用于监视和/或调节托板温度的温度传感器和控制系统。又另一措施涉及加强热处理设备的壳体和其它部件的热绝缘特性,以便帮助处理腔与四周进行热隔离。
所有这些用于改进热均匀性的措施都是有益的,然而仍继续要求有更好的热均匀性性能。
在过去,加热装置层压在热处理设备壳体的外侧顶表面上,例如壳体的盖上,以便加热壳体,阻止处理蒸汽冷凝在处理腔的内表面上。例如,FSI International,In.销售一种与其POLARIS系统的工具组合有关的具有受热盖的热处理设备。但是,用于加热盖的加热装置是平面的,受热薄层层叠在盖的外表面上。此外,盖结构包括被环结构隔开的四个相对平面板的交替系列。这在实际上限定盖结构具有三个重叠的充气层,它们至少在盖顶部上加热器表面与盖之下的处理腔的整个表面面积之间构成顺序的三个空气间隙。因此,为将热能从加热器表面均匀地传导至加热器之下的处理腔,这种特定的盖结构只能提供,如果有的话,非常少的直接固体通路。能将热能从加热器传导至处理腔的仅有的直接固体路径是设置在加热装置外周边边缘邻近的壳体外侧壁。此外,加热装置被用于阻止蒸汽在处理腔内侧的冷凝,而不是用于加强在处理腔内被处理的晶片的热均匀性。
发明的内容
本发明提供的方法和设备能改进在受热托板上处理的晶片的温度均匀性。本发明基于将受热托板封闭在壳体内,壳体包含附加的热源,它均匀地将热能输入受热托板所放置的处理腔中。在优选实施例中,此热源放置在壳体的盖中。附加的优越性还在于,受热盖包括这样的特征,它们形成将气体引入处理腔和/或从处理腔排出的气体流动通路。就光阻材料的性能而论,由于在壳体内,如盖内应用这样的附加热源,其形成的改进的热均匀性提供横越晶片的改进的线宽度控制和线均匀性。
在本发明的一个方面中,热处理设备包括封闭的处理腔和附加封闭腔。受热托板能放置在封闭的处理腔内,并通常具有一个表面,热处理期间,工件放置在其上。附加热源能放置在附加封闭腔内,以便与处理腔进行热传导接触。
在本发明的另一方面中,热处理设备包括壳体,壳体包含受热盖组合件和底壳体组合件,它可关闭地啮合受热盖组合件。受热盖组合件包括放置在盖腔体内的热源。热源通常具有加热器表面,并能以这样的方式放置在盖腔体内,以致加热器表面与处理腔处于热接触中。壳体内的处理腔通常至少部分由受热盖组合件和底壳体组合件限定。受热托板能放置在处理腔内,热处理期间,工件能支承在受热托板上。
在本发明的又另一方面中,热处理设备包括受热盖组合件、底壳体组合件、处理腔、入口压力通风系统和排气压力通风系统。受热盖组合件叠加在底壳体组合件上,而处理腔通常至少部分由底壳体组合件限定。至少在受热盖组合件内的部分入口和排气压力通风系统能包括气体流动通路,它与处理腔进行流体交流。入口和排气压力通风系统中至少有一个具有多个径向流动通道。在一个实施例中,径向流动通道的尺寸以这样的方式相互不同,以致加强了从受热盖组合件至处理腔的热能传输均匀性特征。
较好的是,当热源放置在本发明的热处理设备的盖腔体内时,腔体具有在热源的顶表面之上的预留空间。
在本发明的又另一方面中,提出了一种用于在热处理期间改进工件热均匀性的方法,方法包括的步骤有:1)设置具有第一加热表面的第一热源;2)设置具有第二加热表面的第二热源,其特征在于,第一和第二加热表面是相互相对的;以及3)至少在部分热处理期间,将工件放置在第一和第二相对的加热表面之间。
附图简述
本发明的上述和其它优越性,以及达到它们的方法通过参考本发明实施例的下述说明,并结合附图将变得更为明显,对发明本身也将了解得更清楚,其中:
图1是本发明热处理设备的透视图,其中加热组件处于封闭结构中;
图2是图1中热处理设备的分解透视图,它更清楚地展示用于形成受热盖组合件的部件;
图3是用于图1热处理设备中的受热盖组合件的分解透视图;
图4是图3中受热盖组合件应用的中间构件的平面顶视图;
图5是图1中热处理设备应用的加热组件的示意横截面图,它更清楚地展示气体通过受热盖组合件和处理腔的流动;而
图6是一张表示当受热盖组合件被主动加热时,本发明的热处理设备的改进的热均匀性图表。
现优选实施例的详细说明
下述本发明实施例并不企图是详尽无遗的,或将本发明限制于以下详细说明中披露的精确形式。相反,选择和说明了这样一些实施例,从而本技术的其它技术人士可正确评价和理解本发明的原理和实践。
本发明的原理可加入至大量不同类型的加热组件中,如希望此类组件能在微电子装置生产的一个或多个步骤中均匀地热处理一件或多件微电子工件的话。例如,本发明的原理可容易地加入至任何类型的蒸溅设备或烘烤设备中,用于在工件上形成带图形的或不带图形的光阻材料层。
为展示目的,本发明将以图1至5所示的优选热处理设备10为例加以进一步说明。设备10及其加热组件12的优点在于其尺寸能处理大量不同尺寸范围的微电子工件,包括那些形成在200mm和300mm半导体晶片基底上的工件。所示的设备10支承在支承板16上,它包括滑块18,这些滑块18便于将设备10模块式安装至生产微电子装置应用的组合工具的组合式柜中,诸如从FSI International,Inc.,Chaska,MN和Allen,TX可买到的POLARIS2500或POLARIS3000系列的组合工具。虽然可方便地在这些组合工具中作为设备加以应用,但是,设备10也可用作独立应用系统,其中设备10及任选的支承板16和滑块18支承并装在适当的壳体(未表示)内。
除加热组件12本身和滑块18外,附加的专用的设备组成部分也支承在支承板16上。此组成部分包括,例如,提升马达20和相应的齿轮箱22,它们被用于在处理期间打开和关闭加热组件12,以进行加载、卸载、标定、运行等。还设置了专用的电子仪器26。这些电子仪器26既包括硬件也包括软件部件。包括在目前商业可买到的POLARIS牌工具组合中的蒸溅和烘烤设备的专用的设备组成部分是可用于设备10中的此类支承组成部分的代表。加入至设备10中的设备组成部分包括管道组合件28或类似物,它帮助控制被设备10应用的真空、处理气体及处理蒸汽的供应和/或去除。
加热组件12具有由底壳体组合件32和受热盖组合件46形成的壳体31。至少部分由底壳体组合件32和受热盖组合件46限定的内侧体积确定处理腔34。壳体31具有开口结构(未表示)和封闭结构(如在图1和5中最佳地所示)。在封闭结构中,底壳体组合件32的边缘36啮合受热盖组合件46的边缘47,以形成密封件,它将处理腔34与四周环境相隔绝。为帮助增大此环境密封件,受热盖组合件46向下偏移以加强边缘36与47之间的啮合。在开口结构中,底壳体组合件32与受热盖组合件46分离得足够开,以设置出入处理腔34的出入口。
任何合适的组成部分都可应用于打开和关闭壳体31,如需要的话。为展示目的,底壳体组合件32与受热盖组合件46之间的相对运动由提升马达20和齿轮箱22提供,它们由适当的驱动机构(未表示),如驱动链、驱动皮带等连接至提升机构24。为关闭壳体31,提升马达20、齿轮箱22以及驱动机构被用于驱动提升机构24,以便升高底壳体组合件32,从而边缘36啮合边缘47。为打开壳体31,提升马达20、齿轮箱22以及驱动机构被用于驱动提升机构24,以便降低底壳体组合件32,从而边缘36和边缘47分得足够开,以设置要求的进入处理腔34的出口。
受热托板38放置在处理腔34的内侧。处理期间晶片33以这样的方式支承在受热托板38上,以便来自受热托板38的热能有效地传递给晶片33。受热托板38包括通常的提升针通道42,当底壳体组合件32下降(即壳体31被打开)时,晶片提升针43通过它们而突出。当底壳体组合件32升高(即壳体31被关闭)时,提升针43撤回进入提升针通道42。
疙瘩44(也称为贴近针)设置在受热托板38的顶表面39上,从而在晶片33的下侧45与受热托板38的顶表面39之间有一个小间隙41。间隙41帮助减少晶片33的下侧45上的污染,不然的话,如果在晶片33与受热托板38之间是全部表面接触,则可能发生污染。然而,间隙41是足够的小,从而晶片33仍与受热托板38处于热接触之中。处理腔34内,在晶片33与受热盖组合件46之间有一个预留空间40。来自受热盖组合件46的热能一般由受热盖组合件46通过盖组合件结构传导进入此预留空间40,对盖组合件结构将在下文加以更详细的说明。
本发明的受热盖组合件46的一个优选实施例,如图1至5所示,至少包含两个功能层。代表性实施例中所示的这些层是上层48和下层50,虽然这两个层的相对定位可按要求互换和/或部分或全部综合。上层48最好安装有一或多台适当的热源,诸如已加热的气体和/或加热器装置,而下层50安装有入口和排气通路,通过它们一种或多种处理气体在处理期间可共同或单独地输送进、出处理腔34。下层50还包括固体结构,它帮助将热能从热源直接传导至处理腔34中的预留空间40。这些固体结构还起着有助于限定下层50内的腔和通道的辅助作用,通过它们一种或多种处理气体可在处理运行期间加以输送。
更详细地说,受热盖组合件46的盖构件52包括周边侧壁54,它从面板56向下伸展。辅助周边盖结构57从周边侧壁54向外伸展,以便与轨迹相配合,从而套在受热盖组合件46的其它相应结构上。盖构件52包括紧固件孔55,盖构件52通过它们紧固至受热盖组合件46的其它组成部分上。
受热盖组合件46的中间盖构件58具有上突的周边法兰60,它定位在具有上表面64和下表面66的面板62上。上表面64凹入在周边法兰60内。形状为平面的、电阻性的叠层加热装置68的热源,其尺寸选择成装配在周边法兰60内侧的上表面64上。加热装置68的面积可按要求小一些或大一些,但最好基本与周边法兰60之内凹入的上表面64的面积尺寸一样的扩展,以提供热能从加热装置68进入处理腔34更为均匀的传输(最好主要通过热传导)。
加热装置68较好地是由一部或多部独立的加热装置形成,但最好设置成一种形式,它至少基本从加热装置68的整个下表面72输出可控的均匀热能。在一些实施例中,加热装置68可包括包含两个或多个独立的可控加热区域。在这些实施例中,较好的是每一个区域的热输出是均匀和可控的,且至少基本从区域的下表面的整个表面面积输出。一种较好的加热装置68包含一或多片夹在聚酰亚胺绝缘层之间的经蚀刻的金属箔。电能可通过导线156传输至加热装置68和从其输出,导线156在接片69处通过接头158而进、出加热装置68。加热装置68可应用任何适当的措施,包括机械紧固件、粘接剂、它们的组合等紧固至底盖构件80上。将加热装置68紧固至底盖构件80上可在加热装置68与底盖构件80之间实现良好的热传导。为展示目的,加热装置68包括机械紧固件74,加热装置68通过它们紧固至底盖构件80上。
在加热装置68的上表面70与盖构件52的面板56之间有一个预留空间78。此预留空间78帮助将加热装置68与面板56,因而与外界绝缘地隔开。从而造成加热装置68的热输出更均匀、一致和可控。此外,预留空间78形成一个腔,气体可通过凹入的壁部分84而进入。气体从处理腔34通过凹入的壁部分84进入预留空间78的这种边缘通风可用于控制加热装置68的温度均匀性。
在实际作用中,加热装置68安装在其自己的腔中,以表面64作为腔的底面,面板56作为腔的天花板,而侧壁54形成腔壁。中间盖构件58的周边法兰60包含多个孔,中间盖构件58可通过它们应用适当的机械紧固件76紧固至受热盖组合件46的其它组成部分。如图所示,周边法兰60通过这些紧固件76紧固至底盖构件80上,从而形成法兰60与底盖构件80之间良好的热接触。
底盖构件80包含上突的周边法兰82,它具有顶表面85,并定位在面板90的上表面92上。面板90也具有底表面94,它形成处理腔34的一个边界。周边法兰82的侧壁83具有凹入的壁部分84,它们限定突出的壁部分86。突出的壁部分86具有安装表面88,当组装受热盖组合件46的部件时,盖构件52的周边侧壁54连接至其上。因此,侧壁54与凹入的壁部分84相隔开。形成的间隙可帮助将侧壁54和面板56的相应部分与上、下层48和50的内侧热隔绝,这转而又有助于上、下层48和50的内侧与外界进行热隔绝。从而形成受热盖组合件46内侧的加热更均匀、一致和可控。
除了周边法兰82外,在面板90的上表面92上还设置了附加的上突特征。这些上突特征包括位于面板90中心区域的大致为环形的壁96。环形壁96具有间隙97,它起着入口的作用,用于输送环形壁96内侧的气体,对此下文将进一步说明。环形壁96具有顶表面98。径向壁100以间隔开的形式从间隙97向外伸展至周边法兰82。孔112设置在面板90中径向壁100的外端部之间。如下所述,在运行的一个优选模式中,孔112用作入口,处理气体可通过它从一个或多个外部气体源吸入受热盖组合件46中。
径向壁101大致径向地从各自的内端部102伸展至各自的外端部104。内端部102总体与环形壁96相隔开,而外端部104则与周边法兰82相隔开。
径向壁103以间隔开的形式从内端部105径向地向外伸展,并与周边法兰82相连接。孔114设置在面板90中径向壁103的外端部之间。如下文说明的,在运行的一个优选模式中,孔114用作出口,处理气体可通过它从受热盖组合件46和从加热组件12排出,用于收集、再循环、排掉、进一步处理等。
多个孔108形成于面板90中环形壁96内的中心区域中。为展示目的,孔108布置在围绕面板90中心的多个同心圆组上。当然,此特定布置是优选的,但一个或多个孔的任何图案如要求的话,都可用于同一或相似的目的。总的说来,在运行的一个优选模式中,孔108起喷头样装置的作用,处理气体通过它们从受热盖组合件46的内侧输送至受热盖组合件46之下的处理腔34中。同样,多个孔110围绕面板90的周边、邻近周边法兰82而形成。总的说来,在运行的一个优选模式中,这些孔110形成端口,处理气体通过它们从处理腔34退入受热盖组合件46中。
径向壁100、101和103具有各自的顶表面106。当中间盖构件58紧固至底盖构件80上时,这些顶表面106以及顶表面85和98密封地支撑着面板62的下表面66。因此,这些上突特征之间的凹入区域能起入口压力通风系统116和排气压力通风系统130的通道和腔的作用。入口压力通风系统116提供腔和通道,通过它们一种或多种处理气体从一个或多个外部源引入受热盖组合件46,然后输送至处理腔34中,排气压力通风系统130提供腔和通道,处理气体通过它们从处理腔34退回至受热盖组合件46中。
更详细地说,入口压力通风系统包括孔112,一种或多种处理气体可通过它从一个或多个外部源输入受热盖组合件46,然后输送至处理腔34中。适当的供应管道、管线或其它适当的管路工程(未示于图1-5中)可通过诸如接头120的装置连接至孔112。孔112开口进入周边入口腔122。从入口腔122供应的气体沿着径向入口通道124传输至中心入口腔126。然后气体通过孔108从中心入口腔126排出。供应的气体(多种气体)可按选择置于适当的压力下,以促进气体以此形式通过入口压力通风系统116的输送。如由图5可最佳地看到,气体进入处理腔34,大致朝着晶片33的中心区域向下流动。然后气体大致径向、对称地向外流向处理腔34的周边。邻近处理腔34的周边,气体从处理腔34退入排气压力通风系统130。按不同选择,可向排气压力通风系统130施加真空以促进气体通过系统130的流动。
再一次总体参看图1至5,排气压力通风系统130包括排气压力通风入口110,一种或多种处理气体可通过它们从处理腔34进入受热盖组合件46。这些入口110设置于底盖构件80的外周边的邻近,从而将排出气体引入外排气压力通风腔132,它们也设置在底盖构件80的外周边的邻近。从外排气压力通风腔132,排放的气体沿着多个径向排气通道136输送进入内、环形排气腔142。每条径向通道136具有各自的邻近外排气压力通风腔132的入口138以及各自的邻近内、环形排气腔142的出口140。最好,每一入口138的横截面面积大于其对应出口140的横截面面积。也即,每条通道136都从入口向出口逐渐缩小。
径向排气通道144将排出气体从内、环形排气腔142输送至出口腔146,由此排出气体通过孔114从加热组件12排出。孔114可通过诸如接头150的适当装置连接至适当的管道、管线或其它管路工程。
按选择,可施加诸如真空的适当的推动力,以便有助于运输排出气体通过排气压力通风系统130。当为此施加了真空时,已观察到,最靠近径向排气通道144(它本身较靠近下游的真空源)的径向排气通道136趋于经受最强的真空。因此,如果在此情况下,所有出口140的横截面面积相等,则排出气体通过离通道144较近的通道136的体积流量将趋于大于通过较远的通道136的体积流量。这种流量不对称将冲击从受热盖组合件146传输至处理腔34,因而也就是晶片33的热能的均匀性。
因此,为有助于加强热均匀性,较好的是,如果通道136的横截面面积按要求而变化,从而排出气体通过通道136的体积流量按实际要求达到均匀。通常,为获得通过通道136的更对称的流量,已发现,离通道144较远的出口140应大于离通道144较近的出口140。
诸如半导体温度计152的一个或多个温度传感器可用于监视受热盖组合件46的温度。这样的温度传感器(多个传感器)可连接至适当的控制系统,以助于控制加热装置68在要求的设定点温度等的输出。已发现PID反馈和/或反馈控制适于此目的。狭缝154可方便地设置通向通道(未表示)的口,半导体温度计152安装于其中。已发现,当插入、取走和/或运行半导体温度计152时,狭缝154是有用的。
处理设备10的各种部件,特别是壳体31的部件可由任何合适的耐温材料制成,包括金属(金属本身、金属间化合物、合金等)、聚合物材料、陶瓷、这些材料的组合等。热处理组件12本身的壳体部件最好由金属材料制成,诸如铝、铝合金、不锈钢,以及它们的组合等。更合适的是,每件要焊接至另一部件的部件,如果有的话,应是相兼容的金属,以便于这样的焊接。结果形成热和机械均坚固的结构。当然,对其它的申请,其它材料可能是适当的,其它连接技术可加以利用。例如,对在处理温度足够低的处理中可应用聚合材料,并可用粘接剂连接部件。诸如螺丝、螺栓、铆钉等的机械紧固件也可应用。
受热托板38与受热盖组合件46之间的温度相对差可冲击晶片33经受的处理温度的控制的简易度和精确度。具体讲,在某些实践模式中,已观察到如果受热盖组合件46相对受热托板38太热的话,则处理温度的控制将更困难。在实际效果中,相信“热串话干扰”将发生于盖组合件46与受热托板38之间。如果这样的热串话干扰因被例如托板和/或盖温度的较低的控制精度证实而观察到,则串话干扰一般可通过降低受热盖组合件46相对受热托板38温度的设定点温度而减轻。为避免或减轻这样的串话干扰,较好的是,受热盖组合件46的温度应维持在低于受热托板38的温度约1℃至约50℃,更好的是约5℃至约20℃,最好的是约10℃。
为了本发明的目的,将认为受热盖组合件46的温度是面板90的温度,如果温度传感器是通过与面板90的直接体接触测量温度的。这样,参看图3,半导体温度计152与面板90进行直接体接触,从而输出受热盖组合件46的温度的指示性信号。
受热盖组合件46,特别是压力通风系统116和130的设计实现多个性能目的。首先,它使一种或多种处理气体得以在处理腔34中建立径向流动。这示意地示于图5中。气体在晶片33表面之上的对称、径向流动可用于促进气体基本横越整个晶片33的顶表面对晶片33的均匀气体清洗处理。
其次,入口和排出气体在受热盖组合件46内的流动一般分别是相当对称的。此外,基本为固体的通路用于进行从加热装置68向下朝着处理腔34的热传导,它们在受热盖组合件46内,以及在面板62的整个表面面积之上被设置成基本是对称和均匀的。气体流动和热传导通路的对称有助于加强从加热装置68传输至处理腔34中热能的均匀性。令人惊奇的是,均匀地受热的盖将急剧地加强在处理腔34内加热的晶片的热处理。
例如,图6展示了在烘烤平板盖具有和不具有主动加热的两种情况下测得的受热托板热均匀性的数据。应用了如图1至5所示结构的设备。在一个实验中,受热托板的温度范围是在加给受热盖的功率切断时(即盖只是由来自受热托板38的热能被动地加热),作为托板设置点温度的函数进行测量的。在另一实验中,受热托板的温度范围也是作为托板设置点温度的函数进行测量,但受热盖是在低于受热托板设置点温度10℃的设置点温度下进行运行(即盖由来自位于受热盖组合件46内的加热装置的热能主动地加热)。图6的数据表明,在任何给定的托板设置点温度下,当盖被主动加热时,托板温度至少要更均匀25%。
本发明的其它实施例对本说明书所考虑技术的,或来自披露于本文的本发明实际的那些技术人士而言是显然的。对披露于此的原理和实施例,本技术的技术人士均可进行各种省略、修正和改变,只要不偏离由下述权利要求表明的真实的发明范围和精神。
权利要求书
(按照条约第19条的修改)
1.一种热处理设备,用于热处理工件,设备包括:
封闭的处理腔,在其中放置着受热托板,受热托板具有一表面,工件在热处理期间放置在该表面上;以及
盖组合件,该组合件包括:
第一层,该第一层包括一附加热源,该附加热源与上述处理腔热传导接触;及
第二层,该第二层包括至少部分入口压力通风系统,气体通过它输入处理腔,和至少部分排气压力通风系统,气体通过它从处理腔中排出,其中,至少一个所述入口和排气压力通风系统包括多个径向流动通道。
2.如权利要求1所述的热处理设备,其特征在于,入口压力通风系统包括多个径向流动通道。
3.如权利要求1所述的热处理设备,其特征在于,所述附加热源包括一平面加热装置,并且,所述第一层还包括位于该平面加热装置顶表面之上的预留空间。
4.如权利要求3所述的热处理设备,其特征在于,平面加热装置邻近第一层的底面。
5.如权利要求1所述的热处理设备,其特征在于,第一层包括一盖腔体,在其中放置着附加热源。
6.如权利要求1所述的热处理设备,其特征在于,附加热源与封闭处理腔相隔开。
7.如权利要求1所述的热处理设备,其特征在于,附加热源通过多个径向壁热耦合至封闭处理腔。
8.如权利要求1所述的热处理设备,其特征在于,附加热源的加热表面与受热托板的表面相对。
9.一种热处理设备,用于热处理工件,所述设备包括:
壳体,该壳体包括受热益组合件和底壳体组合件,该底壳体组合件紧密地啮合受热盖组合件;
处理腔,位于上述壳体之内,并至少部分地由上述受热盖组合件和底壳体组合件限定;
盖腔体,位于受热盖组合件之内;
热源,该热源按这样的方式放置在盖腔体之内,以使热源处于与处理腔的热接触中;以及
受热托板,位于处理腔之内,热处理期间,工件支承在受热托板上。
10.如权利要求9所述的热处理设备,其特征在于,受热盖组合件还包括:
第一层,它包括盖腔体;以及
第二层,它位于第一层与处理腔之间,该第二层包括至少部分入口压力通风系统和至少部分排气压力通风系统。
11.如权利要求9所述的热处理设备,其特征在于,受热盖组合件还包括:
上层,它包括盖腔体,其特征在于,放置在盖腔体内的热源热耦合至盖腔体的底面,以及
下层,它包括一或多面壁,有助于将上层热耦合至处理腔。
12.如权利要求9所述的热处理设备,其特征在于,热源与处理腔相隔开。
13.如权利要求12所述的热处理设备,其特征在于,热源通过多个径向壁热耦合至处理腔。
14.一种热处理设备,用于热处理工件,该设备包括:
一受热盖组合件,紧密地啮合一底壳体组合件;
处理腔,至少部分由底壳体组合件限定;
至少在受热盖组合件内的部分入口压力通风系统,该系统包括与处理腔进行流体交流的气体流动通路;以及
至少在受热盖组合件内的部分排气压力通风系统,该系统包括与处理腔进行流体交流的气体流动通路,其中,入口和排气压力通风系统中至少有一个包括多个径向流动通道。
15.如权利要求14所述的热处理设备,其特征在于,至少部分径向流动通道的尺寸相互不同。
16.如权利要求14所述的热处理设备,其特征在于,受热盖组合件还包括:
盖腔体,该腔体包括:
热源,放置在盖腔体内;以及
预留空间,位于热源顶表面之上。
17.一种用于在热处理期间改进工件的热均匀性的方法,包括的步骤有:
设置具有第一加热表面的第一热源;
设置具有第二加热表面的第二热源,其中,第一和第二加热表面是相互相对的,以致至少第一和第二热源之间的部分空间限定处理腔;
设置入口压力通风系统,它包括与处理腔进行流体交流的气体流动通路,及排气压力通风系统,它包括与处理腔进行流体交流的气体流动通路,其中,入口和排气压力通气系统中至少有一个包括多个径向流动通道;以及
至少在部分热处理期间,将工件放置在第一和第二相对的加热表面之间。
18.如权利要求1所述的热处理设备,其特征在于,还包括:
第一间隙,位于受热托板的主表面与工件的第一主表面之间,从而来自受热托板的热流横越第一间隙流向工件的第一主表面;以及
第二间隙,位于附加热源与工件的第二主表面之间,从而来自附加热源的热流横越第二间隙流向工件的第二主表面。
19.如权利要求9所述的热处理设备,其特征在于,还包括:
第一间隙,位于受热托板的主表面与工件的第一主表面之间,从而来自受热托板的热流横越第一间隙流向工件的第一主表面;以及
第二间隙,位于热源与工件的第二主表面之间,从而来自热源的热流横越第二间隙流向工件的第二主表面。
20.如权利要求16所述的热处理设备,其特征在于,还包括:
受热托板,位于处理腔之内,热处理期间,工件支承在受热托板上;
第一间隙,位于受热托板的主表面与工件的第一主表面之间,从而来自受热托板的热流横越第一间隙流向工件的第一主表面;以及
第二间隙,位于热源与工件的第二主表面之间,从而来自热源的热流横越第二间隙流向工件的第二主表面。
21.一种热处理设备,用于热处理工件,该设备包括:
封闭的处理腔,在其中放置着受热托板,受热托板具有表面,工件在热处理期间放置在其上面;以及
盖组合件,它包括:
第一层,此第一层包括盖腔体,附加热源放置于其中,附加热源与处理腔处于热传导接触之中;及
第二层,该第二层包括至少部分入口压力通风系统,气体通过它输入处理腔,和至少部分排气压力通风系统,气体通过它从处理腔中排出,其特征在于,第二层放置在第一层与封闭的处理腔之间,其中,入口和排气压力通风系统中至少有一个包括多个径向流动通道,其特征还在于,径向流动通道的尺寸相互不同。

Claims (23)

1.一种热处理设备,用于热处理工件,设备包括:
封闭的处理腔,在其中放置着受热托板,受热托板具有表面,工件在热处理期间放置在其上面;和
附加的封闭腔,在其中放置着附加热源,附加热源与处理腔处于热传导接触之中。
2.如权利要求1所述的热处理设备,还包括盖组合件,该盖组合件包括:
第一层,该第一层包括附加的封闭腔;和
第二层,该第二层包括至少部分入口压力通风系统,气体通过它输入处理腔中,以及至少部分排气压力通风系统,气体通过它从处理腔中排出。
3.如权利要求2所述的热处理设备,其特征在于,入口和排气压力通风系统中至少有一个包括多个径向流动通道。
4.如权利要求3所述的热处理设备,其特征在于,入口压力通风系统包括多个径向流动通道。
5.如权利要求3所述的热处理设备,其特征在于,第二层放置在第一层与封闭的处理腔之间,其特征还在于,径向流动通道的尺寸按以下方式而相互不同,即热能从附加热源至封闭的处理腔的传输均匀性特征能得到加强。
6.如权利要求1所述的热处理设备,还包括盖组合件,该盖组合件包括附加封闭腔,其特征在于,放置在附加封闭腔内的附加热源包括平面加热装置,其特征还在于,附加封闭腔还包括位于平面加热装置顶表面之上的预留空间。
7.如权利要求6所述的热处理设备,其特征在于,平面加热装置邻近附加封闭腔的底面。
8.如权利要求1所述的热处理设备,还包括盖组合件,该盖组合件包括:
上层,该上层包括附加封闭腔,其特征在于,附加热源热耦合至附加封闭腔的底面;和
下层,该下层包括一或多面壁,它们有助于将附加热源热耦合至封闭处理腔。
9.如权利要求1所述的热处理设备,还包括盖组合件,其特征在于,盖组合件包括附加封闭腔,在其中放置着附加热源。
10.如权利要求9所述的热处理设备,其特征在于,附加热源与封闭处理腔相隔开。
11.如权利要求10所述的热处理设备,其特征在于,附加热源通过多个径向壁热耦合至封闭处理腔。
12.如权利要求1所述的热处理设备,其特征在于,附加热源的加热表面与受热托板表面相对。
13.一种热处理设备,用于热处理工件,设备包括:
壳体,该壳体包括受热盖组合件和底壳体组合件,它可关闭地啮合受热盖组合件;
处理腔,它位于壳体之内,并至少部分由受热盖组合件和底壳体组合件限定;
盖腔体,它位于受热盖组合件之内;
热源,它具有加热器表面,热源按这样的方式放置在盖组合件之内,以使加热器表面位于与处理腔的热接触中;以及
受热托板,它位于处理腔之内,热处理期间,工件支承在受热托板上。
14.如权利要求13所述的热处理设备,其特征在于,受热盖组合板还包括:
第一层,它包括盖腔体;以及
第二层,它位于第一层与处理腔之间,该第二层包括至少部分入口压力通风系统和至少部分排气压力通风系统。
15.如权利要求13所述的热处理设备,其特征在于,受热盖组合件还包括:
上层,它包括盖腔体,其特征在于,放置在盖腔体内的热源热耦合至盖腔体的底面;以及
下层,它包括一或多面壁,有助于将上层热耦合至处理腔。
16.如权利要求13所述的热处理设备,其特征在于,热源与处理腔相隔开。
17.如权利要求16所述的热处理设备,其特征在于,热源通过多个径向壁热耦合至处理腔。
18.一种热处理设备,用于热处理工件,设备包括:
受热盖组合件,它放在底壳体组合件之上;
处理腔,它至少部分由底壳体组合件限定;
至少部分位于受热盖组合件内的入口压力通风系统,它包括与处理腔进行流体交流的气体流动通路;以及
至少部分位于受热盖组合件内的排气压力通风系统,它包括与处理腔进行流体交流的气体流动通路,其特征在于,入口和排气压力通风系统中至少有一个包括多个径向流动通道。
19.如权利要求18所述的热处理设备,其特征在于,径向流动通道的尺寸按以下方式而相互不同,即热能从受热盖组合件至处理腔的传输均匀性特征能得到加强。
20.如权利要求18所述的热处理设备,其特征在于,受热盖组合件还包括:
盖腔体,它包括:
热源,它放置在盖腔体内;以及
预留空间,它位于热源顶表面之上。
21.一种用于在热处理期间改进工件的热均匀性的方法,其包括的步骤有:
设置具有第一加热表面的第一热源;
设置具有第二加热表面的第二热源,其特征在于,第一和第二加热表面是相互相对的;以及
至少在部分热处理期间,将工件放置在第一和第二相对的热表面之间。
22.如权利要求21所述的方法,其特征在于,至少第一和第二热源之间的部分空间限定处理腔,且方法还包括的步骤有,在放置工件的步骤之前,设置入口压力通风系统,它包括与处理腔进行流体交流的气体流动通路;以及排气压力通风系统,它包括与处理腔进行流体交流的气体流动通路。
23.如权利要求22所述的方法,其特征在于,入口和排气压力通风系统中至少有一个包括多个径向流动通道。
CN03821445.8A 2002-09-10 2003-08-01 具有受热盖的热处理设备 Pending CN1682084A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40956102P 2002-09-10 2002-09-10
US60/409,561 2002-09-10

Publications (1)

Publication Number Publication Date
CN1682084A true CN1682084A (zh) 2005-10-12

Family

ID=31993977

Family Applications (1)

Application Number Title Priority Date Filing Date
CN03821445.8A Pending CN1682084A (zh) 2002-09-10 2003-08-01 具有受热盖的热处理设备

Country Status (8)

Country Link
US (1) US6884066B2 (zh)
EP (1) EP1540259A2 (zh)
JP (1) JP2005538564A (zh)
KR (1) KR20050035300A (zh)
CN (1) CN1682084A (zh)
AU (1) AU2003257112A1 (zh)
TW (1) TW200414366A (zh)
WO (1) WO2004025697A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114594665A (zh) * 2022-05-10 2022-06-07 上海芯源微企业发展有限公司 盘盖及其控制方法和烘烤设备
CN115502067A (zh) * 2022-10-25 2022-12-23 长鑫存储技术有限公司 加热装置

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6994544B2 (en) * 2004-01-30 2006-02-07 Texas Instruments Incorporated Wafer scale thermal stress fixture and method
KR100571841B1 (ko) * 2004-06-21 2006-04-17 삼성전자주식회사 베이크 시스템
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
US7427728B2 (en) * 2006-07-07 2008-09-23 Sokudo Co., Ltd. Zone control heater plate for track lithography systems
KR100763698B1 (ko) * 2006-07-20 2007-10-04 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 베이크 유닛
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4930495B2 (ja) * 2008-12-04 2012-05-16 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
EP2870623B1 (de) * 2012-07-09 2021-01-13 (CNBM) Bengbu Design & Research Institute for Glass Industry Co., Ltd. Prozessbox, anordnung und verfahren zum prozessieren eines beschichteten substrats
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102559562B1 (ko) * 2021-03-11 2023-07-27 주식회사 한국제이텍트써모시스템 열처리 오븐의 배기 덕트 일체형 히터 유닛
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114678297B (zh) * 2022-03-11 2023-04-21 苏州智程半导体科技股份有限公司 一种半导体加热盘

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992016671A1 (en) 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JPH05326453A (ja) 1992-03-27 1993-12-10 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5620560A (en) 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08310896A (ja) 1995-05-12 1996-11-26 Furukawa Electric Co Ltd:The 気相成長装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6198074B1 (en) 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
SG70035A1 (en) 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JPH10233370A (ja) 1997-02-20 1998-09-02 Kokusai Electric Co Ltd 半導体基板の熱処理装置
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6416318B1 (en) * 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
US6307184B1 (en) * 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6644964B2 (en) * 2000-06-20 2003-11-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6838115B2 (en) 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114594665A (zh) * 2022-05-10 2022-06-07 上海芯源微企业发展有限公司 盘盖及其控制方法和烘烤设备
CN115502067A (zh) * 2022-10-25 2022-12-23 长鑫存储技术有限公司 加热装置
CN115502067B (zh) * 2022-10-25 2023-09-26 长鑫存储技术有限公司 加热装置

Also Published As

Publication number Publication date
AU2003257112A1 (en) 2004-04-30
WO2004025697B1 (en) 2004-10-14
TW200414366A (en) 2004-08-01
WO2004025697A3 (en) 2004-08-12
KR20050035300A (ko) 2005-04-15
EP1540259A2 (en) 2005-06-15
WO2004025697A2 (en) 2004-03-25
JP2005538564A (ja) 2005-12-15
US6884066B2 (en) 2005-04-26
AU2003257112A8 (en) 2004-04-30
US20040048220A1 (en) 2004-03-11

Similar Documents

Publication Publication Date Title
CN1682084A (zh) 具有受热盖的热处理设备
CN1218362C (zh) 加热和冷却薄片形制品的热处理室
KR102147372B1 (ko) 캐러셀 원자 층 증착을 위한 장치 및 방법들
US6688375B1 (en) Vacuum processing system having improved substrate heating and cooling
KR200224420Y1 (ko) 박막 성장 장치
US7628574B2 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
TW201841228A (zh) 處理腔室、處理套件與使用其之處理基板的方法
CN1271890C (zh) 处理室装置及其大气置换方法及包括该装置的制造光电器件的设备
CN1909183A (zh) 半导体工艺件处理装置
KR20080002633A (ko) 반도체공정장치
KR101625478B1 (ko) 수직 적층식 히터를 구비한 박막 증착 장치 및 이를 이용한 박막 증착 방법
KR20140069715A (ko) 대면적 원자층 증착 장치
KR101410819B1 (ko) 구동 가능한 배플을 가지는 기판처리장치 및 이를 이용한배기방법
JP2011235442A (ja) ラミネート装置用熱板およびその熱板を用いたラミネート装置
KR101248918B1 (ko) 가스 공급 방법
KR101430653B1 (ko) 박막 증착용 인라인 스퍼터 장치
JP5309161B2 (ja) プラズマcvd装置
KR101021020B1 (ko) 반도체 공작물 처리 장치
JP3208047B2 (ja) 加熱炉
JP2000144430A (ja) 真空処理装置及びマルチチャンバ型真空処理装置
KR101628918B1 (ko) 기판처리장치
JP3299882B2 (ja) 加熱炉
CN218967297U (zh) 一种用于双面真空压缩空气贴膜机的排气装置及贴膜机
KR102033526B1 (ko) 네블라이저를 포함하는 박막 증착 장치 및 이를 이용한 박막 증착 방법
KR20110072353A (ko) 기판처리장치의 기판처리방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication