TWI339408B - Room temperature metal direct bonding - Google Patents

Room temperature metal direct bonding Download PDF

Info

Publication number
TWI339408B
TWI339408B TW093102781A TW93102781A TWI339408B TW I339408 B TWI339408 B TW I339408B TW 093102781 A TW093102781 A TW 093102781A TW 93102781 A TW93102781 A TW 93102781A TW I339408 B TWI339408 B TW I339408B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
pads
bonding
region
Prior art date
Application number
TW093102781A
Other languages
English (en)
Other versions
TW200504819A (en
Inventor
Qin Yi Tong
Anthony S Rose
Paul M Enquist
Original Assignee
Ziptronix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ziptronix Inc filed Critical Ziptronix Inc
Publication of TW200504819A publication Critical patent/TW200504819A/zh
Application granted granted Critical
Publication of TWI339408B publication Critical patent/TWI339408B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/02Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating by means of a press ; Diffusion bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13011Shape comprising apertures or cavities, e.g. hollow bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/8093Reshaping
    • H01L2224/80935Reshaping by heating means, e.g. reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81014Thermal cleaning, e.g. decomposition, sublimation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81136Aligning involving guiding structures, e.g. spacers or supporting members
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81208Compression bonding applying unidirectional static pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/8121Applying energy for connecting using a reflow oven
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • H01L2224/83095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8334Bonding interfaces of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/8382Diffusion bonding
    • H01L2224/8383Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8384Sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83905Combinations of bonding methods provided for in at least two different groups from H01L2224/838 - H01L2224/83904
    • H01L2224/83907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01003Lithium [Li]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Combinations Of Printed Boards (AREA)

Description

玖、發明說明: 【發明所屬之技術領域】 發明背景 發明領域 本I月有關幸父佳處於室溫之導引晶圓結合之領域,更特 定言之,有關半導體元件及積體電路製造所使用之基材的 結合。 , 【先前技術】 , 相關技術的描述 _ 隨著逼近了習知CMOS元件的實體限制且對於高效能電 子系統具有迫切需求,系統單晶片(s〇c)變成半導體產業的 —種自然的解決方案。為了製備系統單晶片,在一晶片上 需要各種不同的功能。雖然矽.技術為處理大量元件之主流 技術,現今可從矽以外的材料製成之個別元件及/或電路獲 侍坪多需要的電路及光電功能。因此,將非矽基元件與矽 基元件整合之複合系統係有可能產生單獨採用純矽或純非丨 矽元件所無法提供之獨特S〇c功能。 ' 種異貝性元件整合之方法已經將不相似的材料異質蟲 晶成長在矽上。至今為止,此異質磊晶成長已經在異質磊' 日曰成長膜中產生咼密度的瑕蔽,且其大部份是因為非石夕膜 . 與基材之間的晶格常數錯配所導致。 另一種異質性元件整合之途徑已經採用晶圓結合技術。 然而’升高溫度時具有不同熱膨脹係數的不相似材料之晶 圓結合係導入會造成排差產生、脫銲或裂痕之熱應力。因 〇W1\9I〇82 DOC -6- 1339408 此’需要具有低溫結合。如果不相似材料包括低分解溫度 的材料或溫度敏感性元件,例如InP異質接合雙載子電晶體 或具有超淺源及汲輪廓之經處理的Si元件,低溫結合對於 不相似材料的結合亦很重要。 在含有不同材料的相同晶片上產生功能所需要之製程設 計係難以進行最佳化。事實上,所產生的許多s〇c晶片(特 別是具有較大整合尺寸者)展現出低的良率。一種途徑已經 藉由晶圓黏劑結合及層轉移來互連經完全處理的ic。孽如 請見林(Y. Hayashi) ’ 和田(s· Wada),捤山(k. Kajiyana), 小山(K. Oyama),尚(R. Koh) ’ 高橋(s. Takahashi)及國雄(τ. Kunio)在VLSI Tech. Dig. 95 (1990)的文件及美國專利案 5,563,084號’兩參考文件的完整内容以引用方式併入本文 中。然而,晶圓黏劑結合通常.以升高溫度操作且受到熱應 力、滲氣、氣泡形成及黏劑不穩定等困擾,導致製程的良 率降低且隨時間經過具有不良的可靠度。並且,黏劑結合 通常不是隱密式。 晶圓導引結合係為一種可使晶圓在室溫結合而不用任何 黏劑之技術。室溫導引晶圓結合通常為隱密式。其不像黏 劑結合般地容易導入應力及非均質性a並且,如果低溫結 合晶圓對可進行一薄化製程,當一經結合對的一晶圓薄化 至比特定材料組合的個別臨界值更小之厚度時,可避免在 後續熱處理步驟期間之層中產生不匹配排差及經結合對的 滑動或裂痕。譬如請見董(Q.-Y. Tong)及高謝(U. GOsele)的 半導體晶圓結合‘科學與技術,John Wiley Sons,Mew
〇\9|\9I〇82 DOC 1339408
York (1999) ’該文件的完整内容以引用方式併入本文中。 .· 並且’晶圓導引結合及層轉移係為一種VLSI (極大尺度 · 整合)相谷性、而撓性且可製造的技術,利用該技術形成堆 疊的二維系統單晶片(3-D SOC)係為很有利的方式。可將 3-D SOC途徑視為整合既有的積體電路以在一晶片上形成· 一系統。 . 並且’隨著整合複雜度的增高,整合製程以低溫且較佳-以室溫來堅固地聯合多元晶片導致產生較低或沒有額外應· 力及更可靠的電路之需求亦增高。 對於3D-S0C製備而言,被結合的晶粒或晶圓之間的金屬 之低溫或室溫導引晶圓結合是有利的方式,因為如此可連 同晶粒或晶圓之間的非金屬之導引晶圓結合來導致被結合 的晶粒或晶圓之間當其機械式結合時的電性互連,因此不 再需要譬如基材薄化、導孔蝕刻及互連線金屬化等後結合 處理’以達成經結合的晶圓或晶粒之間的電性互連。可使 用極小的結合金屬墊’導致具有極低的寄生作用且導致降 修 低的功率及增加的頻寬容量。 金屬與乾淨表面的結合是為人熟知的現象。譬如,已經 將熱壓縮打線接合施加至晶圓級的結合。一般採用溫度、* 壓力及低硬度金屬,且通常會導致殘留應力,譬如請見斯· 密特(M.A. Schmidt)的 Proc. IEEE,Vol. 86,No. 8,1575 (1998) ’ 李(γ. Li) ’ 鮑爾(R.W. Bower),班庫亞(I Bencuya) 的 Jpn. J. Appl. Phys. Vol. 37,L1068 (1988)。亞司巴(B Aspar),雅拉圭(e. JaUguier),馬司(A. Mas) ’ 拉卡貼里(c. 〇\9n9l〇82DOC -8- 1339408
Locatelli),瑞撒克(O. Rayssac),莫若辛(H. Moricean),波 * 卡斯(S. Pocas) ’ 帕朋(A. Papon),米查肅德(J. Michasud)及 -布魯爾(M. Bruel)在 Electon. Lett·,35,1 2 (1 999)中已經提到 在250至350°C覆有Pd金屬層的矽或IIIV化合物晶圓之導引 結合。然而’實際上係形成及結合Pd2Si矽化物或Pd-III V · 合金’而非金屬P d。已經在覆晶接合利用超音波及壓縮性 * 負載在室溫下達成Au及A1結合,譬如請見肥栗(μ. ’
Hizukuri)’ 渡邊(N. Watanabe)及淺野(T. Asano)的 Jpn. J. ‘· Appl· Phys. Vol. 40, 3044 (2001)。已經在具有低於 3χΐ〇·8毫 巴的基底壓力之超高真空(UHV)系統中實現晶圓級之室溫 金屬結合。通常’利用一離子氬濺鍍或快速原子束來清潔 結合表面’然後將一外部壓力施加至結合基材。譬如請見 营(Τ. Suga)的第二屆國際半導激晶圓結合研討會記錄(Pr〇c The 2nd Inti. Symposium on semiconductor wafer bonding) » the Electrochemical Soc. Proc. Vol. 93-29, p.71 (1993)。亦 已經在一具有小於3x 10·8毫巴基底壓力的UHV系統中利用4 至40微巴Ar壓力的薄膜濺錄沉積之後的施力來達成具有薄 » 形丨賤艘的Ti、Pt及Au膜之兩個Si基材之間的室溫結合。譬 如請見島津(T. Shimatsu) ’摩勒瑪(R.H. Mollema),蒙斯瑪 . D· Monsma),凱姆(E.G· Keim)及羅德(J.C. Lodder)的 J· Vac. · Sci. Technol. A 16 (4),2125 (1998)。 【發明内容】 因此,本發明之一目的係以單一結合步驟在晶圓與晶粒 之間獲得一種機械性及電性接觸。 〇\9)\9I082 DOC -9- 1339408 本發明之-目的係提供一種可用以在環境中形成半導體 電路的日之間的金屬結合而不使用外部壓力 溫或室溫結合方法。 - 本發明之另一目的係提供一種可藉由—金或銅或鈀薄膜 覆蓋住金屬層來在環境中形成半導體電路的晶圓或晶粒之 間任何金屬層的金屬結合而不使用外部壓力之低 結合方法。 本發明之另-目的係提供-種在可用以在室溫下同時於 可供金屬與其他非金屬層共同存在之半導體電路構成的晶 圓或晶粒之結合表面上在環境中形成金屬及共價結合而不 使用外部壓力之晶圓級的室溫結合方法。 另一目的係提供一種可用以使具有不同熱膨脹係數之不 同基材或不同基材上的不同材料結,合在一起而不在不同基 材或不同基材上的不同材料之間產生毀壞性應力之室溫2 合方法。 本發明之另一目的係為一種藉以使基材之間的結合強度 趨近基材的機械性斷裂強度之室溫結合方法。 本發明之另一目的係為提供一種經結合元件結構,其包 括個別地製造在分離的基材上且結合在一共同基材上之元· 件。 m 本發明之另一目的係提供一種方法及元件,藉以可在室 溫或接近室溫形成一可靠的機械性结合,且隨後可藉由一 簡單的低溫退火來形成一可靠的電性接觸。 本發明之這些及其他目的係藉由一種經結合方法及元件 〇 \91\9I〇82 OOC -10- 1339408 結構予以達成,該元件結構係包括一第一基材’其具有較 佳連接至一元件或電路且具有—與第一基材上的金屬結合 墊相鄰之第一非金屬區之第一複數個金屬結合墊;一第二 基材,其具有較佳連接至一第二元件或電路且對準或可對 準於第一複數個金眉結合墊且具有一與第二基材上的金屬 結合墊相鄰之第二非金屬區之第二複數個金屬結合墊;及 一接觸結合介面,其位於受到身為第一非金屬區導引晶圓 結合至第二非金屬區或第一非金屬區導引晶圓結合至第二 非金屬區之後第一及第二組金屬結合墊附近的金屬迴銲所 產生的力量的直接結果之第一基材及第二基材内之組件的 彈性變形所形成之第一及第二組的金屬結合墊之間。 【實施方式】 較佳實施例的詳細描述 , 現在參照圖式,各圖中類似的編號代表類似或對應的元 件,更特別參照第1A至1D圖及第2圖,其中顯示本發明的 結合製程之第一實施例。在本發明的第一實施例中,分開 的晶圓上之金屬接觸區進行對準時當位於金屬區周邊的非 金屬區經歷室溫化學結合所產生的本徵力量予以接觸壓力 式結合時’將產生直接金屬-金屬結合。本說明書全文所使 用的化學結合係定義為當一晶圓的表面上之表面鍵結與_ 相對晶圓的表面上之表面鍵結起反應時形成之結合強度, 以形成橫越表面組件的直接結合,諸如一共價鍵結。化學 結合由其高結合強度所明示’譬如趨近晶圓材料的斷裂強 度’因此不同於譬如只有凡德瓦鍵結的狀況。下文討論本 O\9U9i082.DOC -11 - 1339408 發明的方法所達成之化學結合強度的範例。在化學結合製 程中’形成顯著的力量。這些力量可夠大以在化學結合傳 播於相對的非金屬區之間時使金屬區彈性變形。 第1A圖顯示兩個具有個別的相對晶圓表面丨丨、丨4之晶圓 1〇、13。晶圓表面可能是純初級的半導體表面,可能是包 · 括相對少量原生氧化物之純初級的半導體表面,或可能是 . 一諸如經氧化物塗覆的表面之絕緣體。可製備表面使其產 -生一平滑的經活化表面。可使用諸如拋光或抛光及極輕微 · 蚀刻(VSE)等技術《—結合層可沉積及拋光或拋光及輕微姓鲁 刻。所產生的表面係為互補性並具有平面性且平滑之化學 結合表面’其具有5至15人、較佳不大於1〇A且更佳不大於5 A範圍之化學結合表面粗糙度。 洋述之,在結合層的範例中,結合層可能是可在低溫沉 積或形成且可拋光成一充分平滑表面之固態材料或混合材 料。結合層可能是一絕緣體,諸如利用化學氣相沉積(CVD) 或電漿增強CVD (PECVD)、濺鍍或蒸鍍所形成之si〇2、氮 化矽、非晶矽。亦可使用諸如聚合物、半導體或經燒結材* 料等其他材料。結合層應具有比可供其形成之層的表面拓* 樸結構更大之厚度。結合層較佳為一經沉積的矽氧化物》 . 結合層的表面受到平面化及平滑化。可利用化學機械式. 抛光達成此步驟《較佳將表面拋光至上述粗糙度且大致呈 平面性。在拋光之後,可清理及乾燥表面以移除拋光步驟 的任何殘留物。較佳隨後以一溶液來沖洗經拋光的表面。 亦可在拋光之前蝕刻結合表面以改善平面性及/或表面
〇WI\9|〇82D〇C
I -12- 1339408 粗心度i虫刻可譬如利用標準光微影技術選擇性钱刻高點- 區藉以有效地移除結合表面上的高點區。譬如,使用一含· 有HF的心液時,可將作為蝕刻阻止部之一層氮化矽嵌入一 二氧化矽結合層内。可使用蝕刻阻止材料來改善均勻度、 可複製性及可製造性。 · 表面隨後經歷一活化製程。此活化製程是一種蝕刻製程, 且杈佳是很輕微蝕刻(VSE)製程。vSE名稱係指很輕微蝕刻-的表面之均方根微粗糙度(RMS)在上述範圍中保持近似未* 蝕刻的數值。最佳的移除材料量係取決於材料及移除所使 用的方法而定。典型的移除量係在從埃到數奈米之間變 動亦可此移除更多材料。V s E亦包括打斷經處理表面上 之結合且可在不顯著移除材料的情形下發生。VSE與表面 的籣早修改之差異譬如係在於使表面帶有電荷或是損傷表 面層。根據本發明的方法之第一範例中,VSE製程係在— 指定時間處於一指定功率位準藉由一氣體或混合的氣體 (諸如氧 '氬 '氮、Ch、NH3)電漿製程所組成。電漿製程•籲 的功率及時程將依據用以獲得理想結合能所使用的材料而 改變。範例凊見下文,但一般而言,功率及時程將以實證 方式決定。 * 电聚製私可以不同模式執行。可使用反應性離子钱刻 · (RIE)及電漿模式,以及感應耦合電漿模式(Icp)。亦可使用 飛鑛。RIE及電漿模式之資料及範例請見下文。 VSE製程係經由物理濺鍍及/或化學反應非常輕微地蝕刻 表面’且較佳受到控制而不會劣化結合表面的表面粗糙 OA9n9l082.DOC -13- 叫9408 $。甚至可依據vSE及所使用材料來改善表面粗链度。幾 可對於根據本發明的室溫結合方法採用任何不會過度蝕 刻表面之氣體或氣體混合物。 VSE具有可清理表面及打斷氧化物在晶圓表面上的結合 之作用。因此,VSE製程可顯著地增強表面活化。可藉由 適當的VSE設計在VSE期間利用一所需要的結合物種以終 止於表面上。或者,可在後VSE製程期間採用一用於活化 及以-所需要的終止物種來終止表面之後聰處理。 所需要的物種係較佳進一步對於表面原子層形成一暫時 結合’而有效地終止原子詹,直到可使此表面與一由相同 或另—結合物種所終止的表面合併之-後續時間為止。表 面上的所需要物種當充分緊鄰時較佳係進—步彼此起反 應,故得以藉由經反應的所需_要物種自結合介面產生擴散 或分離來增強處於低溫或室溫的表面之間的化學結合。 後VSE製程較佳包含浸入一含有—選用〖學物:溶液 中,以產生可導致以所需要物種來終止結合表面之表面反 應。較佳緊接在VSE製程之後進行浸^可在執行咖製程 之相同裝置中進行後VSE製程。如果VSE及後VSE製程皆是 乾式亦即電漿、RIE、IC P、濺鍍等或是濕式亦即浸入溶液疋 將最容易達成此作用。後VSE製程亦可能是第二Vse製程。 止製程亦可包括一可移除表面污染物而無SVE之清理製 程。在此例中,一類似於上述後VSE製程之後清理製程隨 後導致一所需要的表面終止作用。 吼 如果藉由清理或VSE製程所活化的表面結合在後續係為 〇A9l\9l〇82D〇C -14- 1339408 重建之夠弱的表面且可在結合之前保#充分㈣以使後續 =一類似表面的結合形成一化學結合,則後VSE或後清理 製程可能需要或可能不需要以所需要的物種來終止表面。 晶圓受到選擇性沖洗然後加以乾燥。兩個晶圓藉由將其 對準(若需要)及合併來形成一結合介面予以結合自發性 結合隨後通常係發生於結合介面的部分位置上並傳播橫越 晶圓。當初始結合開始傳播,—諸如會導致化合結合的聚 合反應等化學反應係發生於當表面充分緊鄰時用來終止表 面之物種之間。將結合能定義為藉由插入—楔件來部份地 解除結合之結合介面上的一個經分離表面之特定表面能 量。反應的副產物隨後自結合介面擴散離開前往晶圓邊緣 或被通常位於周遭材料中的晶圓所吸收。副產物亦可轉換 成擴散離開或被晶圓吸收之其,他副產物。可藉由移除經轉 換物種來增加共價及/或離子性結合的數量,導致結合 進一步增高。 又 結合層的材料較佳具有一開放結構’藉以可容易地移除 聚合反應的副產物。相對結合表面上之結合物種必須能夠 在室溫起反應以形成一強力或化合結合。結合能係 足以當晶圓具有不同熱膨服係數時在與—後續加工或:作 相關的後續熱處理之後幾乎消除晶圓之間的滑移。可藉由 後續加工或操作之後進行插人時晶圓不出現弓起之現^來 明不不具有滑移。 /凰 經結合晶圓較佳係在結合之後儲存在環境或是低溫或室 中一段依據材料及使用物種而定的指定時間長度,以移
〇\9|\9|〇82 DOC -15- 除物種或經轉換物種。通常較佳為24小時。 ‘ 啫存扦間依據所使用的電漿製程類型而定。當使用諸如 ▲ 1聚等特定電襞製程時’可以數分鐘左右更快速地獲得 干’’α合。譬如,緊接在結合之後獲得585毫焦耳/平方公 尺的、合,且在8小時之後觀察到超過800毫焦耳/平方公分# ,藉由Ar電漿來餘刻經沉積的氧化物然後為浸潰。· 在”·。s期間對於結合晶圓的退火可增加結合強度。退火 恤度應低於200。(:且通常可能位於75到1〇〇〇c範圍中。藉由 將經結合晶圓儲存在真空下可能利於從結合表面移除殘留 的氣體,但不一定必須如此。 可以至溫或接近室溫進行所有上述製程。晶圓以足夠強 度結合而得以作出後續加工操作(研磨、拋光、基材移除、 化學蝕刻、微影、遮罩等)。可達成近似5〇〇至2〇〇〇毫焦耳/ 平方公尺或更大的結合能(請見第8圖)。 一範例中’ SiCh沉積在一包含元件之Si晶圓上。由於電 漿系統及空氣中容易取得濕氣,表面在電漿(諸如氬、氧或·馨 CF4)處理之後主要係由Si_〇H基團所終止。電漿處理之後, 晶圓立即浸入諸如氫氧化銨(ΝΗ4〇η)、ΝΗ4ρ^ hf等溶液中 一段諸如10到120秒之間的時間長度。晶圓浸入Νη4〇η溶液* 中之後,根據下列置換反應以Si_NH2基團取代許多Si_0H基, 團: 2Si-OH + 2NH4OH->2Si-NH2+4HOH ...(1) 或者,許多Si-F基團係在一 NH4F4 HF浸入之後終止於 PECVD Si02表面上。 〇 \9!\91082 DOC -16- 1339408 橫越結合表面之經氫結合的Si_NH2 ^ Si_〇H基團或 S!-NH2 : Si-NH2 基團在形成 S 丨 _〇_Si4Si_N_NSi(或 si_NSi) 共價鍵結時可於室溫產生聚合:
Si-NH2+Si-0H->Si-0-Si + NH3 (2)
Si-NH2 + Si-NH2~>Si-N-N-Si+2H2 ---(3) 或者,HF或NHJ浸潰的氧化物表面除了 Si_〇H基團外係 由Si-F基團所終止。因為HF4NH4f溶液強力地蝕刻矽氧化 物,必須將其濃度控制成為適度的低位準,且浸入時間必 須夠短。這是後VSE製程身為第二VSE製程之一項範例。由 於經氫結合的Si-HF或Si-0H基團之聚合反應而形成橫越結 合介面之共價鍵結:
Si-HF + Si-HF->Si-F-F-Si+H2 ---(4)
Si-F+Si-〇H —Si-O-Si+HF ...(5) 第9圖顯示在室溫結合之前浸潰於〇 〇5%hf中的經結合 熱氧化物覆蓋式矽晶圓之氟濃度輪廓。在結合介面清楚地 看到一氟濃度峰值。這提供了可將所需要物種定位在結合 介面上的上述化學製程之證據。 因為反應(2)只在〜500°C的較高溫度具有可逆性,所形成 石夕氧烷結合在較低溫度不應受到NH3攻擊。已知%分子很小 且在氧化物中比水分子擴散更快5 0倍。由於有一受損層存 在具適當厚度亦即數奈米的表面附近,將有利於此層中反 應(2)、(3)、(4)及或(5)中NH3及HF及氫的擴散及溶解以及 化予結合的增強。這三種反應導致Si〇2/Si〇2結合對在一段 儲存時間長度之後於室溫下具有較高的結合能,以讓Nh3 〇Λ9Ι\9|〇82 〇〇c -17- 1339408 或Η 2擴散離開。 在此粑例令,電漿處理可能在結合表面附近之氧化物層 中生成九損或有缺陷的區域。此區延伸數個單層。受損 或有缺陷的區域有助於移除結合副產物。因為副產物可藉 由阻止形成尚強度結合而干擾到結合製程,結合副產物的 有效率移除將可改善結合強度。
材料的許多不同表面可能為平滑狀及/或平面化,然後為 一清理製程,以製備根據本發明之結合。這些材料可被具 有充分平面性、表面平滑性及鈍化包括清理 '及/或嫌、 活化及終止之對接表面加以室溫結合。非晶系及燒結材 料、非平面性積體電路及矽晶圓係為此等材料的範例。單 晶半導體或絕緣表面諸如Si02或^表面等亦可設有所需要 的表面粗糙度、平面性及清潔性。由於表面保持高真空或 超高真空’將可簡化獲得充分無污染及原子重建之表面, 以根據本發明達成強力結合。亦可❹其他半導體或絕緣 體材料諸如InP、GaAs、Sic、藍寶石等。並且,因為PECVD
Si〇2可以低溫沉積在許多類型的材料上,許多不同的材料 組合可根據本發明以室溫結合。亦可沉積其他材料,只要 可對於VSE、表面活化及終止具有適當的製程及化學反應 即可。 如果形成一較厚(〜5奈米)氧化物層,將花費一段長時間 長度來使水分子擴散經過此厚層。另一方面,如果電衆處 理之後留下一薄氧化物層或形成一太窄的缺陷區,則可抵 達石夕表面的水可能並未充分與碎反應且轉換成氫。在兩案 O:\9I\91082 DOC -18- 例中,結合能的增強將受到限制。因此,較佳的氧電漿處 理係在矽表面上留下最小的電漿氧化物厚度(譬如約0丨至 L0奈米)及一合理厚度的缺陷區(譬如約〇丨至〇 3奈米)。 第二實施例中,VSE製程使用濕化學物。譬如,一如同 第一實施例具有一沉積的矽氡化物層之Inp晶圓及一元件 層係結合至一具有一沉積的氧化物層之A1N基材。在hp晶 圓結合表面及A1N晶圓結合表面平滑化及平面化之後,以一 τ準RCA α洛、’谷液來清理兩晶圓。利用1到〇.2%Ηρ濃度 範圍之一稀釋&HF水性溶液來很輕微地蝕刻晶圓。移除大 約零點幾奈米且利用AFM (原子力顯微鏡)測量來判定表面 :/月性不焚劣化。如果沒有去離子水沖洗,將晶圓旋轉乾 燥且以環境空氣在室溫加以結合。所產生的結合能在儲存 於空氣之後已經量測出達到〜700毫焦耳/平方公尺。經結合 的此對在75 t退火之後,獲得丨5〇〇毫焦耳/平方公尺結合 月匕在100 C退火之後,結合能已經量測出達到石夕體塊斷裂 能(約2500毫焦耳/平方公尺)。如果晶圓在hf浸潰之後以去 離子水冲洗,1〇(TC的結合能降低至2〇〇毫焦耳/平方公尺, 亦即約為不沖洗所獲得者的十分之…這顯示偏好用?而非 OH作為一種終止物種。 在結合的第一範例中,使用三时<_>、1至10歐姆·公 分、摻雜硼的矽晶圓》叩(:¥0氧化物沉積在部分矽晶圓上。 為了比較之用’亦研究熱氧化的矽晶圓。PECVD氧化物厚 度在晶圓前側及背側上分別為05微米及0·3微米。氧化物沉 積在晶圓兩側上以盡量減少拋光期間的晶圓弓<,並改呈
0 \9I\9I082.DOC -19- 1339408 平面化。進行-軟拋光以移除約30奈米氧化物並將原來具, 有6不米至最後〜〇· 1 8奈米微粗糙度均方根(RMS)之前 氧化物表面加以平滑化。制—種經修改的心味液來清 潔晶圓表面然後加以旋轉乾燥。 將兩個sa圓裝載至電裝系統中,兩晶圓皆放置在Μ電極· 上且以RIE模式在電漿中處理。為了比較之用,部分晶圓以· 電漿模式處理,其中將晶圓放置在經研磨的電極上。以Μ ‘ SCC/m的標稱流率使用-氧電衆。RF功率為13.56百萬赫兹. 及20至400瓦特(通常為8〇瓦特),且真空程度為⑽毫托耳。籲 覆有氧化物的晶圓係在電焚中處理15秒到5分鐘的時間。铿 電衆處理的石夕晶圓隨後浸潰於一適當溶液令或以去離子水 沖洗然後旋轉乾燥並在空氣中以室溫結合。部分經電衆處 理的晶圓亦在空氣中直接地結合而不沖洗或浸潰。 处 根據下列等式,將-楔件插入介面内來量測裂痕長度藉 以量測結合能: 9
一一咖 i'E7EL 16^(¾+£24) 鲁 E及tw為晶圓-及二的揚氏模數及厚度,⑽插入兩晶圓· 之間導致晶圓自晶圓邊緣分離長度L之一楔件的厚度。 · 第8圖顯示身為經結合電焚處理之覆有氧化物的矽晶圓· 之儲存時間的函數之室溫結合能。此圖顯示對於如圖所示, 四種不同案例所量測之室溫結合能vs々存時間。結果總結 如下.(1)對於經浸潰及結合之RIE電漿處理的氧化物晶 圓’至溫結合能隨著儲存時間而增高並在空氣或低真空中 〜20小時之後達到一穩定數值;rie模式導致比電漿模式
〇\9l\9l〇81〇〇C -20- 1339408 更局的結合能;(3)過短的電聚暴露時間或過低的電聚功率 將提供小或可忽略的結合能增幅;(4)電漿處理後之NH4OH 浸潰顯示出遠比水沖洗更高之結合能增幅;(5)無沖洗或浸 潰之電漿處理後在空氣中的導引結合係顯示一隨著時間經 過幾乎呈固定的結合能。緊接在室溫結合之後的導引結合 的晶圓對之結合能係稍微高於以去離子水沖洗或NH4OH浸 潰之晶圓對。 第9圖顯示具有PECVD氧化物沉積的層之Si及A1N晶圓之 室溫結合。在約1 00小時的儲存時間之後,觀察到超過2000 毫焦耳/平方公尺的結合能。 比較不同的結合材料,身為02電漿處理熱氧化的矽晶圓 對之儲存時間的函數之結合能係類似於具有PECVD氧化物 之晶圓,但室溫結合能的數值略為較低。 在室溫儲存於空氣中〜24小時之後,在RIE模式電漿處理 及NH4OH浸潰覆有PECVD氧化物的晶圓對中達到了高達 〜1 000毫焦耳/平方公尺的結合能。因為一覆有凡德瓦結合 的矽氧化物晶圓對之最大結合能約為200毫焦耳/平方公 尺,大部份結合能係歸因於根據上列等式在室溫於結合介 面上形成共價鍵結所致。 表面係由電漿或RIE模式的諸如根、離子、光子及電子等 能量粒子予以濺鍍蝕刻。譬如,在引發所需要的VSE之條 件下〇2電漿係由反射率光譜術量測出約2A/分鐘的PECVD 氧化物加以濺鍍蝕刻。對於熱氧化物而言,濺鍍蝕刻約為 0.5 A/分鐘。電漿處理之前及之後的氧化物厚度係由反射率 0 \91\9I082 DOC -21 - 1339408 光4·術加以量測並從各晶圓上的98個測量點進行平均。〇: 電漿的蝕刻不但藉由氧化及濺鍍來清潔表面亦打斷氧化物 在晶圓表面上的結合。 然而,經電漿處理的氧化物表面之表面粗糙度不可被蝕 刻製程所劣化。八⑽測量顯示,相較於初始表面粗縫度, 〇2電漿處理氧化物晶圓的尺河3係為〜2 Α且未明顯地改變。 另一方面,如果蝕刻不夠強烈,結合能增強效果亦很小。 藉由在以電漿模式而非RiE模式進行〇2電漿處理時使其他 條件保持不老,氧化物表面的姑刻可以忽略且氧化物厚度 不變。相較於RIE處理的晶圓,最後的室溫結合能只有385 毫焦耳/平方公尺(請見第8圖)。 其2氣體電漿已經顯示出類似效果。利用CF4/〇2RIE在結 合之前自晶圓表面移除〜4奈米的pECVD氧化物。亦利用此 著地增強室溫結合之覆有pECVD氧化物的石夕晶圓之
結合能,且其在充分儲存時間之後超過100毫焦耳/平方公 尺(亦請見第8圖)。 A 亦已經以16 scc/公尺的標稱流率對於vse使用—氬電 衆。RF功率通常在丨3 56百萬赫兹為6〇瓦特且真空程度為 100毫托耳。覆有氣化物的石夕晶圓以RIE模式在電聚中處理 30移到2分鐘的時間。經電漿處理的矽晶圓隨後浸漬至— 4 '合液中,然後旋轉乾燥且在空氣中以室溫結合。 儲存於空氣中8小時之後結合能即以室溫達到〜_毫焦耳、/ 平方公尺。 各日圓匕括組金屬塾12、15及一在表面11、14中與金
Ο \9«\9!082 OOC -22- 1339408 屬結合塾相鄰之非金屬區。金屬結合塾的非平面性及表面 , 粗糖度可能大於化學結合表面。可使用墊1 2、丨5來將電性 “ 連接佈設至預先製作在晶圓上之個別元件及/或電路。墊較 佳在表面處理之前形成,且較佳在形成墊之後進行vse。 如第1A圖所示’位於個別晶圓上之墊12、15受到對準。第、 1B圖顯示將晶圓放置在一起以接觸個別的墊。第1 c圖中,. 將輕微額外壓力施加至晶圓以使一或兩個半導體晶圓彈性* 變形,導致晶圓上的部分非金屬區之間的接觸。圖中顯示_ 的接觸位置只是範例,接觸可能發生於不同位置上。並且,鲁 接觸可能發生於不只一點。此接觸將引發化學性晶圓至晶 圓的結合,且經結合的結構顯示於第1〇圖。結合接縫丨6在 初始化學結合之後將擴張以產生第丨D圖所示的結合接縫 17。結合強度起初很弱,並隨著結合的傳播而增高,如上 文所述。相對的非金屬區係在室溫或低溫產生化學性結合β 更詳述之,隨著包括金屬結合墊之晶圓表面在室溫產生 接觸,相對晶圓表面之產生接觸的非金屬部分係開始在一春 或夕個接觸點形成一結合,且晶圓之間的吸引性結合力隨 著接觸性化學結合區域增加而增大。如果未出現金屬墊, 晶圓將結合橫越整體晶圓表面。根據本發明,金屬墊的出♦ 現雖然中斷了相對晶圓之間的結合接縫,卻不會抑制住化‘ 學性晶圓至晶圓結合。由於金屬結合墊的可展性及可延 性,非金屬區中化學性晶圓至晶圓的結合所產生之壓力可 能導致一可藉以使金屬墊上的非平面性及/或粗糙區變形 之力1故導致改良的金屬墊平面性及/或粗糖度及金屬墊 〇 \9|\91082 DOC -23- 1339408 之間的親密接觸。化學結合產生的壓力係足以不需施加外. 部壓力來使這些金屬墊彼此親密地接觸。由於對接介面上 ‘ 之金屬原子的擴散或自我擴散,即使在室溫,一強力的金 屬結合仍可形成於親密接觸的金屬墊之間。此擴散被熱力 性驅動以降低表面自由能,對於通常具有高間際擴散, (mter-diffusion)及/或自我擴散係數之金屬則被增強。這些· 高擴散係數是通常大部份取決於擴散期間未被金屬離子動、 作所擾亂之活動的自由電子氣體之内聚能的結果。因此’. 非金屬區中之晶圓至晶圓的化學結合係實行了兩不同晶圓 _ 上之金屬墊之間的電性連接。下文描述用於控管此效果之 幾何性及機械性拘限。 將產生一具有寬度W位於結合墊周圍之未結合區域,其 中排除了兩晶圓的非金屬表面的接觸作用(請見第山圖)。 只要金屬膜的厚度不會過大,兩結合晶圓或晶粒之間隙可 降低而在各金屬墊周圍留下一小的未結合區域。這顯示於 第2A至2C圖中,其中具有金屬墊21的晶圓2〇已經準備就緒-鲁 可結合至具有墊23的晶圓22。一間隙24位於相鄰的墊之 間。金屬墊產生接觸(第2B圖)且晶圓彈性變形以結合於間* 隙24中來形成結合部25 (第2C圖)。請注意第2 A至2C圖的尺♦ 寸未依實際尺度繪製。 · 下文顯示用來計算身為金屬膜厚度、晶圓或晶粒的機械 性質、晶圓或晶粒厚度、結合能的函數之未結合區域寬度 之公式。第2D圖為顯示一未結合區域的寬度w與間隙高度 2h之間關係之圖形。當晶圓的變形遵守揚氏模數£提供的彈 〇 \91\91〇8: D0C -24· 1339408 性常數且晶圓各具有厚度tw時’根據一薄板的小偏向之簡 單理論’可藉由W>2 tw之下列等式粗略地估計未結合區域 的寬度W,其中成為一對的金屬結合墊係在晶圓表面上方 具有2h高度: W=[(2E'tw)/(3r )]'/4hl/2 --(1) 其中E1由E/(l-v2)提供,v為飽森比。 已經建議,隨著減小的h,其情況將鉅幅變化。譬如請見 鬲謝(U. Gijsele)及董(Q.-Y. Tong)在第二屆國際半導體晶圓 結合研討會會議記錄,Electrochemical Soc. Proc. 9;3_;29 p. 3 95 (1993)。如果等式(1)計算出的w導致低於从^“=2。的 數值,且其對應於h<hcrit而且丨/2,則預定合 發生彈性機械不穩定性(elastomechanical instability),導致 一具有與晶圓厚度t w獨立無關之遠為較小的w之未結合區 域,且其由下式求出: (2) W= kh
其中_左右之無因次常數。經由實驗,如第2〇圖所示 如果h<3〇〇A’ W遠小於等式⑴所預測者。本申請幸的發【 人之其他作品已經顯示’如果金屬結合势2R的間隔… 房,晶圓對可能未彼此結合。然*,當211>頂時金屬; 周圍兩個未結合區域之間的表面將結合且金屬柱將結合 電性連接。 生位於金屬結合對上的 可以下式表示周遭區域結合所產 壓力P : -(3) P = (16E'tw3h)/(3W4)
〇\9|\91082 00C -25- 1339408 合併等式(3)與等式(丨)或(2),當界>2、時,獲得下式: P = 8 r /3h -..(4) 且當〜<2、時,獲得下式: p = (16E,tw3)/(3kh3) ---(5) 對於使金屬墊具有50〇A高度h且結合能為300毫焦耳/平 方A尺之經結合的石夕晶圓’金属結合整上的壓縮性壓力約 1·6χ1〇8達因/平方公分,亦即16〇大氣壓。因為此壓力對於· 金屬結合已夠高,不需要在結合期間施加任何外部壓力。-當金屬高度h為300 Α或更小時,可滿足w<2tw,且如果假設1 k=l ’金屬對上的壓力為5000大氣壓左右。 本發明第一實施例的一範例中,具有小於3〇〇A厚度及丄 公厘分離距離之5公厘直徑的Au結合墊係沉積在覆有氧化 物的100公厘矽晶圓上。因為Au結合墊形成於氧化物的表面 上,其亦在氧化物的表面上方具有300埃高度。然而,因為 金屬可部份地埋設在氧化物或其他絕緣體中且11為金屬延 伸於晶粒表面上方之高度,h可遠小於實際金屬厚度。已經 丨 發展出一種可相容且同時地清潔及活化金屬及氧化物表面 之室溫結合技術。Au柱在儲存於空氣中一段取決於金屬厚 度及結合能而定的時間長度譬如60小時之後係在環境中‘ 由晶圓位準的室溫結合而不用外部壓力來形成一金屬結· 合。藉由將一楔件插入經結合的介面之間使晶圓對被強迫 分離時’ Au或Au/氧化物層自矽基材剝離,代表所形成之金 屬至金屬的結合係比乳化物表面上的A u塾或<5夕表面上的氧 化物之黏附更強。如上所述,由於對接表面上之金屬原子 O\9l\9i082.DOC -26- 1339408 的間際擴散或自我擴散,一強力的金屬墊可在室溫形成於 親密接觸的金屬墊之間’以降低表面自由能。金屬原子之 間的間際擴散或自我擴散係數隨著溫度呈指數性増加,藉 以縮短儲存時間以達成完全的金屬結合,可在室溫結合之 後進行退火。Au柱之間的金屬結合之較佳退火時間隨著溫 度增高而縮短。對於此案例,1〇(rc偏好採用5小時,15〇它 為1小時,250°C為5分鐘。由於非金屬周遭區域結合所產生 的較高壓力,較薄的金屬在結合時係需要比較厚金屬更低 之溫度。隨著Au厚度(亦即高度)增加,在室溫及升高溫度 下形成金屬結合之時間將變得較長。譬如,當八11墊的厚度h 為600A時,250°C需要5分鐘來形成金屬結合,而h=5〇〇A則 需要1 5分鐘。 在先進積體電路的覆晶接合中,銲球間距約為1000微 米。因此,經結合的金屬柱周圍之一接近丨000微米或更小 的未結合區域寬度對於實際應用來說係夠小。可藉由此方 法獲得比此量顯著更小之未結合區域寬度。譬如,實驗結 果顯示’當h=20〇A時’ W為20微米,且當h=30〇A時,W為 30微米。因為h為在晶粒表面上方延伸之金屬高度,因為金 屬可部份地埋設在氧化物或其他絕緣體中,h可遠小於實際 的金屬厚度,可容易地達成小於2〇〇 A的h。在此例中,金 層势周圍之未結合環寬度可接近為零。上述的金屬墊可由 諸如但不限於濺鍍、蒸鍍、雷射燒蝕、化學氣相沉積、及 熟習該技術者所瞭解的其他技術等製程形成,其中一般將 厚度控制在<100埃的範圍中。 〇'9|\91082 DOC -27- 1339408 第3 A至3C圖為可用以結合兩個不同的經完全處理之晶 粒之根據本發明第二實施例的一製程之示意圖。晶粒在圖 中具有平面性但不平均的層厚度,以顯現出本發明可使用 在並非平均及平面性層厚度之其他案例中。在此製程中, 如第3 A圖所示,一分離的晶粒3 〇 (為了方便說明,只顯示 晶粒30的氧化物層)具有金屬墊3丨。晶粒可為一包括半導體 元件之矽晶圓,且電路具有Si〇2的相對表面,在一CMP操 作之後產生表面32 » 如第3B圖所示,導孔36已經形成及充填有金屬以與金屬 塾31連接’金屬互連件33形成於晶圓3〇上以與導孔%中的 金屬連接,而一層34具有厚度h的Si〇2或其他絕緣材料係形 成於晶圓30上。已經移除具有寬度…之Si〇2層部分35以暴 露出金屬墊35。層34的表面如共同審查中的申請案 〇9/410,G54、G9/5G5,283、G9/532,886號受到處理,包括挺 光或拋光及輕微蝕刻。 ..” 〇 土〜.凡J具有 屬之導孔39、及所形成的互連件4〇。互連件仂具有寬度 及高度tl。已經類似表面32般地處理晶圓37的表面4丨,= 述。從-者至另-者逐—地對準轉觸分離的晶粒及 以產生如第3D圖所示之經結合的結構。藉由下列關係式 ti=t2+ δ , JL W|=w2+ S 2 其h及^㈣係為所使用沉積技術可能具有之最小^ 度而6 2應s亥為對應於2 h = t丨的荦例之9说·, ⑺系例之2W。相較於待紗乂
的兩晶粒上之h = t I,未έ 士人p· B咖庙 、。C 禾σ £域見度w顯著地降低。因此
〇\9I\9I〇82 00C -28- 1339408 在晶圓30及37上的墊之間產生互連。如果兩晶粒上的^小於 臨界厚度hcnt,則不需要層34。 在室溫之兩晶圓的初始接觸期間,金屬墊係對準,且晶 圓表面根據本發明藉由彈性變形而彼此貼附,其前提條件 係為:間隙由於結合晶圓的表面拓樸結構而夠小且結合能 7夠高。根據本發明,導引結合係發生於用以形成鄰接晶 粒上的元件或電路之間的金屬互連件之經接觸材料之間及 晶圓表面之間。結合係開始形成於接觸部上且結合強度增 加,在室溫下形成一金屬結合。 如同第一實施例,包括金屬墊33及4〇之晶圓表面32及41 係接觸,相對晶圓表面32及41之產生接觸的非金屬部分係 開始在接觸點上形成一結合,且結合力隨著接觸結合區域 增大而增鬲。若未出現有金屬墊33及4〇,晶圓將結合橫越 整體晶18表面。根據本發明’金屬塾33及4()的出現雖然中 斷了相對曰曰圓之間的結合接縫但不會抑制住晶圓至晶圓的 結合。取而代之,非金屬區中晶圓至晶圓的接觸產生之壓 力係轉換成一可藉以使金屬墊33及4〇接觸之力量。不需要 外部壓力。 可在%丨兄條件下進行本發明的方法,而不限於高或超高 (UHV)真空條件。因此,本發明的方法為一種低成本、量 產的製k技術。因為導引金屬結合只取決於分子間的引 力’受結合的金屬膜之尺寸根據本發明係為撓性且可縮放 至極小的幾何結構。 為了使半導體元件具有更好的管理及功率產能,偏好採
〇Λ9!\9|〇82 DOC -29- 1339408 用導引金屬結合。根據本發明,導引金屬結合可由遠為吏 小的可縮放式結合墊來取代覆晶接合。更可能採用此金屬 結合來實現新穎的金屬基底元件(半導體-金屬-半導體元 件)。譬如請見島孝(丁· Shimatsu),摩勒瑪(R.H. Mollema), 蒙斯瑪(D. Monsma) ’ 凱姆(E.G. Keim)及羅德(J.C. Lodder) 的 IEEE Tran. Magnet. 33’ 3495 (1997)。 並且,此製程可與VLSI相比較。可在晶圓受到完全加工 時進行導引金屬至金屬的結合。因為幾乎所有金屬皆具有 比石夕或矽氧化物顯著更高的熱膨脹係數,本發明之導引金 屬至金屬的結合亦利用室溫結合來盡量降低熱膨脹差異之 影響。 本發明可局部地結合或結合橫越一完整晶圓表面區域。 本發明雖然不限於下列範例但结合了異質性表面,故使金 屬/金屬、氧化物/氧化物、半導體/半導體、半導體/氧化物、 及/或金屬/氧化物區可在室溫結合於兩晶圓之間。 本發明提供許多優點。譬如’其他種用於晶圓結合及將 組成的電接觸部予以電性互連t方法係'需要纟晶圓結合之 後將經結合的基材加以薄化、導孔蝕刻及金屬沉積。本發 明不再需要這些結合後的製程步驟來形成電性互連。此方 式之優點係包括免除了晶粒薄化所造成之機械性損傷。並 且’糟由免除深導孔蝕刻’可避免階梯覆蓋的問題且可使 電連接部縮放至較小尺寸,導致具有較小足跡之電性互連 及經結合晶圓之間降低的電性寄生4方法與其他標準半 導體製程相容且與VLSI相容。
〇 \9|\9 丨 082 DOC -30- 1339408 因此’本發明係於3-D SOC (三維系統單晶片)製造相容。 在經結合晶粒之間使用插塞之互連件或金屬墊的此種垂直 金屬結合係可顯著地簡化SOC製程並改善SOC速度-功率效 能°本發明之導引金屬至金屬結合係可縮放且可施用至多 晶粒堆疊的SOC ^ 除了產生形成金屬至金屬連接所需要的力量之外,本發 -明瞭解從一元件到另一元件的電性互連係需要低電阻。根 、 據本發明,藉由金屬結合金屬墊的無氧化物或接近無氧化· 物表面將有利於低電阻的金屬結合。譬如,可由紫外光/臭 氧及氮電漿來清理Au表面而無氧化物留在表面上。 本發明的另一實施例中’結合金屬墊的表面(譬如由諸如 A1或Cu等金屬製成)係塗覆有抗氧化金屬’諸如金(Au)或鉑 (Pt)層等。因為Au及Pt皆為惰性金屬,表面上將不形成氧化 物。為了確保Au及Pt與主金屬之間具有最少量的氧化物, 較佳緊接在結合製程之前’採用濺鍍清理及蒸鍍沉積。
在本發明第一實施例之一修改例中,一薄的金屬覆塗層 可形成於金屬墊上且如上述般地結合。譬如,一 A1塾上之 一層薄到50A的Au層係在室溫產生成功的金屬墊結合。因 此,可使用諸如Au等金屬作為一結合層,故幾乎能夠藉由 本發明的程序在室溫下對於導引結合使用所有的金屬。當 一絕緣體層沉積在一經完全處理的晶圓上且接觸開口形成 於金屬塾上然後進行一大於接觸窗口深度之1 〇〇人厚度的金 屬沉積時’金屬墊此時在氧化物層上方只延伸1 〇〇人,塾可 彼此分離一極小距離,譬如2 〇微米。 〇 \9I\9|〇82 00C -31 - 除了 Au或Pt外,本發明已經使用鈀(pd)作為一覆塗層。 Μ具有良好的抗氧化性。Μ在Pd上具有很高的表面擴散 導致即使室溫下仍具有顯著的pdf量運送,特別是已 知糟由非金屬晶圓表面區的結合施加至金屬結合墊上之接 觸壓力尤然。兩個Pd結合層之間如果存在的原生氧化物將 機械式分散’故可藉由兩個經接觸金屬結合塾 面的Pd完全地覆蓋。 本發明第-實施例之另一修改例中,一uv/臭氧清理係使 金I结合塾的表面在紫外光下暴露於高臭氧濃度以移除碳 氫=合物污染物。金屬結合塾的表面上殘留之碳氫化合物 會劣化金屬結合,且成為結合介面之間形成氣泡之長晶位 址,導致接觸表面之間的渗氣。 實驗已經顯示UV/臭氧處理可防止介面氣泡的形成。石夕曰 圓的-HF浸潰將導致大部份由H終止之斥水性表面。斥水 性矽晶圓以4.77克/立方公尺的臭氧濃度連同來自兩個235 瓦特uv燈的1 850Α及2450Αυν轄照在室溫處㈣分鐘然 後進行第二H F浸潰及結合。經結合的H F浸潰斥水性石夕晶圓 對在從30(TC到70(TC以各種溫度及15小時退火時不會產生 介面氣泡,代表自晶圓表面有效地移除了碳氫化合物。 對於Au及Pt,在結合之前使用uv/臭氧清理而在金屬表面 上不形成金屬氧化物係為適當的方式。對於可由臭氧氧化 之其他金屬,金屬上之一薄層的Au可防止氧化,或者可譬 如藉由在結合前浸入NH4〇H中來移除氧化物。此外,根據 本發明,利用惰性氣體的電漿處理譬如一在電漿室中只使 O.\9!\9l082.D〇C -32- 1339408 用諸如氮及氬等惰性氣體之反應性離子敍刻模式(RiE)的 電漿處理’將可在室溫清理金屬表面並增強金屬/金屬及氧 化物/¾化物結合部兩者之結合能。並且,本發明已經發 現,可使用m從諸如AdPt等金屬表面移除污染物。 已經描述許多種表面製備處理及金屬/金屬及氧化物/氧 化物及半導體/半導體的範例,根據本發明,可使用其他表 面及i備&序’其中在接觸之前充分地清理對應的金屬、 絕緣體及半導體表面’以免抑制室溫結合之形成。在細呆 護或Au結合之案例中,本發明所發展出的製程係與金屬及 二氧化石夕相容。在氧化物表面的CMP及表面平面化及平滑 化之後,金屬結合墊如上述形成於結合晶圓上,一經修改 的 RCA i (HA : h2〇2 : NH4〇H = 5 : : 〇 25) ' uv/臭氧區, 且電聚處理清理了金屬及氧化物表面而不會粗化結合表 面。一至溫標準29% NH4〇H浸潰係移除金屬表面上如果存 在的粒子及氧化物,而不劣化二氧化矽表面。在旋轉乾燥 及室溫結合及儲存之後,強力共價鍵結及金屬結合自發地 分別形成於氧化物層與金屬表面之間的結合間際面上。除 了第1 A至1 D圖所示接近平面性的結合結構之外,其他結構 亦可使用本發明的原理。譬如,第二實施例顯示於第4八至 4C圖中,其中包括金屬導孔互連件之晶圓係結合至一較小 晶粒。第4A圖描繪一包括金屬互連件5丨之基材5〇的放大 圖。第4A圖中,金屬互連件嵌入一二氧化矽層“中,諸如 一 PECVD氧化物、熱氧化物或旋覆玻璃等。互連件μ在層 方L伸至如則所述的而度。第4A圖亦顯示具有金属接 〇\9|\91082 D〇C -33- 1339408 觸部54及二氧化矽層55之較小的晶粒53 ^ - 在一絕緣層58形成於一諸如二氧化石夕等材料的兩晶粒上, 之後,利用一標準導孔蝕刻及金厲充填、然後化學機械式 拋光及表面處理來製備用於結合之層58。第牦圖描繪—對 具有往復的金屬結合墊56及57之相對晶圓。第牝圖顯示這· 兩相對基材之接觸及後續結合’而形成結合部59。 , 此處,如前所述,非金屬區的結合係產生形成橫越晶粒· 之金屬至金屬互連所需要的力量。如第4C圖所示,氧化物. 層的結合產生了金屬結合塾56及57之導引金屬至金屬接觸籲 所需要的力量。如第4D圖所示,可製備複數個晶粒53且將 其結合至晶粒60。 在本發明第一及第二實施例的金屬至金屬導引結合中, 在晶粒表面上方延伸之結合金屬膜的厚度較佳為薄形以盡 置減小金屬柱周圍的未結合環區域。並且,結合金屬塾的 厚度可以縮放,且可製作及結合與VLSI相容尺寸的金屬柱 或塾。當金屬膜厚度低於-特;t數值時,未結合環區域的 寬度係顯著地降低,故藉由金屬柱的間隔可以在金屬結合 墊之間使用小的間隔(譬如< 1 〇微米)。 本發明的第三實施例可使金屬高度在非金屬表面上方顯 著地增加及/或在接近金屬的非結合區域中顯著地降低,同 時在分開的晶圓上所形成之金屬部分之間維持一可接受的 電性連接。此實施例中,用於形成電性接觸之金屬材料附 近的材料變形係設計成為導因於來自非金屬部分的晶圓至 S曰圓化學結合之金屬表面上的壓力。此變形可在結合製程 〇 \9l\9J〇82 OOC •34- 1339408 几成之後導致施加至金屬之較小壓力,但具有在金屬部分 之間形成一可接受的電性連接之適當壓力。此變形可顯著 地降低或消除金屬表面鄰近處之間隙。 可形成電性接觸之金屬材料附近之可變形材料之目的係 為.可讓非金屬表面的化學結合產生足夠的壓力以使金屬 材料充分地凹入其各別表面内,故可顯著地降低或消除金 屬表面鄰近處的間隙。一般而言,可變形材料包含非金屬 部分,因為晶圓至晶圓化學結合所產生之壓力通常係約為 典型金屬變形所需要的壓力之10,000分之一或1%的1%。藉 由金屬凹入其各別表面内,可在凹入之後使非金屬表面上 方之金屬表面的啟始高度顯著地更高。這顯著地增加了製 備用於結合的晶圓所需要之金屬表面的公差,且隨後增加 了實施例的可製造性。變形亦顯著地降低或消除金屬周圍 之非結合區,故得以顯著地增加可在一給定區域中製作之 連接數i ’且提高經結合及互連部分之結合強度。
藉由如第5A圖所示將一非金屬區包括在金屬表面底下而 能夠變形。-具有-基材55之晶粒係包括-形成於一層51 上之金屬墊50’且其結合至另_元件上的一對應層。藉由 ‘準光微& '㈣及沉積技術’將充填有—諸如低K介電材 料等可麵非金屬材料之區53形成於層如。層”及區^ 升^成於層54上。可將任何數量的層形成於基材“上。並且, 區53可大幅加大或者層52可由低K材料形成,如第5B圖所 示。 區53亦可為_ 包含真空或諸如空氣等壓縮性氣體之空
〇 \9!\9|〇82 OOC -35- 1339408 隙’或者其可為一種具有夠低壓縮性可藉由結合所產生的 壓力讓金屬變形至區内之可壓縮非氣態固體材料。可利用 與化合物半導體積體電路製造所常見的金屬性空氣橋接部 相似之方式來形成空隙。此製造具有一項如下述的範例: 1)在一平面性、非金屬表面中㈣-凹部,2)以—諸如光阻 專可移除材料來充填凹部’使得可移除材料位於凹部中但 不位於凹部外。譬如可藉由習知的光阻旋塗來達成此作 用,導致凹部中具有一比凹部外更厚之光阻,然後光阻的 毯覆(未圖案化)蝕刻量係足以移除凹部外的材料但不足以 移除凹部中的材料,3)將—橫過凹部但未完全覆蓋住凹部 之金屬特性予以圖案化’留下凹部的一暴露部分,及q藉 由近接凹部的暴露部分來移除凹部中的移除材料。—可壓 縮性非氣態固體材料的範例係為半導體製造所使用之一種 低κ介電質。此區的深度通常可接近或大於非金屬表面上方 之金屬的所需要高度。可供第5A圖的晶粒結合之另一晶粒 亦可具有一區,諸如位於一結合至墊50的金屬墊下方一對 應位置中之區53。這顯示於第5C圖中,請注意第5C圖為示 意圖而未顯示實際尺度。此處,墊5〇及56由層51及57結合 參 所產生之壓縮力所結合。第5C圖的上晶粒係包括—基材 61 ’其具有形成於空隙上方之墊56或層58中的低κ材料區 59。層58形成於層59上。並且,上晶粒可具有許多層。 匕貫她例中’當晶圓結合時’金屬表面產生接觸且在化 于結合製程期間對於彼此發生變形。變形可減緩結合製程 所轭加的部分壓力,但仍有充分壓力以使金屬表面維持接
O:\9I\9I082 OOC -36- 1339408 觸且在兩分開晶圓上的兩金屬表面之間維持_可接受的最 小接觸阻力。隨著金屬變形至金屬底下的區内,結合表面 得以接觸一很靠近或緊鄰金屬之側向環帶,導致非金屬表 面之間的最大結合面積。因此,可由本發明形成一與金厲 接觸部相鄰之1至10微米或更小的最小化學非結合區。 將可變形區设計成為具有一最小寬度,以盡量加大可能 的電性互連數量。可變形區的寬度主要取決於金属厚度及 非金屬表面上方的金屬高度而定。這些參數大致由下列關 係式決定。 應力_(2/3)*(金屬的揚氏模數)(1/丨·金屬的鮑森比)*(表面 上方的金屬南度/區的一半寬度)2 及 壓力-應力*4*金屬厚度*表面上方的金屬高度/(區的一半 寬度)2 其中壓力係為結合製程所產生者。這些關係式可參考„薄 膜技術手冊,|,Maissel and Glang,1983 Reissue,ρρ ι2·24。 •鲁 #如’對於約〇 · 1微米的金屬厚度及區上方之約〇丨微米金 屬冋度’結合期間產生的壓力係大致足以使金屬變形至區 内(假設可忽略區的可壓縮性)。請注意如果金屬不可變形,· 則此〇. 1微米的金屬高度將導致金屬周圍之約1公厘的未結· 合%Τ或環寬度。因此由於非金屬表面上方的金屬高度需 要較少控制,故顯著地增加可製造性。並且,非結合區域 係顯著地減小,故可顯著地增加可產生之金屬至金屬接觸 數置且導致化學結合能的增高。如果無法忽略掉區的可壓
〇\〇I\9I082 OOC -37- 1339408 縮性,則需依此降低金屬的厚度及/或需依此降低非金屬表 . 面上方的金屬南度及/或需依此增加區的寬度。請注意所需 . 增加之區的寬度百分比數值係小於所需增加之非金屬表面. 上方的金屬高度或金屬厚度之百分比數值。 本發明的第四實施例係藉由仰賴一低溫後結合迴銲退火. 來進乂放桑々第一、第一及第三實施例所描述之金屬接觸- 部附近的機械性設計拘限,以在經化學結合的晶圓之間形* 成可靠的電性互連。參照第6人至0及7八至(:圖提供此實施例. 的述。 第6Α圖顯示具有平面性表面之基材6〇及61。凹部“及^ 为別形成於基材60及61中,而金屬墊64及65分別形成於凹 部62及63中。平面性表面如前所述適合化學結合。構成墊 64及65之金屬或金屬組合可以低溫迴銲。此金屬的範例係 為以160度C融化溫度迴銲之麵,此金屬組合為以22〇度匚共 晶浴化溫度迴銲之96·5q/c)的錫及3 5%的銀。 在製備第6A圖中的表面以供導引化學結合及將表面放置,鲁 起之後 化學結合形成於平面性表面之間。相較於 實施例1及2,在金屬接觸部鄰近處因為接觸部凹入故不具 有間隙,但尚未作出可靠的電性互連。 《 在已經形成第6Β圖的化學結合之後,藉由從兩晶圓部份* 充填有金屬的凹部來形成一空隙66。此空隙不會阻礙晶圓 表面的5併及形成與第一及第二實施例中的金屬接觸部相 似之一化學結合。因此實現了 一可盡量加大結合能之最大 ’。口面積。在已經形成此高結合能化學結合之後,一低溫
0\9U91082.DOC -38- 迴銲退火係使凹部中的全s 屬迴銲而導致來自相對晶圓之金 -起產生濕潤並導致具有高可靠度之經互連的金屬結 構。藉由迴銲來形成部分67以連接塾64及㈣由具有高 尺寸比的凹部之毛細田jR , '乍用及4如晶圓在退火期間產生轉動 之重力之組合來輔助此迴銲。
,第五實鈀例中’類似於第四實施例’ 一個第6 A圖的表面 仏具有以金屬平台取代之金屬凹π,使得一晶圓上的平面 ί·生表面上之金平台的高度小於其他晶圓上的平面性表面 以下之金屬凹部的深度,如第7Α圖所示。基材7〇及7丨具有 各別的金屬墊72及73。墊72形成於凹部74中。在此例中, 如第7Β圖所示,在構成—化學結合的平面性表面放置成為 產生接觸之後,金屬表面一般不會碰觸。製備基材乃及乃 的表面以供導引化學結合,且如同上述範例將表面放置在 一起,且在平面性表面之間形成一化學結合(第川圖)。迴 銲之後,以類似第6C圖的方式將兩不同晶圓上的金屬濕潤 在一起’形成部分75,而產生第7C圖。 因此,本發明提供許多優點且不同於先前的低溫晶圓結 合技術。本發明之金屬至金屬的導引結合為自發性且在室 溫下不需要外力。藉由結合製程本身而非外力來產生金屬 至金屬結合所需要施加至金屬柱上的壓力。本發明之金屬 至金屬的導引結合在環境條件下進行且實現下列作用··晶 圓級或晶粒尺寸的結合,室溫形成之強力的金屬Au_au、
Cu-Cu或金層至金属結合,且可藉由一〜5〇A Au層覆蓋住金 眉來在室溫形成Au與Cu以外的金屬之強力金屬結合。因 〇 \9IV9I〇82 OOC -39- 1339408 此’可達成金屬/金屬、氧化物/氧化物及金屬/氧化物之同 u 時結合。本發明的金屬至金屬導引結合與標準VLSI加工相 · 容因此是一種具有可製造性的技術。本發明的金屬至金屬 導引結合係與覆蓋有二氧化矽、矽或氮化矽之材料結合相 容。 . 非金屬區緊鄰金屬結合墊之導引結合係有利於本發明的“ 金屬至金屬導引結合。如前所述’這些區中藉由導引結合· 在相對的金屬結合墊上產生合力。根據本發明,非金屬區. 的導引結合係在空氣中共價結合了覆有二氧化石夕或其他絕鲁 緣體的晶圓。可使用其他材料,譬如亦可在結合之前浸潰 至一氨溶液中之含氟氧化物表面層。更一般而言,具有一 可由OH、ΝΗ或FH基團所終止的開放結構表面之任何材料 及多孔低k材料在室溫接觸時將可形成一共價鍵結。 根據本發明’可以純粹或摻雜狀態來使用諸如沉積、熱 或化學氧化及旋塗玻璃等任何方法形成之二氧化矽。… 本發明的應用係包括但不限於3_D s〇c、微墊封裝、低成馨 本及高效能的覆晶接合更換、晶圓尺度封裝、熱管理及獨· 特的元件結搆諸如金屬基底元件等經加工積體電路之垂直· 整合。 . 本發明可旎鑒於上述原理而具有許多修改及變異。因Λ 此’可瞭解在申請專利範圍的範脅内,可以本文具體描述 以外的其他方式來施行本發明。 【圖式簡單說明】 可參照圖式及詳細描述更完整地瞭解本發明及其許多附
〇\9|\91082DOC -40- 1339408 屬優點,其中: w 第1A圖為一對具有經對準的金屬結合墊之未結合的基材 , 之示意圖; 第丨B圖為一對具有接觸的經對準金屬結合墊之未結合的 基材之示意圖; 第1 C圖為根據本發明之一對經接觸的基材之示意圖,且· 其在一遠離金屬結合墊之非金屬區中受到結合; 第1D圖為根據本發明之一對接觸的基材之示意圖,其結·# 合橫越非金屬區但金屬結合墊鄰近處之一小型未結合環區 域除外; 第2Α至2C圖為顯示具有多個結合塾之結合基材的示意 園, 第2D圖為根據本發明顯現如圖所示身為用於分隔半導體 晶粒的金屬墊厚度2h之函數之一未結合環區域寬度w的圖 示; 第3 A圖為半導體晶粒或晶圓在表面平面化後之示意圖; | 第3B圖為半導體晶粒或晶圓之示意圖,其中形成並平面 化第二金屬層而在金屬墊上具有開啟的接觸窗口; * 第3C圖為具有一第二金屬層之第二半導體晶粒或晶圓的 、 示意圖; _ 第3D圖為根據本發明的兩晶粒或晶圓之一經對準的金屬 結合之示意圖; 第4A圖為一基材的一部分之示意圖’其顯示在—氧化物 塗層中嵌入的金屬墊; 〇\91\9I082D〇C -41 - 1339408 B圖為根據本發明一對具有往復的金屬結合塾之未結 合的基枒夕-立m 土何之不意圖; 第4 c圖為根據本發明之一對未結合的基材之示意圖,其 顯不藉由非金屬區接觸及結合時產生的力量所接觸之往復 的金屬結合塾; 第4D圖為一對結合至一較大基材之較小基材的示意圖; 第5A圖為在金屬墊下方具有一可變形材料或空隙之本發 明的—實施例之示意圖; 第5B圖為在金屬墊下方具有一可變形材料之本發明的一 實施例之示意圖; 第5C圖為如第5八圖所示結合在一起的兩元件之示意圖; 第6八圖為在非金屬表面的導引晶圓結合之前具有暴露於 元件上的表面之可迴銲金屬材料之本發明的一實施例之 示意圖; 第6B圖為具有在非金屬表面的導引晶圓結合之後被密封 的可迴銲金屬材料之本發明的一實施例之示意圖; 第6C圖為具有在非金屬表面的導引晶圓結合密封住可迴 銲金屬之後迴銲的可迴銲金屬之本發明的一實施例之示意 圖; 第7A圖為在非金屬表面的導引晶圓結合之前具有暴露於 兩元件上的表面之可迴銲金屬材料之本發明的一實施例之 不意圖, 第7B圖為具有在非金屬表面的導引晶圓結合之後被密封 的可迴銲金屬材料之本發明的一實施例之示意圖; 〇 \9J\9!082 OOC -42- 1339408 晶圓結合密封住可迴 明的一實施例之示意 第7C圖為具有在非金屬表面的導引 銲金屬之後迴銲的可迴銲金屬之本發 圖; 第8及9圖為室溫结合能vs儲存 ㈤仔日寻間之圖形 【圖式代表符號說明】 2h 間隙南度 晶圓 表面 金屬墊 結合接縫 晶圓 墊 間隙 結合部 晶粒 晶圓表面 金屬互連件 層 Si02層部分 導孔 第一晶圓 互連件 基材 一乳化砂層 10, 13 11, 14, 32 12, 15, 21,31,44, 64, 65, 72, 73 16, 17 20, 22 23, 38 24 25 30, 53 32, 41 33, 51 34 35 36, 39 37 40 50, 55, 60, 61,70, 71 52 O:\9I\9I082 DOC -43- 1339408 54 金屬接觸部 56, 57 金屬結合墊 58 絕緣層 59 低K材料區 62, 63, 74 凹部 66 空隙 67 部分 75 部分 h 金屬高度 hcri t 臨界厚度 P 壓力 11 面度 t2 厚度 tw 晶圓厚度 W, W 1 , w2 寬度 r 結合能 〇 \9I\9I082 DOC -44 -

Claims (1)

1339408 第093102781號專利申諳 中文申請專利範圍替換本(99年8月)拾、申請專利範圍: 種結合晶圓之方法,包含: 々製備一具有第一複數個金屬墊之第一基材及一與該 第—基材上的金屬墊緊鄰之第一非金屬結合區; 製備—具有第二複數個金屬墊之第二基材及一與該 第二基材上的金屬墊緊鄰之第二非金屬結合區; 使來自該等第一複數個金屬墊之至少一墊不使用焊 料而直接接觸到來自該等第二複數個金屬塾之至少一 墊; 使該第一非金屬結合區直接地接觸該第二非金屬社 合區;及 m 使該第一非金屬結合區以非黏劑 非金屬結合區。 的方式結合該第 2. 如申請專利範圍第1項之方法,包含: 將該等第一複數個金屬墊的至少 在該第一基材上之非金屬結合區的 上表面; 一墊形成為具有一 一表面上方延伸之 =:基材上之非金屬結合區的一表面上方= 3. 4. 如申請專利範圍第1項之方法,叾中該接觸步驟 該第一組金屬墊結合該第二組金屬墊。 如申請專利範圍第1項之方法,包含: 使該第-及第二基材的至少—者彈性變形。 包含使 9I082-990809.doc 5. 如申請專利範圍第1項之方法,包含: 在遠第一基材上形成該非金屬結合區之後將金屬墊 沉積在該第一基材及該第二基材上。 6. 如申明專利圍第5項之方法,其中該沉積包含沉積 鉑、金、鈀及其合金之至少_者。 7. 如申請專利範圍第1項之方法,包含: 形成具有比金屬結合墊之間分離距離顯著地更小的 一厚度之該等第一及第二複數個金屬結合墊。 8. 如申請專利範圍第1項之方法,包含: 分別在該等第一及第二非金屬區的一表面上方將該 等第一及第二複數個金屬結合墊形成至一小於1000 A 之厚度。 9·如申請專利範圍第1項之方法,包含: 在該第一基材上形成一第一結合層以覆蓋該等第— 複數個金屬墊; 在该等第一複數個墊的選定者上方於該第一結合層 中形成一開口; 在該第二基材上形成一第二結合層; 在該結合層上形成該等第二複數個墊,該等第二複數 個金屬墊對應於該第一結合層中的開口;及 使该等第一及第二結合層直接地接觸。 10.如申請專利範圍第!項之方法,包含: 移除一形成於該等第一及第二複數個金屬墊的至少 一者上之氧化物層。 91082-990809.doc 1339408 π. 12. 13. 14. 如申請專利範圍第1項之方法,包含: - 使該等第一及第二基材暴露於一氧電漿;及 從該等金屬墊移除一氧化物層。 如申請專利範圍第1項之方法,其中: 該第一基材的製備係包含形成複數個第三基材,其各 小於該第二基材且各具有至少一第三金屬結合墊; 該至少一塾的接觸係包含使各該等第三基材的一第 三金屬墊接觸該等第二複數個金屬墊之一者; 邊直接接觸係包含使各該等第三基材的一第三非金 φ 屬區接觸該第二基材的非金屬區;及 該結合係包含將該等第三非金屬區結合至該第二非 金屬區。 如申請專利範圍第1項之方法,其中該第一基材的製備 及該第二基材的製備各包含: 形成一二氧化石夕層; 將該二氧化矽層圖案化; 在該二氧化石夕層中形成導孔孔洞;& 鲁 在該等導孔孔洞中形成一金屬結構。 如申請專利範圍第1項之方法,包含: 在該第一基材上形成一第一氧化物層; 形成在該第一氧化物層的一表面上方延伸之該等第 一複數個金屬墊; 在该第二基材上形成一第二氧化物層;及 开成在5亥第二氧化物層的一表面下方凹入之該等第 91082-990809.doc -^339408 二複數個金屬墊; 結合ί玄荨第一及第二金屬結構。 15,如申請專利範圍第1項之方法,包含: 製備複數個第-基材,其各具有至少一第一金屬塾及 一與該第一基材上的第一金屬墊緊鄰之第一非金屬结 合區’各該等第-基材具有—比該第二基材的—平面= 尺寸更小之平面性尺寸;
使來自該等第一複數個金屬墊各者的該至少一第一 金屬塾接觸该等第二複數個金屬塾的至少一者; 使該等複數個第一基材各者的第一非金屬結合區直 接地接觸至該第二非金屬結合區的至少—部分;及 使該等第-#金屬、结合區的各者结合至該第二非金 屬結合區。 16.如申請專利範圍第丨項之方法,包含: 使孩等第一及第二基材的至少一者彈性變形,以在該 等第一及第二基材之間產生至少一接觸點; 在該接觸點引發一結合;及 ★使該結合在該等第—及第二基材之間擴張橫越該等 第一及第二非金屬區的一顯著部分。 17. 如申請專利範圍第丨項之方法包含: 在該等第-複數個墊的至少一者下方形成一空隙。 18. 如申請專利範圍第17項之方法包含: 在4空隙下方的—層材料中形成該空隙。 19. 如申請專利範圍第17項之方法包含: 91082-990809.doc 1339408 使》玄墊下方的-材料變形以延伸至該空隙内。 20. 如申請專利範圍第丨項之方法,包含: 利用一緊鄰該至少—势而酉己置之空隙來降低該等第 -及第二複數個墊的至少一者周圍之一未結合面積。 21. 如申請專利範圍第丨項之方法,包含: 將一可變形材料配置於該等第—複數㈣的至少一 者下方。 22. 如申請專利範圍第21項之方法,包含: 藉由降低該至少一墊下古认 广i 登下方的一區域令之該低K材料的 厚度來使該塾下方的可變形材料變形。 23. 如申請專利範圍第丨項之方法包含: 將一可變形的低K材料配置 直於荨第一複數個墊的至 少一者下方。 24. 如申請專利範圍第23項之方法包含: 使該等第一複數個墊的至 形。 者下方之低Κ材料變 25. 如申請專利範圍第23項之方法其中: 少一塾下方 該墊下方的低κ材料之變形係包含在1 的一區域中降低該低κ材料的厚度。^ 26. 如申請專利範圍第1項之方法,包含: 利用一緊鄰該至少一塾而阶罢认 m ^ 配置的可變形材料來降低 该專第一及第二複數個墊的至 面積。 者周圍之-未結合 2 7 _ —種結合晶圓之方法,包含: 91082-990809.doc Βθ9408- 在一第一基材上形成第一複數個金屬墊,該第一基材 具有與該等第一複數個金屬墊緊鄰之各別複數個第一 非金屬結合區且該等第一複數個塾的一上表面形成於 δ亥等第一非金屬結合區的各別表面下方; 在一第二基材上形成第二複數個金屬墊,該第二基材 具有與該等第二複數個金屬墊緊鄰之各別複數個第二 非金屬結合區;
使該等第一非金屬結合區直接地接觸各別的該等第 二非金屬結合區; 將該等第一非金屬結合區結合至該等各別的第二非 金屬結合區;及 加熱該等第一及第二複數個金屬墊以將該等第一複 數個金屬塾連接至各別的該等第二複數個金屬塾以形 成一對連接的墊。 沈如申請專利範圍第27項之方法,其中該加熱係包含使形
成該連接的對之各別的各對該等第一及第二複數個金 屬墊之至少一者迴銲。 29.如申請專利範圍第27項之方 ,、Τ寺第二複數個的 塾的一上表面形成於該等第二非金屬 汗复屬結合區的各別表 面下方。 其中該加熱係包含使形 等第一及第二複數個金 其中該等第二複數個的 30. 如申請專利範圍第29項之方法, 成該連接的對之各別的各對該 屬墊之至少一者迴銲。 31. 如申請專利範圍第27項之方法, 91082-990809.doc 32. 塾的一上表面形成於該等第二非金屬結合區的各別表 面上方。 如申請專利範圍第31項之方法,其中該加熱係包含使形 成該連接的對之各別的各對該等第一及第二複數個金 屬墊之至少一者迴銲。 33. 如申請專利範圍第31項之方法,其中: 该等第二複數個墊的上表面在該等第二非金屬結合 表面的各別表面上方延伸一第一距離; 該等第—複數個墊的上表面在該等第一非金屬結合 區的各別表面下方延伸一第二距離;及 該第一距離小於該第二距離。 34. 一種經結合結構,包含: 第基材’其具有在該第一基材的一第一表面上方 延伸之第一複數個金屬墊; 一第一非金屬區,其定位在緊鄰該等第一複數個金屬 塾之該第一表面中; 一第二基材’其具有在該第二基材的一第二表面上方 延伸之第二複數個金屬墊; 一第二非金屬區’其定位在緊鄰該等第二複數個金屬 墊之該第二表面中; "玄等第一複數個金屬墊分別直接地接觸該等第二複 數個金屬塾;及 該第一非金屬區係藉由該第一基材及該第二基材的 至^ 一者之彈性變形而非熔合的方式接觸且直接地結 91082-990809.doc -1339408 合至該第二非金屬區, 其中該第-及第二非金屬區包含一非勒劑材料。 3 5.如申請專利範圍第34項之結構,包含: 該等第一及第二複數個金屬墊的至 y —者連接至一 元件。 36.如申請專利範圍第35項之結構,包含: 該等第一及第二複數個金屬墊各且 对艾*合异有比相鄰的該等
金屬墊之間分離距離顯著更小之厚度。 3 7.如申請專利範圍第3 6項之結構,豆中士 ^ τ 5亥厚度小於1000 Α 〇 3 8.如申凊專利範圍第3 7項之結構,其中: 該等第一及第二非金屬區的至少—者包含_二氧化 石夕層。 39. 如申請專利範圍第38項之結構,其中該二氧切層已經 暴露於一氧電襞。 40. 如申請專利範圍第38項之結構,包含: 該二氧化矽層中之經金屬化的導孔孔洞。 4 1.如申明專利範圍第4〇項之結構,其中該等經金屬化的導 孔孔洞包含: 一突起的金屬墊,其形成於該第一基材及該第二基材 的一者上;及 凹入的金屬墊,其形成於不具有該突起的金屬結合 墊之該第一基材及該第二基材的另一者上。 42.如申請專職圍第34項之結構,其中該第-基材及該第 91082-990809.doc -8- —基材的至少一者包括一積體電路。 43. 44. 45. 46. 47. 48. 49. 50. 51. 如申請專利範圍第34項之結構,包含: έ亥等第一及第二基材的至少一者彈性變形。 如申請專利範圍第34項之結構,包含: —空隙形成於該等第一複數個墊的至少一者下方。 如申請專利範圍第3 4項之結構,包含: —空隙形成於該空隙下方的一層材料中。 如申請專利範圍第34項之結構,包含: °玄塾下方的一材料變形以延伸至該空隙内。 如申請專利範圍第34項之結構,包含: —可變形材料配置於該等第一複數個金屬墊的至少 —者下方。 如申請專利範圍第47項之結構,包含: 忒可變形材料在該至少一墊下方具有一降低厚度之 區域。 如申凊專利範圍第3 4項之結構,包含: 一可變形的低Κ材料配置於該等第一複數個金屬墊的 至少一者下方。 如申凊專利範圍第49項之結構,包含: 該低Κ材料在該等第一複數個墊的至少一者下方之〆 區域中變形。 如申請專利範圍第49項之結構,其中: 該低Κ材料在該至少一墊下方具有一降低厚度之區 域。 91082-990809.doc -9· 于339408 52. —種經結合結構,包含: 第一複數個金屬墊,其配置於一第〜基材上; 第非金屬區,其定位在緊鄰該等第一複數個金屬 塾之該第—表面的一第一表面中,言玄等第一複數個金属 整的一上表面位於該第一基材下方; 第二複數個金屬墊,其配置於一第二基材上; 一第二非金屬區,其定位在緊鄰該等第二複數個金屬 墊之該第二表面中; 該等第一複數個金屬墊的一部分分別直接地接觸各 別的該等第二複數個金屬墊;及 s玄第一非金屬區係接觸且直接地結合至該第—基材 及泫第一基材的至少一者之該第二非金屬區。 53. 如申請專利範圍第52項之結構,其中該部分包含—迴銲 部分。 54·如申請專利範圍第52項之結構,包含: 該等第二複数個金屬墊具有一延伸於該第二基材的 一表面上方之上表面。 55‘如申請專利範圍第54項之結構,其中: 該等第一複數個金屬墊的上表面位於該第_表面下 方之一第一距離; 該等第二複數個金屬墊的上表面在該第二基材的— 表面上方延伸一第二距離;及 該第一距離大於該第二距離。 56‘如申請專利範圍第52項之結構,其中: 91082-990809.doc -10- 1339408 該等第二複數個金屬墊具有— 一表面下方之上表面。 延伸於該第二基材的 57. 如申請專利範圍第52項之結構,包含 該第一基材包含其中配置有 之凹入部。 該等第一複數個金屬整 58. 59. 如申請專利範圍第57項之結構,包含: 該第二基材包含其中配置有該等第二複數個金屬墊 之凹入部,該等第二複數個金屬墊的一上表面位於該第 一基材的一表面下方。 如申請專利範圍第52項之結構,包含: s玄第二基材包含其中配置有該等第二複數個金屬塾 之凹入部’該等第二複數個金屬墊的—上表面位於該第 二基材的一表面下方。 91082-990809.doc -11 -
TW093102781A 2003-02-07 2004-02-06 Room temperature metal direct bonding TWI339408B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/359,608 US6962835B2 (en) 2003-02-07 2003-02-07 Method for room temperature metal direct bonding

Publications (2)

Publication Number Publication Date
TW200504819A TW200504819A (en) 2005-02-01
TWI339408B true TWI339408B (en) 2011-03-21

Family

ID=32823827

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093102781A TWI339408B (en) 2003-02-07 2004-02-06 Room temperature metal direct bonding

Country Status (8)

Country Link
US (8) US6962835B2 (zh)
EP (1) EP1603702B1 (zh)
JP (5) JP5372325B2 (zh)
KR (2) KR101252292B1 (zh)
CA (1) CA2515375C (zh)
SG (1) SG2011091576A (zh)
TW (1) TWI339408B (zh)
WO (1) WO2004071700A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108431947A (zh) * 2015-11-23 2018-08-21 美敦力公司 在玻璃中的嵌入式金属结构

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6871942B2 (en) * 2002-04-15 2005-03-29 Timothy R. Emery Bonding structure and method of making
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US20050170609A1 (en) * 2003-12-15 2005-08-04 Alie Susan A. Conductive bond for through-wafer interconnect
JP3790995B2 (ja) * 2004-01-22 2006-06-28 有限会社ボンドテック 接合方法及びこの方法により作成されるデバイス並びに接合装置
US7716823B2 (en) * 2004-04-08 2010-05-18 Hewlett-Packard Development Company, L.P. Bonding an interconnect to a circuit device and related devices
US7608534B2 (en) 2004-06-02 2009-10-27 Analog Devices, Inc. Interconnection of through-wafer vias using bridge structures
JP4710282B2 (ja) * 2004-09-06 2011-06-29 富士ゼロックス株式会社 多波長面発光レーザの製造方法
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7422962B2 (en) * 2004-10-27 2008-09-09 Hewlett-Packard Development Company, L.P. Method of singulating electronic devices
US7172921B2 (en) * 2005-01-03 2007-02-06 Miradia Inc. Method and structure for forming an integrated spatial light modulator
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7545042B2 (en) * 2005-12-22 2009-06-09 Princo Corp. Structure combining an IC integrated substrate and a carrier, and method of manufacturing such structure
US20070161150A1 (en) * 2005-12-28 2007-07-12 Intel Corporation Forming ultra dense 3-D interconnect structures
US7579258B2 (en) * 2006-01-25 2009-08-25 Freescale Semiconductor, Inc. Semiconductor interconnect having adjacent reservoir for bonding and method for formation
US7402501B2 (en) * 2006-05-04 2008-07-22 Intel Corporation Method of manufacturing a coaxial trace in a surrounding material, coaxial trace formed thereby, and semiconducting material containing same
US20070259523A1 (en) * 2006-05-04 2007-11-08 Yechuri Sitaramarao S Method of fabricating high speed integrated circuits
US7425465B2 (en) * 2006-05-15 2008-09-16 Fujifilm Diamatix, Inc. Method of fabricating a multi-post structures on a substrate
DE102006028692B4 (de) * 2006-05-19 2021-09-02 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Elektrisch leitende Verbindung mit isolierendem Verbindungsmedium
JP4162094B2 (ja) * 2006-05-30 2008-10-08 三菱重工業株式会社 常温接合によるデバイス、デバイス製造方法ならびに常温接合装置
JP4858692B2 (ja) * 2006-06-22 2012-01-18 日本電気株式会社 チップ積層型半導体装置
JP5129939B2 (ja) * 2006-08-31 2013-01-30 沖電気工業株式会社 半導体装置の製造方法
US20080087979A1 (en) * 2006-10-13 2008-04-17 Analog Devices, Inc. Integrated Circuit with Back Side Conductive Paths
US7812459B2 (en) 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
US20080164606A1 (en) * 2007-01-08 2008-07-10 Christoffer Graae Greisen Spacers for wafer bonding
US20080237823A1 (en) * 2007-01-11 2008-10-02 Analog Devices, Inc. Aluminum Based Bonding of Semiconductor Wafers
US7605477B2 (en) * 2007-01-25 2009-10-20 Raytheon Company Stacked integrated circuit assembly
US7703661B2 (en) 2007-05-23 2010-04-27 International Business Machines Corporation Method and process for reducing undercooling in a lead-free tin-rich solder alloy
JP5016382B2 (ja) * 2007-05-24 2012-09-05 パナソニック株式会社 センサ装置およびその製造方法
US20090056989A1 (en) * 2007-08-27 2009-03-05 Intel Corporation Printed circuit board and method for preparation thereof
US8387674B2 (en) * 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8017451B2 (en) * 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US7863721B2 (en) * 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
WO2010013728A1 (ja) * 2008-07-31 2010-02-04 日本電気株式会社 半導体装置及びその製造方法
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7943411B2 (en) * 2008-09-10 2011-05-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
DE102008043735A1 (de) * 2008-11-14 2010-05-20 Robert Bosch Gmbh Anordnung von mindestens zwei Wafern mit einer Bondverbindung und Verfahren zur Herstellung einer solchen Anordnung
US8451012B2 (en) * 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
JP5177015B2 (ja) * 2009-02-27 2013-04-03 富士通株式会社 パッケージドデバイスおよびパッケージドデバイス製造方法
US20100248424A1 (en) * 2009-03-27 2010-09-30 Intellectual Business Machines Corporation Self-Aligned Chip Stacking
KR101049083B1 (ko) * 2009-04-10 2011-07-15 (주)실리콘화일 3차원 구조를 갖는 이미지 센서의 단위 화소 및 그 제조방법
EP2251893B1 (en) * 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
US7902851B2 (en) * 2009-06-10 2011-03-08 Medtronic, Inc. Hermeticity testing
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
JP5187284B2 (ja) * 2009-06-26 2013-04-24 ソニー株式会社 半導体装置の製造方法
US8669588B2 (en) * 2009-07-06 2014-03-11 Raytheon Company Epitaxially-grown position sensitive detector
US8567658B2 (en) * 2009-07-20 2013-10-29 Ontos Equipment Systems, Inc. Method of plasma preparation of metallic contacts to enhance mechanical and electrical integrity of subsequent interconnect bonds
US11134598B2 (en) * 2009-07-20 2021-09-28 Set North America, Llc 3D packaging with low-force thermocompression bonding of oxidizable materials
US20110156195A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US20110156197A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
TW202315049A (zh) * 2010-02-16 2023-04-01 凡 歐貝克 製造3d半導體晶圓的方法
EP2654074B1 (de) * 2010-03-31 2016-10-26 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8546188B2 (en) * 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
CN102986013B (zh) * 2010-04-28 2016-02-10 美敦力公司 具有电互连的气密晶片间结合
US8513120B2 (en) 2010-04-29 2013-08-20 Medtronic, Inc. Gold-tin etch using combination of halogen plasma and wet etch
FI123860B (fi) * 2010-05-18 2013-11-29 Corelase Oy Menetelmä substraattien tiivistämiseksi ja kontaktoimiseksi laservalon avulla ja elektroniikkamoduli
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
SG177817A1 (en) * 2010-07-19 2012-02-28 Soitec Silicon On Insulator Temporary semiconductor structure bonding methods and related bonded semiconductor structures
FR2963158B1 (fr) * 2010-07-21 2013-05-17 Commissariat Energie Atomique Procede d'assemblage par collage direct entre deux elements comprenant des portions de cuivre et de materiaux dielectriques
FR2964112B1 (fr) * 2010-08-31 2013-07-19 Commissariat Energie Atomique Traitement avant collage d'une surface mixte cu-oxyde, par un plasma contenant de l'azote et de l'hydrogene
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US8486758B2 (en) 2010-12-20 2013-07-16 Tessera, Inc. Simultaneous wafer bonding and interconnect joining
JP5955866B2 (ja) * 2011-01-25 2016-07-20 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ウエハの永久接合方法
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8912017B2 (en) * 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
KR102378636B1 (ko) * 2011-05-24 2022-03-25 소니그룹주식회사 반도체 장치
FR2978606B1 (fr) * 2011-07-27 2014-02-21 Soitec Silicon On Insulator Surfaces de liaison améliorées pour le collage direct de structures semi-conductrices
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441087B2 (en) 2011-07-22 2013-05-14 Raytheon Company Direct readout focal plane array
US10115764B2 (en) 2011-08-15 2018-10-30 Raytheon Company Multi-band position sensitive imaging arrays
US8754424B2 (en) * 2011-08-29 2014-06-17 Micron Technology, Inc. Discontinuous patterned bonds for semiconductor devices and associated systems and methods
US9673163B2 (en) * 2011-10-18 2017-06-06 Rohm Co., Ltd. Semiconductor device with flip chip structure and fabrication method of the semiconductor device
US9748214B2 (en) 2011-10-21 2017-08-29 Santa Barbara Infrared, Inc. Techniques for tiling arrays of pixel elements and fabricating hybridized tiles
US9040837B2 (en) * 2011-12-14 2015-05-26 Ibiden Co., Ltd. Wiring board and method for manufacturing the same
KR101870155B1 (ko) 2012-02-02 2018-06-25 삼성전자주식회사 비아 연결 구조체, 그것을 갖는 반도체 소자 및 그 제조 방법들
FR2990565B1 (fr) 2012-05-09 2016-10-28 Commissariat Energie Atomique Procede de realisation de detecteurs infrarouges
CN103426732B (zh) * 2012-05-18 2015-12-02 上海丽恒光微电子科技有限公司 低温晶圆键合的方法及通过该方法形成的结构
US8896060B2 (en) 2012-06-01 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Trench power MOSFET
US8969955B2 (en) 2012-06-01 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Power MOSFET and methods for forming the same
US9048283B2 (en) * 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
FR2992772B1 (fr) * 2012-06-28 2014-07-04 Soitec Silicon On Insulator Procede de realisation de structure composite avec collage de type metal/metal
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9087905B2 (en) 2012-10-03 2015-07-21 International Business Machines Corporation Transistor formation using cold welding
CN103043605B (zh) * 2012-12-07 2015-11-18 中国电子科技集团公司第五十五研究所 微型电镀立体结构提高圆片级金属键合强度的工艺方法
US9196606B2 (en) 2013-01-09 2015-11-24 Nthdegree Technologies Worldwide Inc. Bonding transistor wafer to LED wafer to form active LED modules
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
US9673169B2 (en) * 2013-02-05 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a wafer seal ring
FR3003087B1 (fr) * 2013-03-05 2015-04-10 Commissariat Energie Atomique Procede de realisation d’un collage direct metallique conducteur
US8921992B2 (en) 2013-03-14 2014-12-30 Raytheon Company Stacked wafer with coolant channels
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
WO2014184988A1 (ja) 2013-05-16 2014-11-20 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
JP6330151B2 (ja) * 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9779965B2 (en) * 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9136240B2 (en) * 2013-10-08 2015-09-15 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9780065B2 (en) 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9360623B2 (en) * 2013-12-20 2016-06-07 The Regents Of The University Of California Bonding of heterogeneous material grown on silicon to a silicon photonic circuit
US9148923B2 (en) 2013-12-23 2015-09-29 Infineon Technologies Ag Device having a plurality of driver circuits to provide a current to a plurality of loads and method of manufacturing the same
FR3017993B1 (fr) 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9349690B2 (en) 2014-03-13 2016-05-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
CN104051337B (zh) * 2014-04-24 2017-02-15 上海珏芯光电科技有限公司 立体堆叠集成电路系统芯片封装的制造方法与测试方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
KR102161793B1 (ko) 2014-07-18 2020-10-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
GB201413578D0 (en) 2014-07-31 2014-09-17 Infiniled Ltd A colour iled display on silicon
JP6417777B2 (ja) * 2014-08-08 2018-11-07 株式会社ニコン 基板積層装置および基板積層方法
CN105470153B (zh) * 2014-09-03 2018-03-06 中芯国际集成电路制造(上海)有限公司 晶圆键合方法
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US10852492B1 (en) 2014-10-29 2020-12-01 Acacia Communications, Inc. Techniques to combine two integrated photonic substrates
FR3028050B1 (fr) * 2014-10-29 2016-12-30 Commissariat Energie Atomique Substrat pre-structure pour la realisation de composants photoniques, circuit photonique et procede de fabrication associes
JP6313189B2 (ja) * 2014-11-04 2018-04-18 東芝メモリ株式会社 半導体装置の製造方法
JP6335099B2 (ja) 2014-11-04 2018-05-30 東芝メモリ株式会社 半導体装置および半導体装置の製造方法
JP6636534B2 (ja) 2014-11-12 2020-01-29 オントス イクイップメント システムズ インコーポレイテッド フォトレジスト表面および金属表面処理の同時親水化:方法、システム、および製品
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102211143B1 (ko) 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9536853B2 (en) 2014-11-18 2017-01-03 International Business Machines Corporation Semiconductor device including built-in crack-arresting film structure
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6415391B2 (ja) * 2015-06-08 2018-10-31 東京エレクトロン株式会社 表面改質方法、プログラム、コンピュータ記憶媒体、表面改質装置及び接合システム
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
CN107926014B (zh) 2015-08-21 2022-07-26 株式会社Ntt都科摩 用户终端、无线基站以及无线通信方法
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
KR102423813B1 (ko) 2015-11-27 2022-07-22 삼성전자주식회사 반도체 소자
DE102015121066B4 (de) 2015-12-03 2021-10-28 Infineon Technologies Ag Halbleitersubstrat-auf-halbleitersubstrat-package und verfahren zu seiner herstellung
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10163771B2 (en) * 2016-08-08 2018-12-25 Qualcomm Incorporated Interposer device including at least one transistor and at least one through-substrate via
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
WO2018067719A2 (en) 2016-10-07 2018-04-12 Invensas Bonding Technologies, Inc. Direct-bonded native interconnects and active base die
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
CN108122823B (zh) * 2016-11-30 2020-11-03 中芯国际集成电路制造(上海)有限公司 晶圆键合方法及晶圆键合结构
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) * 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
TWI691407B (zh) * 2017-05-25 2020-04-21 日商新川股份有限公司 結構體的製造方法及結構體
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US10636774B2 (en) 2017-09-06 2020-04-28 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a 3D integrated system-in-package module
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
WO2019079625A1 (en) 2017-10-20 2019-04-25 Xcelsis Corporation HIGH DENSITY 3D CALCULATION CIRCUIT FOR Z-AXIS INTERCONNECTIONS
EP3698401A1 (en) 2017-10-20 2020-08-26 XCelsis Corporation Face-to-face mounted ic dies with orthogonal top interconnect layers
US10584027B2 (en) * 2017-12-01 2020-03-10 Elbit Systems Of America, Llc Method for forming hermetic seals in MEMS devices
US20190181119A1 (en) * 2017-12-07 2019-06-13 United Microelectronics Corp. Stacked semiconductor device and method for forming the same
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) * 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10886249B2 (en) 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
DE102018103169A1 (de) * 2018-02-13 2019-08-14 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement
DE102018103431A1 (de) * 2018-02-15 2019-08-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Verbindung zwischen Bauteilen und Bauelement aus Bauteilen
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
EP3669398A4 (en) * 2018-03-22 2021-09-01 SanDisk Technologies LLC THREE-DIMENSIONAL MEMORY DEVICE CONTAINING A CHIP ASSEMBLY LINKED WITH INTERCONNECTION HOLE STRUCTURES THROUGH A SUBSTRATE AND ITS MANUFACTURING PROCESS
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11342302B2 (en) 2018-04-20 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding with pre-deoxide process and apparatus for performing the same
JP6918074B2 (ja) * 2018-05-02 2021-08-11 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ボンディング層を施与する方法
US10403577B1 (en) * 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
DE102018112586A1 (de) 2018-05-25 2019-11-28 Osram Opto Semiconductors Gmbh Verfahren zur herstellung einer verbindung zwischen bauteilen und bauelement
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10340249B1 (en) * 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
CN108922870B (zh) * 2018-08-22 2024-07-12 中国电子科技集团公司第四十三研究所 一种氮化铝陶瓷管壳及其制作方法
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) * 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
JP2021536131A (ja) * 2018-09-04 2021-12-23 中芯集成電路(寧波)有限公司 ウェハレベルパッケージング方法およびパッケージング構造
CN110875268A (zh) * 2018-09-04 2020-03-10 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
JP2021535613A (ja) * 2018-09-04 2021-12-16 中芯集成電路(寧波)有限公司 ウェハレベルパッケージ方法及びパッケージ構造
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11469214B2 (en) 2018-12-22 2022-10-11 Xcelsis Corporation Stacked architecture for three-dimensional NAND
US11139283B2 (en) 2018-12-22 2021-10-05 Xcelsis Corporation Abstracted NAND logic in stacks
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10629439B1 (en) * 2019-03-27 2020-04-21 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10643848B1 (en) * 2019-03-27 2020-05-05 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN110429038A (zh) * 2019-08-09 2019-11-08 芯盟科技有限公司 半导体结构及其形成方法
JP7391574B2 (ja) * 2019-08-29 2023-12-05 キヤノン株式会社 半導体装置の製造方法および半導体装置
CN110797329B (zh) * 2019-10-15 2021-04-30 上海集成电路研发中心有限公司 一种三维堆叠方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11094653B2 (en) * 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
GB2589329B (en) * 2019-11-26 2022-02-09 Plessey Semiconductors Ltd Substrate bonding
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
CN111226311B (zh) 2020-01-07 2021-01-29 长江存储科技有限责任公司 金属-电介质键合方法和结构
US11508684B2 (en) 2020-01-08 2022-11-22 Raytheon Company Structure for bonding and electrical contact for direct bond hybridization
US11127719B2 (en) 2020-01-23 2021-09-21 Nvidia Corporation Face-to-face dies with enhanced power delivery using extended TSVS
US11616023B2 (en) * 2020-01-23 2023-03-28 Nvidia Corporation Face-to-face dies with a void for enhanced inductor performance
US11699662B2 (en) 2020-01-23 2023-07-11 Nvidia Corporation Face-to-face dies with probe pads for pre-assembly testing
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US11340512B2 (en) * 2020-04-27 2022-05-24 Raytheon Bbn Technologies Corp. Integration of electronics with Lithium Niobate photonics
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
KR20220016365A (ko) 2020-07-30 2022-02-09 삼성전자주식회사 반도체 패키지
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
CN112289904B (zh) * 2020-09-16 2022-06-17 华灿光电(苏州)有限公司 红光led的制作方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230097121A (ko) * 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
JP2022191901A (ja) 2021-06-16 2022-12-28 キオクシア株式会社 半導体装置およびその製造方法
CN115602650A (zh) 2021-07-09 2023-01-13 佳能株式会社(Jp) 半导体设备、装备以及半导体设备的制造方法
US20230065622A1 (en) 2021-09-02 2023-03-02 Raytheon Company Wafer-scale direct bonded array core block for an active electronically steerable array (aesa)
US20230326887A1 (en) * 2022-04-11 2023-10-12 Western Digital Technologies, Inc. Clamped semiconductor wafers and semiconductor devices
CN114823594B (zh) * 2022-06-28 2022-11-11 之江实验室 一种基于二维材料界面的混合键合结构及方法

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) * 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
BR8801696A (pt) * 1987-09-08 1989-03-21 Gencorp Inc Processo para ligacao de partes de poliester reforcadas e produto
US4904328A (en) * 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) * 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) * 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) * 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) * 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) * 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
US5501003A (en) * 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
FR2718571B1 (fr) * 1994-04-08 1996-05-15 Thomson Csf Composant hybride semiconducteur.
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR960009074A (ko) * 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) * 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) * 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) * 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US6054363A (en) * 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) * 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
WO1998028788A1 (en) * 1996-12-24 1998-07-02 Nitto Denko Corporation Manufacture of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) * 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
US5929512A (en) * 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
AU7147798A (en) * 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) * 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) * 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) * 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
US6232150B1 (en) * 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
US6410415B1 (en) * 1999-03-23 2002-06-25 Polymer Flip Chip Corporation Flip chip mounting technique
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2002543610A (ja) * 1999-05-03 2002-12-17 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ SiCの除去法
US6093969A (en) * 1999-05-15 2000-07-25 Lin; Paul T. Face-to-face (FTF) stacked assembly of substrate-on-bare-chip (SOBC) modules
US6258625B1 (en) * 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
JP2003503854A (ja) * 1999-06-29 2003-01-28 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体デバイス
US6756253B1 (en) * 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) * 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6326698B1 (en) * 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) * 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
CN1222195C (zh) * 2000-07-24 2005-10-05 Tdk株式会社 发光元件
JP2002064268A (ja) * 2000-08-18 2002-02-28 Toray Eng Co Ltd 実装方法および装置
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) * 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) * 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) * 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6555917B1 (en) * 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) * 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) * 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6624003B1 (en) * 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6627814B1 (en) * 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) * 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108431947A (zh) * 2015-11-23 2018-08-21 美敦力公司 在玻璃中的嵌入式金属结构
CN108431947B (zh) * 2015-11-23 2022-06-24 美敦力公司 在玻璃中的嵌入式金属结构

Also Published As

Publication number Publication date
EP1603702A2 (en) 2005-12-14
CA2515375C (en) 2013-09-24
EP1603702B1 (en) 2014-01-22
JP5851917B2 (ja) 2016-02-03
JP2017112383A (ja) 2017-06-22
US20190115247A1 (en) 2019-04-18
JP2012186481A (ja) 2012-09-27
US20130233473A1 (en) 2013-09-12
WO2004071700A2 (en) 2004-08-26
US9385024B2 (en) 2016-07-05
JP6887811B2 (ja) 2021-06-16
KR101252292B1 (ko) 2013-04-05
US7602070B2 (en) 2009-10-13
KR101257274B1 (ko) 2013-05-02
KR20120034786A (ko) 2012-04-12
US20070232023A1 (en) 2007-10-04
WO2004071700A3 (en) 2005-04-21
US20110041329A1 (en) 2011-02-24
US20140370658A1 (en) 2014-12-18
JP2015164190A (ja) 2015-09-10
EP1603702A4 (en) 2008-10-29
TW200504819A (en) 2005-02-01
JP2006517344A (ja) 2006-07-20
JP6396386B2 (ja) 2018-09-26
US20050161795A1 (en) 2005-07-28
KR20050101324A (ko) 2005-10-21
US20040157407A1 (en) 2004-08-12
SG2011091576A (en) 2015-02-27
CA2515375A1 (en) 2004-08-26
US6962835B2 (en) 2005-11-08
US8846450B2 (en) 2014-09-30
JP2017063203A (ja) 2017-03-30
US20160086899A1 (en) 2016-03-24
US10141218B2 (en) 2018-11-27
JP6092280B2 (ja) 2017-03-08
US7842540B2 (en) 2010-11-30
US8524533B2 (en) 2013-09-03
JP5372325B2 (ja) 2013-12-18

Similar Documents

Publication Publication Date Title
TWI339408B (en) Room temperature metal direct bonding
US10607937B2 (en) Increased contact alignment tolerance for direct bonding
US7862885B2 (en) Method of room temperature covalent bonding

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent