JP2015164190A - 室温金属直接ボンディング - Google Patents

室温金属直接ボンディング Download PDF

Info

Publication number
JP2015164190A
JP2015164190A JP2015040707A JP2015040707A JP2015164190A JP 2015164190 A JP2015164190 A JP 2015164190A JP 2015040707 A JP2015040707 A JP 2015040707A JP 2015040707 A JP2015040707 A JP 2015040707A JP 2015164190 A JP2015164190 A JP 2015164190A
Authority
JP
Japan
Prior art keywords
metal
bonding
substrate
pads
metal pads
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015040707A
Other languages
English (en)
Other versions
JP6092280B2 (ja
Inventor
チン−イ・トン
Qin-Yi Tong
ポール・エム.・エンクィスト
M Engquist Paul
アンソニー・スコット・ローズ
Scot Rose Anthony
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Bonding Technologies Inc
Original Assignee
Ziptronix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ziptronix Inc filed Critical Ziptronix Inc
Publication of JP2015164190A publication Critical patent/JP2015164190A/ja
Application granted granted Critical
Publication of JP6092280B2 publication Critical patent/JP6092280B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/02Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating by means of a press ; Diffusion bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13011Shape comprising apertures or cavities, e.g. hollow bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/8093Reshaping
    • H01L2224/80935Reshaping by heating means, e.g. reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81014Thermal cleaning, e.g. decomposition, sublimation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81136Aligning involving guiding structures, e.g. spacers or supporting members
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81208Compression bonding applying unidirectional static pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/8121Applying energy for connecting using a reflow oven
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • H01L2224/83095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8334Bonding interfaces of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/8382Diffusion bonding
    • H01L2224/8383Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8384Sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83905Combinations of bonding methods provided for in at least two different groups from H01L2224/838 - H01L2224/83904
    • H01L2224/83907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01003Lithium [Li]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Combinations Of Printed Boards (AREA)

Abstract

【課題】貼り合わされたデバイス構造を提供する。
【解決手段】デバイスまたは回路に接続された第1の組の金属ボンディングパッド12および第1の基板10上の金属ボンディングパッドに隣接する第1の非金属領域11を有する第1の基板、デバイスまたは回路に接続された第1の組の金属ボンディングパッドに隣接する第2の組の金属ボンディングパッド、および第2の基板13上の金属ボンディングパッド15に隣接する第2の非金属領域14を有する第2の基板、および第2の非金属領域に対して第1の非金属領域を接触ボンディングさせることにより形成される第1と第2の組の金属ボンディングパッドの間の接触ボンディングされた界面を含むボンディングされたデバイス構造。第1と第2の基板の少なくとも一方は弾性的に変形され得る。
【選択図】図1A

Description

発明の背景
発明の分野
本発明は、好ましくは室温での、直接ウエーハー貼り合わせの分野に係り、より具体的には、半導体デバイスおよび集積回路の製造に利用される基板の張り合わせに関する。
関連技術の説明
従来のCMOSデバイスの物理的限界が到来し、高性能電子システムについての要求が緊急となっているため、システムオンチップ(SOC)が半導体産業の自然な解決策となっている。システム・オン・チップの製造のためには、さまざまの機能がチップ上で要求される。シリコン技術が多数のデバイスを加工するための主力技術であるけれども、所望の回路および光電子機能の多くは、現在、シリコン以外の材料で製造される個々のデバイスおよび/または回路から最もよく得ることができる。したがって、非シリコン系デバイスをシリコン系デバイスと一体化するハイブリッドシステムは、純粋なシリコンまたは純粋な非シリコンデバイスのみからは得られない独特のSOC機能を提供する潜在能力を与える。
ヘテロデバイス一体化のための1つの方法は、シリコン上の異種材料のヘテロエピタキシャル成長であった。現在まで、そのようなヘテロエピタキシャル成長は、主として非シリコン薄膜と基板の間の格子定数の不整合のためにヘテロエピタキシャル成長した薄膜における高密度の欠陥を実現のものとしてきた。
ヘテロデバイス一体化に対する別のアプローチはウエーハー貼り合わせ技術であった。
しかしながら、高温での異なる熱膨張係数を有する異種材料のウエーハーの貼り合わせは、転位発生、デボンディング、またはクラック形成をもたらす熱応力を導入する。したがって、低温貼り合わせが望ましい。もし異なる材料が低い分解温度を有する材料または例えばInPヘテロ接合バイポーラートランジスターのような温度感受性デバイスまたはウルトラシャローソースおよびドレンプロファイルを有する加工されたSiデバイスを含むならば、低温貼り合わせはまた異種材料の貼り合わせのために重要である。
異種材料を含む同じチップ上で異なる機能を生み出すために必要とされるプロセスの設計は最適化するのが困難である。実際、得られるSOCチップ(特に大きな集積サイズのもの)の多くは、低い歩留まりを示す。1つのアプローチは、ウエーハー接着剤貼り合わせと層遷移(layer transfer)により、完全に加工されたICを連結することであった。
例えば、Y.ハヤシ、S.ワダ、K.カジヤナ、K.オヤマ、R.コー、S.タカハシおよびT.クニオ、Symp.VLSI Tech.Dig.95(1990)および米国特許第5,563,084号を参照されたい。両方の参照文献の内容全体は参照により本明細書に組み込まれている。しかしながら、ウエーハー接着剤貼り合わせは、通常、高温で行われ、熱応力、ガス噴出、気泡形成および接着剤の不安定性があり、プロセス中での歩留まり減少および時間経過についての劣った信頼性をもたらす。さらに、接着剤結合は通常気密的ではない。
ウエーハー直接貼り合わせは、いずれの接着剤も用いることなく室温でウエーハーを貼り合わせる技術である。室温での直接ウエーハー結合は、典型的には気密的である。接着剤貼りあわせにおけるようには応力と不均質性を導入する傾向はない。さらに、もし低温で貼り合わされたウエーハーの対が薄層化プロセスに耐え得るならば、貼り合わされた対の一方のウエーハーが特定の材料の組み合わせのためのそれぞれの臨界値未満の厚さに薄化されるとき、層の中のミスフィット転位の発生および続く熱加工工程の間の貼り合わされた対のすべりまたは亀裂形成は回避される。例えば、Q.Y.トンおよびU.ゲーゼレ、セミコンダクター・ウエーハー・ボンディング:サイエンス・アンド・テクノロジー,ジョン・ウィリー&サンズ,ニューヨーク,(1999)を参照されたい。その内容全体は参照により本明細書に組み込まれる。
さらに、ウエーハー直接貼りあわせおよび層遷移は、VLSI(超大規模集積回路)適合性であり、きわめて柔軟性があり、製造可能な技術であり、集積3次元システムオンチップ(3−D SOC)を形成するためにそれを用いることは極めて好ましい。3−D SOCアプローチは、システム・オン・チップを形成するために現存する集積回路の一体化として理解され得る。
さらに、一体化の複雑さが高まると、付加的な応力が少なくなるか、なくなり、より信頼性のある回路をもたらす、低温好ましくは室温で別々の回路を強く一体化する集積プロセスに対する要求が生まれる。
ウエーハーまたはボンディングされたダイの間の金属の低温または室温での直接ウエーハー貼り合わせは3D−SOC製造のために望ましい。というのは、これは、ウエーハーまたはダイが機械的にボンディングされているとき、ボンディングされているウエーハーまたはダイの間の電気的配線をもたらすためにウエーハーまたはダイの間の非金属の直接ウエーハー貼り合わせといっしょに用いられ得るからであり、そしてこうすることにより、ボンディングされたウエーハーまたはダイの間の電気的配線を達成するための基板の薄化、ビアエッチング、および配線の金属化のようなポストボンディング加工についての必要がなくなる。きわめて低い寄生をもたらし、消費電力を減少させ、バンド幅容量(bandwidth capability)を高める極めて小さなボンディング金属パッドが用いられ得る。
清浄表面を有する金属のボンディングは周知の現象である。たとえば、熱圧縮ワイアボンディングがウエーハーレベルのボンディングに適用されてきた。温度、圧力および硬度の低い金属が典型的に用いられ、通常、残留応力を生み出す。例えば、例として、M.A.Schmidt、Proc.IEEE,Vol.86,No.8,1575(1998)、Y.Li,R.W.Bower,I.Bencuya,Jpn.J.Appl.Phys.Vol.37,L1068(1988)を参照されたい。250〜350℃でのPd金属層被覆シリコンまたはIII V化合物ウエーハーの直接貼り合わせは、B.Aspar,E.Jalaguier,A.Mas,C.Locatelli,O.Rayssac,H.Moricean,S.Pocas,A.Papon,J.Michasud and M.Bruel,Electon.Lett.,35,12(1999)により報告されている。しかしながら、実際には、Pd2 Siケイ素化物またはPd−III V合金、非金属Pdが形成され、ボンディングされた。室温でのAuおよびAlのボンディングは、フリップチップボンディングで超音波および圧縮負荷を用いることにより達成された。例として、M.ヒズクリ、N.ワタナベ、およびT.アサノ、Jpn.J.Appl.Phys.Vol.40,3044(2001)を参照されたい。ウエーハーレベルでの室温金属ボンディングは、3×10-8mbarより低い基礎圧力により超高真空(UHV)システムで実現した。通常、イオンアルゴンスパッタリングまたは高速原子ビームがボンディング表面を清浄化するために用いられ、続いて、ボンディング表面に外部からの圧力を適用する。例えば、T.スガ,Proc.半導体ウエーハー貼り合わせについての第2回Intl.シンポジウム、Electrochemical Soc.Proc.Vol.93−29,p71(1993)を参照されたい。薄くスパッタリングされたTi、PtおよびAu薄膜を有する2つのSi基板のあいだの室温ボンディングもまた3×10-8mbar未満の基礎圧力を有するUHVシステム中で4〜40μbarのAr圧力での薄膜スパッタリング堆積後に適用される力を用いて達成された。例えば、T.シマツ、R.H.モレマ、D.モンズマ、E.G.ケイムおよびJ.C.ロダー、J.Vac.Sci.Technol.A16(4),2125(1998)を参照されたい。
発明の概要
したがって、本発明の目的は、単一のボンディング工程によりウエーハーとダイの間の機械的および電気的接触を獲得することである。
本発明のもう1つの目的は、外からの圧力を用いることなく半導体回路のウエーハーまたはダイの間の金属ボンディングが周囲環境で形成され得る低温または室温ボンディング方法を提供することである。
本発明の追加の目的は、半導体回路のウエーハーまたはダイの間のいずれかの金属の層の金属ボンディングが、金または銅またはパラジウムの薄膜で金属層を覆うことにより外部圧力を用いることなく周囲環境でウエーハーレベルで室温で形成され得る低温または室温ボンディング方法を提供することである。
本発明のさらにもう1つの目的は、金属および他の非金属層が共存する半導体回路で構成されるウエーハーまたはダイのボンディング表面上に室温で金属結合ならびに共有結合が同時に形成される、外部圧力を用いることなく周囲環境でウエーハーレベルで室温ボンディング方法を提供することである。
もう1つの目的は、異なる熱膨張係数を有する異なる基板または異なる基板上の異なる材料が異なる基板または異なる基板上の異なる材料の間の破壊的な応力の発生がなく互いに結合され得る室温ボンディング方法を提供することである。
本発明のさらにもう1つの目的は、基板間の結合強度が基板の機械的破損強度に達する室温ボンディング方法である。
本発明のもう1つの目的は、別々の基板上で個別に製造され、共通の基板上でボンディングされたデバイスを含むボンディングされたデバイス構造を提供することである。
本発明の更なる目的は、信頼性のある機械的結合が室温またはその付近で形成され、信頼性のある電気的接触が単純な低温アニーリングにより後に形成され得る方法およびデバイスを提供することである。
本発明のそれらのおよび他の目的は、好ましくはデバイスまたは回路に接続された、第1の複数の金属ボンディングパッドを有し、かつ該金属ボンディングパッドに隣接する第1の非金属領域を有する第1の基板、第1の複数の金属ボンディングパッドと整合されているかまたは整合可能な、好ましくは第2のデバイスまたは回路に接続された、第2の複数の金属ボンディングパッドを有し、かつ該金属ボンディングパッドに隣接する第2の非金属領域を有する第2の基板、並びに第1の非金属領域の第2の非金属領域への直接ウエーハー貼り合わせにより発生する力の直接の結果である第1の基板と第2の基板内の要素の弾性変形によるか、もしくは第1の非金属領域の第2の非金属領域への直接ウエーハー貼り合わせの後の第1と第2の組の金属ボンディングパッドの近傍の金属のリフローにより形成される第1と第2の組の金属ボンディングパッド間の接触結合された界面を含むボンディング方法およびデバイス構造により達成される。
本発明およびその多くの付随する利点のより完全な理解は、それが添付の図面と結び付けて考察されるとき以下のより詳細な説明を参照してよりよく理解されるようになるので容易に獲得されるであろう。
整合した金属ボンディングパッドを有する1対の非貼り合わせ基板の概略図である。 接触した整合金属ボンディングパッドを有する1対の非貼り合わせ基板の概略図である。 金属ボンディングパッドから離れた非金属領域で貼り合わされた本発明による1対の接触した基板の概略図である。 金属ボンディングパッド近くの小さな非ボンドリング領域を除いて非金属領域に渡って貼り合わされた本発明による1対の接触した基板の概略図である。 複数のボンディングパッドを有するボンディング基板を例示する概略図である。 複数のボンディングパッドを有するボンディング基板を例示する概略図である。 複数のボンディングパッドを有するボンディング基板を例示する概略図である。 挿入部分の中で示されるように半導体ダイを分離するメタルパッドの厚さ2hの関数としての非ボンドリング領域の幅Wを示す本発明によるグラフである。 表面平坦化後の半導体ダイまたはウエーハーの概略図である。 第2の金属層が形成され平坦化されており、コンタクトウインドウが金属パッド上で開放されている半導体ダイまたはウエーハーの概略図である。 第2の金属層を有する第2の半導体ダイまたはウエーハーの概略図である。 本発明による2つのダイまたはウエーハーの整合金属ボンディングの概略図である。 酸化物コーティング中に埋め込まれた金属パッドを示す基板の一部の概略図である。 相互的な金属ボンディングパッドを有する本発明による1対の貼り合わされていない基板の概略図である。 非金属領域が接触し、ボンディングされるとき発生する力により接触する相互的な金属ボンディングパッドを示す本発明による1対の貼り合わされた基板の概略図である。 より大きな基板に貼り合わされた1対のより小さな基板の概略図である。 金属パッドの下に変形可能な材料またはボイドを有する本発明の1態様の概略図である。 金属パッドの下に変形可能な材料を有する本発明の1態様の概略図である。 互いに貼り合わされた図5Aに示される2つのデバイスの概略図である。 非金属表面の直接ウエーハー貼り合わせの前の2つのデバイスの表面に曝されたリフロー可能な金属材料を有する本発明の1態様の概略図である。 後の非金属表面の直接ウエーハー貼り合わせにより封止されたリフロー可能な金属材料を有する本発明の態様の概略図である。 非金属表面の直接ウエーハー貼り合わせがリフロー可能な金属を封止した後リフローされたリフロー可能な金属を有する本発明の態様の概略図である。 非金属表面の直接ウエーハー貼り合わせの前の2つのデバイスの表面に曝されたリフロー可能な金属材料を有する本発明の態様の概略図である。 非金属表面の直接ウエーハー貼り合わせの後に封止されたリフロー可能な金属材料を有する本発明の態様の概略図である。 非金属表面の直接ウエーハー貼り合わせがリフロー可能な金属を封止した後リフローされたリフロー可能な金属を有する本発明の態様の概略図である。 室温での結合エネルギー対貯蔵時間のグラフである。 室温での結合エネルギー対貯蔵時間のグラフである。
好ましい態様の詳細な説明
ここで、いくつかの図面を通して同様の参照番号が同様のまたは対応する部分を示す図面、より具体的には、本発明のボンディングプロセスの第1の態様を例示する図1A〜1Dおよび2を参照する。本発明の第1の態様において、金属領域の周囲の非金属領域が室温化学結合を受けるときに発生する固有の力により、整合の際の別々のウエーハー上の金属接触領域が接触圧力結合するとき直接金属−金属ボンディングが発生する。この明細書を通じて用いられる化学結合は、1枚のウエーハーの表面上の表面結合が共有結合のような表面要素間の直接結合を形成するように対向するウエーハーの表面上の表面結合と反応するとき生み出される結合強度として定義される。化学結合は、例えば、ウエーハー材料の破壊強度に近い強い結合強度により明らかであり、したがって、例えば、単なるファンデルワールス結合からは区別される。本発明の方法により達成される化学結合強度の例は以下に検討される。化学結合プロセスにおいては、実質的な力が展開されている。それらの力は化学結合が対向する非金属領域の間で増加するとき金属領域を弾性的に変形するのに十分に大きいものであり得る。
図1Aは、それぞれの対向するウエーハー表面11、14を有する2枚のウエーハー10、13を示す。ウエーハー表面は、純粋元素半導体表面であり得るか、比較的少量の自然酸化物を含む純粋元素半導体表面であり得るか、または酸化物被覆された表面のような絶縁体であり得る。表面は、平滑で活性化された表面を作り出すように調製され得る。ポリッシングまたはポリッシング・アンド・ベリー・スライトリー・エッチング(VSE)のような技術が用いられ得る。ボンディング層は蓄積され得るものであり、ポリッシュされるかまたはポリッシュされてわずかにエッチングされ得るものである。得られる表面は、相補的であり、5〜15Åの範囲、好ましくは10Å以下、およびより好ましくは5Å以下の化学結合表面粗さを有する平坦で平滑である化学結合表面を有する。
より詳細には、ボンディング層の例について、ボンディング層は、低温で堆積されるか、形成され得るいずれかの固相材料または混合された材料であり得るものであり、十分に平滑な表面にポリッシュされ得るものである。ボンディング層は、SiO2 、窒化シリコン、化学蒸着(CVD)またはプラズマ強化CVD(PECVD)、スパッタリングまたはエバポレーションを用いて形成されるアモルファスシリコンのような絶縁体であり得る。ポリマー、半導体または焼結材料のような他の材料もまた用いられ得る。ボンディング層は、それが形成される層の表面トポグラフィーよりも大きな厚さを有するべきである。
好ましくは、ボンディング層は、堆積された酸化シリコンである。
ボンディング層の表面は平坦化され、平滑化される。この工程は、化学的−機械的ポリッシュを用いて達成され得る。表面は、好ましくは上記粗面度にポリッシュされ、実質的に平坦である。ポリッシングの後、表面は、ポリッシュ工程由来の残留物を除去するために洗浄され、乾燥され得る。ついで、ポリッシュされた表面は、好ましくは、溶液ですすがれる。
ボンディング表面は、また、平坦性および/または表面粗さを改善するためにポリッシングの前にエッチングもされ得る。エッチングは、例えば、標準フォトリソグラフー技術を用いたハイスポット(high spots)の選択的エッチングによりボンディング表面上のハイスポットを除去するのに有効であり得る。例えば、HF含有溶液を用いるときエッチストップとして機能し得る窒化シリコンの層が二酸化シリコンボンディング層中に埋め込まれ得る。エッチストップ材料は、均一性、再現性、および製造容易性を改善するために用いられ得る。
ついで、表面は、活性化プロセスを受ける。この活性化プロセスは、エッチングプロセスであり、好ましくは、ベリー・スライト・エッチ(VSE)プロセスである。VSEと言う用語は、きわめてわずかにエッチングされた表面の二乗平均微小ラフネス(RMS)が上記範囲のエッチングされていない値にほぼとどまることを意味する。除去される材料の最適量は、除去のために用いられる材料と方法に依存する。除去される典型的な量は、オングストロームのオーダーから数ナノメートルまでにわたる。より多くの材料を除去することもまた可能である。VSEは、また、処理された表面上の結合の破壊も含み、材料の顕著な除去なしに起こりうる。VSEは、例えば、表面に電荷を与えるかまたは表面層を損傷させることによる表面の単純な改変とは異なる。本発明による方法の第1の例において、VSEプロセスは、特定の時間特定の出力レベルでのガスまたは混合ガス(酸素、アルゴン、窒素、CF4 、NH3 のような)プラズマプロセスからなる。プラズマプロセスの電力と持続時間は、所望の結合エネルギーを獲得するために用いられる材料に依存して変化するであろう。例が以下に与えられるが、しかし、一般には、電力と持続時間は経験的に決定されるであろう。
プラズマプロセスは、異なるモードでなされ得る。反応性イオンエッチ(RIE)およびプラズマモードの両方ならびに誘導結合高周波プラズマモード(ICP)が用いられ得る。スパッタリングもまた用いられ得る。データおよび例がRIEおよびプラズマモードの両方で以下に与えられる。
VSEプロセスは、物理的なスパッタリングおよび/または化学反応を介してきわめてわずかに表面をエッチングし、好ましくは、ボンディング表面の表面粗さを低下させないように制御される。表面粗さは、VSEおよびエッチングされる材料に応じてさらに改善され得る。極度に表面をエッチングしないほとんどのガスまたはガス混合物は、本発明による室温ボンディング方法のために用いられ得る。
VSEは、表面を清浄化し、ウエーハー表面上の酸化物の結合を破壊する役割をする。
したがって、VSEプロセスは、有意に表面活性を高め得る。所望されるボンディング種は、VSEの適切な設計によりVSEの間表面上で終端させられるように用いられ得る。
代わりに、ポストVSEプロセスの間活性化させ、所望の終端種により表面を終端させるポストVSE処理が用いられ得る。
所望の種は、さらに好ましくは、表面が同じか別のボンディング種により終端させられた表面と一緒にされ得る後のまで表面原子層を有効に終端させる表面原子層への一時的結合を形成する。表面上の所望の種は、さらに好ましくは、それらが反応した所望の種のボンディング界面から離れての拡散または解離と拡散により増幅される低温または室温での表面間の化学結合を可能とするに十分に近接しているとき、互いに反応するであろう。
ポストVSEプロセスは、好ましくは、所望の種によりボンディング表面を終端させることをもたらす表面反応を発生させるために選択された化学物質を含む溶液への浸漬からなる。浸漬は、好ましくは、VSEプロセス直後に実施される。ポストVSEプロセスは、VSEプロセスが行われるのと同じ装置の中で実施され得る。もし、VSEプロセスとポストVSEプロセスの両方がドライ、すなわち、プラズマ、RIE、ICP、スパッタリングなどか、またはウエット、すなわち、溶液浸漬かのいずれかであるならば、それは非常に容易になされる。所望の種は、好ましくは、原子または分子の単層または数層からなる。
ポストVSEプロセスは、また、適切なガス化学物質が所望の種による表面の終端をもたらすために導入されるプラズマ、RIE、または他のドライプロセスからなり得る。ポストVSEプロセスは、また、第2のVSEプロセスでもあり得る。終端プロセスは、また、VSEなしに表面の汚染物質が除去される清浄化プロセスも含み得る。この場合には、上記ポストVSEプロセスと同様の洗浄後のプロセスは、所望される表面の終端をもたらす。
もし洗浄プロセスまたはVSEプロセスにより活性化された表面結合が後に十分に弱く表面再構築され、同様の表面との後のボンディングが化学結合を形成し得るようにボンディングの前に十分に清浄に保たれ得るならば、ポストVSEプロセスまたはポスト洗浄プロセスは、所望の種により表面を終端させる必要があり得るかあり得ない。
ウエーハーは任意にすすがれ、次いで乾燥される。2枚のウエーハーは、ボンディング界面を形成するように(必要であれば)整合され、いっしょにされることにより結合される。ついで、ボンディング界面の同じ位置で自発的な結合が典型的に起こり、ウエーハーにわたって進展する。最初の結合が進展し始めるとき、化学結合をもたらす重合のような化学反応が、表面が十分に近接しているときに、表面を終端させるために用いられた種の間で起こる。結合エネルギーは、ウエッジを挿入することにより部分的に解離するボンディング界面で分離された表面の一方の比表面エネルギーとして定義される。ついで、反応の副生成物はボンディング界面からウエーハー端部に拡散し、またはウエーハーにより、典型的には周囲材料内に吸収される。副生成物は、また、拡散するかまたはウエーハーにより吸収される他の副生成物にも変換され得る。共有結合および/またはイオン結合の量は、結合強度の更なる増加をもたらす変換された種の除去により増加し得る。
重合反応の副生成物が容易に除去されるようにボンディング層の材料は、好ましくは、開放構造を有する。対向するボンディング表面上のボンディング種は、強力な結合即ち化学結合を形成するように室温で反応することが可能でなければならない。結合エネルギーは、ウエーハーが異なる熱膨張係数を有するとき、後の加工または操作と関連する後の熱処理の後にウエーハー間のすべりを実質的に除くように十分に強い。すべりがないことは、後の加工または操作の後の検査の際にウエーハーのたわみがないことから明らかである。
貼り合わされたウエーハーは、好ましくは、用いられる材料および種に依存して特定の時間、種または変換された種の除去を可能とするためにボンディングの後に周囲環境で低温または室温で貯蔵される。通常は24時間が好ましい。
貯蔵時間は、用いられるプラズマプロセスのタイプに依存する。Arプラズマのようなある種のプラズマプロセスが用いられるとき、化学結合は分単位でより急速に獲得され得る。例えば、585mJ/m2 の結合は、ボンディングの直後に獲得され、800mJ/m2 を超える結合がArプラズマによりエッチングされ、つづいてNH4 OH浸漬された蒸着された酸化物について8時間後に観察された。
ボンディングの間貼り合わされたウエーハーをアニーリングすることは、ボンディング強度を増加させ得る。アニーリング温度は200℃未満であるべきであり、典型的には、75〜100℃の範囲であり得る。真空下でボンディングされたウエーハーを貯蔵することは、ボンディング表面から残留ガスを除去することを容易にし得るが、常に必要ではない。
上記のプロセスのすべては、室温か室温近くで実施され得る。ウエーハーは、後の加工操作(ラッピング、ポリッシュ、基板除去、化学的エッチング、リソグラフィー、マスキングなど)を可能とするのに十分な強度でボンディングされる。ほぼ500〜2000mJ/m2 以上の結合エネルギーが達成され得る(図8を参照されたい)。
一例において、SiO2 は、Siウエーハー含有デバイス上に堆積される。プラズマ(アルゴン、酸素またはCF4 のような)処理後、表面は、主としてプラズマ系および空気中の水分が利用できるためSi−OH基により終端させられる。プラズマ処理後、ウエーハーは、10〜120秒間のような時間、水酸化アンモニウム(NH4 OH)、NH4 FまたHFのような溶液中に即座に浸漬される。NH4 OH溶液中にウエーハーを浸漬した後、多くのSi−OH基は、以下の置換反応にしたがってSi−NH2 により置き換えられる:
2Si−OH+2NH4 OH 2Si−NH2 +4HOH (1)
代わりに、多くのSi−F基は、NH4 FまたはHF浸漬後にPECVD SiO2 表面上で終端する。
ボンディング表面にわたる水素結合したSi−NH2 :SiOH基またはSi−NH2:Si−NH2 基は、Si−O−SiまたはSi−N−N−Si(またはSi−N−Si)共有結合を形成することにおいて室温で重合し得る:
Si−NH2 +Si−OH Si−O−Si+NH3 (2)
Si−NH2 +Si−NH2 Si−N−N−Si+2H2 (3)
代わりに、HFまたはNH4 F浸漬された酸化物表面がSiOH基に加えてSi−F基により終端させられる。HFまたはNH4 F溶液は、酸化シリコンを強力にエッチングするので、その濃度は、適切に低いレベルに制御されねばならず、浸漬時間は十分に短くなければならない。これは、ポストVSEプロセスが第2のVSEプロセスであることの例である。ボンディング界面間の共有結合は、水素結合されたSi−HFまたはSi−OH基のあいだの重合反応により形成される:
Si−HF+Si−HF Si−F−F−Si+H2 (4)
Si−F+Si−OH Si−O−Si+HF (5)
図9は、室温ボンディングの前に0.05%HF中に浸漬された、貼り合わされた熱酸化物被覆シリコンウエーハーのフッ素濃度プロファイルを示す。フッ素濃度ピークは、ボンディング界面で明らかに観察される。このことは、上記化学プロセスの存在証明を提供し、その場合、所望の種は、ボンディング界面に位置する。
反応(2)は、〜500℃の比較的高温でのみ可逆的であるので、形成されたシロキサン結合は、より低い温度でNH3 により攻撃されるものではない。H2 分子は小さく、酸化物中の水分子より約50倍高速に拡散することが知られている。適切な厚さ、すなわち数nmの表面近くの損傷された層の存在は、この層中の反応(2)、(3)、(4)および/または(5)におけるNH3 およびHFおよび水素の拡散または解離を容易にし、化学結合の強化を促進する。3つの反応は、NH3 またはH2 を拡散させるための貯蔵時間後に室温でのSiO2 /SiO2 結合対の結合エネルギーを高める。
この例において、プラズマ処理は、ボンディング表面近くの酸化物層において損傷領域または欠陥領域を作り出し得る。その領域はいくつかの単層に広がる。損傷領域または欠陥領域は、結合する副生成物の除去を助ける。副生成物は、高強度結合が形成することを防止することによりボンディングプロセスを阻害し得るので結合する副生成物の効率的な除去はボンディング強度を改善する。
材料の多くの異なる表面は、本発明によるボンディングの準備のため、平滑化され、および/または平坦化され、その後洗浄プロセスに供され得る。それらの材料は、洗浄および/またはVSE、活性化および終端を含む十分な平坦性、表面平滑性およびパッシベーションを有する表面を合わせるにより室温ボンディングされ得る。アモルファスおよび焼結材料、平坦でない集積回路、およびシリコンウエーハーはそのような材料の例である。
SiO2 またはSi表面のような単結晶半導体または絶縁表面は、また、所望の表面粗さ、平坦性および清浄度を備えることができる。高真空または超高真空中に表面を維持することは、本発明による強力なボンディングを達成するために汚染および原子的再構成が十分に存在しない表面を得ることを単純化する。InP、GaAs、SiC、サファイアなどのような他の半導体または絶縁体材料もまた用いられ得る。また、PECVD SiO2 は、低温で多くのタイプの材料上に堆積され得るので、材料の多くの異なる組み合わせが室温で本発明によりボンディングされ得る。適切なプロセスおよび化学反応がVSE、表面活性化および終端のために有用である限り、他の材料もまた堆積され得る。
比較的厚い(〜5nm)酸化物層が形成されるならば、水分子がこの厚い層を拡散するのに長い時間がかかるであろう。他方、もしプラズマ処理後、薄い酸化物層が残されるかまたは非常に狭い欠陥ゾーンが形成されるならば、シリコン表面に達し得る水は、シリコンと十分に反応し得ず、水素に変換し得る。両方の場合に、結合エネルギーの上昇は制限されるであろう。かくして、好ましい酸素プラズマ処理は、シリコン表面上に最小限のプラズマ酸化物厚さ(例えば、約0.1〜1.0nm)および妥当的に厚い欠陥ゾーン(例えば、約0.1〜0.3nm)を残す。
第2の態様において、VSEプロセスは、ウエット化学物質を用いる。例えば、第1の態様におけるような堆積されたシリコン酸化物層を有するInPウエーハーおよびデバイス層は、堆積された酸化物層を有するAlN基板にボンディングされる。InPウエーハーボンディング表面およびAlNウエーハーボンディング表面を平滑化し、平坦化した後、両方のウエーハーは、標準RCA洗浄溶液中で洗浄される。ウエーハーは、好ましくは、0.01〜0.2%の範囲のHF濃度を有する希釈HF水溶液を用いてきわめてわずかにエッチングされる。nmの約数十分の1が除去され、表面の平滑性は、AFM(原子間力顕微鏡)測定により測定すると低下していない。脱イオン水によるすすぎなしに、ウエーハーはスピン乾燥され、室温で周囲空気中でボンディングされる。得られる結合エネルギーは、空気中での貯蔵後、〜700mJ/m2 に達することが測定された。75℃でこの結合対をアニーリングした後、1500mJ/m2 の結合エネルギーが得られた。結合エネルギーは、100℃でのアニーリングの後、シリコンバルク破壊エネルギー(約2500mJ/m2 )に達することが測定された。もしウエーハーがHF浸漬後に脱イオン水によりすすがれるならば、100℃での結合エネルギーは、200mJ/m2 に減少し、それは、すすぎなしで得られる値の約10分の1である。このことは、終端種としてOHよりFが好ましいことを示す。
ボンディングの第1の例として、3インチ<100>、1〜10ohm−cm、ホウ素ドープシリコンウエーハーを用いた。PECVD酸化物をシリコンウエーハーの一部の上に堆積させた。比較のために熱酸化シリコンウエーハーも研究した。PECVD酸化物の厚さは、ウエーハーの前面側と背面側でそれぞれに0.5μmおよび0.3μmであった。酸化物は、ポリッシュの間のウエーハーのたわみを最小化し、平坦化を改善するためにウエーハーの両側に堆積させる。ソフトポリッシュを、酸化物の約30nmを除去し、初めに〜0.56nmの微小ラフネス(RMS)の二乗平均を有する前面酸化物表面を最終的に〜0.18nmまで平滑化するために行う。改変されたRCA1溶液を用いてウエーハー表面を洗浄した後、スピン乾燥した。
2枚のウエーハーをプラズマシステムに入れ、両ウエーハーをRF電極上に配置し、RIEモードでプラズマ中で処理した。比較のために、いくつかのウエーハーを、それらのウエーハーが接地電極上に置いて、プラズマモードで処理した。酸素プラズマを、16scc/mの公称(nominal)流量で用いた。RF電力は、13.56MHzで20〜400W(典型的には80W)であり、真空レベルは、100mTorrであった。酸化物で被覆されたウエーハーを、15秒〜5分間、プラズマ中で処理した。ついで、プラズマ処理されたシリコンウエーハーを、適切な溶液中に浸漬し、または脱イオン水ですすいだ後、スピン乾燥し、空気中で室温ボンディングした。また、プラズマ処理されたウエーハーのいくつかを、すすぎまたは浸漬なしに、空気中で直接貼りあわせた。
結合エネルギーは、下記式に従って、亀裂長を測定するために界面にウエッジを挿入することにより測定された。
γ=3tb 2 1 w1 3 2 tw2 3 /16L4 (E1 w1 3 +E2 w2 3
Eおよびtwは、ウエーハー1および2についてのヤング率および厚さであり、tbは、ウエーハーのエッジから長さLのウエーハー分離をもたらす2枚のウエーハーの間に挿入されたウエッジの厚さである。
貼り合わされたプラズマ処理された酸化物被覆されたシリコンウエーハーの貯蔵時間の関数としての室温結合エネルギーが図8に示されている。この図は、示された4つの異なる事例についての測定された室温結合エネルギー対貯蔵時間を示す。結果は、以下のように要約することができる。(1)浸漬され、貼り合わされたRIEプラズマ処理された酸化物ウエーハーについては、室温での結合エネルギーは貯蔵時間とともに増加し、空気中または低真空で〜20時間後に安定な値に達する。(2)RIEモードは、プラズマモードより高い結合エネルギーをもたらす。(3)きわめて短いプラズマ暴露時間またはきわめて低いプラズマ電力は、結合エネルギーについて小さいかまたは無視し得る増加を与える。(4)プラズマ処理後のNH4 OH浸漬は、水によるすすぎよりもはるかに高い結合エネルギーの増加を示す。(5)浸漬またはすすぎなしでのプラズマ処理後の空気中での直接貼りあわせは、時間に関してほとんど一定の結合エネルギーを示す。室温ボンディング直後の直接貼り合わされたウエーハー対の結合エネルギーは、脱イオン水ですすがれたかまたはNH4 OHに浸漬されたウエーハー対よりわずかに大きい。
図9は、PECVD酸化物堆積層を有するSiおよびAlNウエーハーの室温ボンディングを示す。約100時間の貯蔵時間の後、2000mJ/m2 を超える結合エネルギーが観察された。
異なるボンディング材料を比較すると、O2 プラズマ処理された熱酸化されたシリコンウエーハー対の貯蔵時間の関数としての結合エネルギーはPECVD酸化物を有するウエーハーと同様である。しかしながら、室温結合エネルギーの値は、幾分か低い。
室温で空気中で〜24時間の貯蔵後、〜1000mJ/m2 という高い結合エネルギーが、RIEモードでプラズマ処理され、NH4 OH浸漬されたPECVD酸化物で被覆されたウエーハー対で達成された。ファンデルワールス結合したシリコン酸化物被覆されたウエーハー対の最大結合エネルギーが約200mJ/m2 であるので、結合エネルギーの大部分は上記式にしたがって室温でのボンディング界面での共有結合の形成に寄与する。
表面は、プラズマまたはRIEモードでラジカル、イオン、フォトンおよび電子のようなエネルギー粒子によりスパッターエッチングされる。例えば、所望のVSEをもたらす条件の下でO2 プラズマは、反射分光測定法により測定して、約2Å/分のPECVD酸化物をスパッターエッチングする。熱酸化物については、スパッターエッチング速度は、約0.5Å/分である。プラズマ処理の前後の酸化物の厚さは、反射分光学により測定され、それぞれのウエーハーについて98測定点から平均した。O2 プラズマによるエッチングは、酸化とスパッタリングにより表面を清浄化するのみならず、ウエーハー表面上の酸化物の結合も破壊する。
しかしながら、プラズマ処理された酸化物表面の表面粗さは、エッチングプロセスにより劣化してはならない。AFM測定は、初期表面粗さと比較して、O2 プラズマ処理された酸化物ウエーハーのRMSは〜2Åであり、顕著には変化しなかったことを示す。他方、もしエッチングが十分に強力でないならば、結合エネルギーの増強効果もまた小さい。
他の条件を変えずに維持しO2 プラズマ処理をRIEモードよりもむしろプラズマモードで行うと、酸化物表面のエッチングは無視し得るものであり、酸化物の厚さは変化しない。最終的な室温結合エネルギーは、RIE処理されたウエーハーの1000mJ/m2 と比較してわずか385mJ/m2 である(図8を参照されたい)。
他のガスプラズマも同様の効果を示した。CF4 /O2RIEを、ボンディングの前にウエーハー表面からPECVD酸化物の〜4nmを除去するために用いた。室温ボンディングされたPECVD酸化物被覆されたシリコンウエーハーの結合エネルギーもまたこの様式で顕著に高められ、十分な貯蔵時間の後1000mJ/m2 を超える(図8も参照されたい)。
アルゴンプラズマもまた、16scc/mの公称流量でVSEのために用いられてきた。RF電力は典型的には13.56MHzで60Wであり、真空レベルは、100mTorrであった。酸化物被覆されたシリコンウエーハーを、30秒〜2分間、RIEモードでプラズマ中で処理した。ついで、プラズマ処理されたシリコンウエーハーをNH4 OH溶液中に浸漬した後、空気中でスピン乾燥し、室温ボンディングした。結合エネルギーは、空気中で、室温で、わずか8時間の貯蔵後、〜800mJ/m2 に達した。
各ウエーハーは、表面11、14内に、一組の金属パッド12、15および該金属ボンディングパッドに隣接する非金属領域を含む。金属ボンディングパッドの非平坦性および表面粗さは、化学的ボンディング表面のそれより大きくあり得る。パッド12、15は、ウエーハー上にあらかじめ製造されたそれぞれのデバイスおよび/または回路への電気的接続を行わせるために用いることができる。パッドは、好ましくは、表面処理の前に形成され、VSEは、好ましくは、パッドが形成された後に行われる。図1Aにおいて示されているように、パッド12、15は、整合されたそれぞれのウエーハー上に存在する。図1Bは、それぞれのパッドを接触させるためにウエーハーをいっしょにする際のウエーハーを示す。この段階では、パッド12、15は、分離可能である。図1Cにおいては、わずかな付加的な圧力が、半導体ウエーハーの一方または両方を弾性的に変形するためにウエーハーに適用され、ウエーハー上の非金属領域の一部の間に接触を生じさせる。接触について示されている位置は一例であり、接触は、異なる位置でなされ得る。また、接触は、1以上の点で起こり得る。この接触は、化学的なウエーハー対ウエーハーボンディングを開始させ、貼り合わされた構造は、図1Dに示されている。ボンディングシーム16は、初期の化学的結合の後に拡張し、図1Dに示されるボンディングシーム17を作り出す。結合強度は、最初は弱く、上述のようにボンディングが進展するとともに増大する。対向する非金属領域は、室温または低温で化学的に結合される。
より詳細には、金属ボンディングパッドを含むウエーハー表面が室温で接触するとき、対向するウエーハー表面の接触する非金属部分は接触点で結合を形成し始め、ウエーハー間の吸引的な結合力は、接触化学結合領域が増加するときに増加する。金属パッドの存在がなくとも、ウエーハーは、ウエーハー表面全体にわたって結合する。本発明によれば、金属パッドの存在は、対向するウエーハー間のボンディングシームを妨げるが、化学的なウエーハー対ウエーハーのボンディングを阻害しない。金属ボンディングパッドの可鍛性および延性のために、非金属領域における化学的なウエーハー対ウエーハーボンディングにより発生する圧力は、金属パッド上の非平坦のおよび/または粗面の領域が変形され得る力を生じさせ得るものであり、金属パッドの平坦性および/または粗面度の改善および金属パッド間の緊密な接触をもたらす。化学的なボンディングにより発生する圧力は、金属パッドが互いに緊密に接触するために適用される外部からの圧力の必要性を軽減するのに十分である。強力な金属結合は、合わされている界面における金属原子の相互拡散または自己拡散のために、室温においてさえ、緊密に接触する金属パッド間で形成され得る。
この拡散は、表面自由エネルギーを減少させるように熱力学的に駆動され、典型的に、高い相互拡散および/または自己拡散係数を有する金属について増強される。それらの高い拡散係数は、拡散中に金属イオンの動きにより乱されない移動性自由電子ガスにより典型的にはほとんど決定される凝集エネルギーの結果である。従って、非金属領域のウエーハー対ウエーハー化学結合は、2つの異なるウエーハー上の金属パッド間の電気的接続に影響を与える。この影響を支配する幾何学的で機械的な拘束要件を以下に記載する。
ボンディングパッドの周りの幅Wを有する結合していない非ボンド領域が作り出され、そこでは2枚のウエーハーの非金属表面の接触が排除されている(図1Dを参照されたい)。金属フィルムの厚さがあまり大きくない限り、2枚の結合するウエーハーまたはダイ間の間隙は、減少させて各金属パッドの周りの小さな非ボンド領域を残すことができる。
これは、図2A〜2Cに示されており、そこでは、金属パッド21を有するウエーハー20は、パッド23を有するウエーハー22に貼り合わされる準備ができている。間隙24は隣接するパッド間に存在する。金属パッドは接触され(図2B)、ウエーハーはボンド25を形成するように間隙24においてボンディングされるように弾性的に変形する(図2C)。図2A〜2Cでの寸法は、正確な倍率ではないことに注意されたい。
金属フィルムの厚さ、ウエーハーまたはダイの機械的特性、ウエーハーまたはダイの厚さ、結合エネルギーの関数としての非ボンド領域の幅を計算するための式を以下に示す。
図2Dは非ボンド領域の間隙の高さ2hと幅wとの関係を示すグラフである。ウエーハーの変形がヤング率Eにより与えられる弾性係数に従い、ウエーハーが、それぞれ、薄いプレートの小さなたわみの単純な理論に従ってtw の厚さを有するとき、結合していない領域の幅Wは、W>2tw については、以下の式により概算され得することができ、そこでは対としての金属ボンディングパッドはウエーハー表面上に2hの高さを有する:
W=[(2E’tw 3 )/(3γ)]1/41/2 (1)
式中、E’はE/(1−ν2 )により与えられ、νはポアソン比である。
hが減少すると、状況は劇的に変化することが示唆されている。例えば、U.ゲーゼレおよびQ.Y.トン、Proc.ザ・セカンド Intl. シンポジウム・オン・セミコンダクター・ウエーハー・ボンディング、エレクトロケミカル Soc.Proc.Vol.93−29、395ページ(1993)を参照されたい。式(1)により計算されるWが、h<hcrit(ここで、hcrit=5(twγ/E’)1/2 )に対応するWcrit=2tw を下回る値となるならば、そのときは、弾性的不安定性が発生すると考えられ、ウエーハー厚さtw に独立であり、かつ
(式中、kは1のオーダーの無次元定数である)により与えられるはるかに小さなWを有する非ボンド領域をもたらす。実験的には、図2Dに示されるように、もしh<300Åならば、Wは式(1)により予測される値よりはるかに小さい。本出願の発明者による更なる研究によれば、もし金属ボンディングパッド対の間の離間2Rが2Wより小さいならば、ウエーハー対は互いにボンディングされ得ないことを示した。しかしながら、2R>2Wであるとき、金属(メタル)ポストの周りの2つの非ボンド領域の間の表面はボンディングされ、金属ポストも結合し、電気的に接続される。
周辺領域のボンディングにより発生するメタルボンディング対上の圧力Pは、
P=(16E’tw 3 h)/(3W4 ) (3)
として表現され得る。
式(3)を式(1)または(2)と組み合わせると、W>2tw であるとき、以下の式が得られる:
P=8γ/3h (4)
そして、W<2tw であるとき、以下の式が得られる:
P=(16E’tw 3 )/(3k4 3 ) (5)
金属パッドが500Åの高さhを有し、結合エネルギーが300mJ/m2 である貼り合わされたシリコンウエーハーについては、金属ボンディングパッド上の圧縮圧力は、約1.6×108 ダイン/cm2 、すなわち160気圧である。この圧力は金属ボンディングのためには十分に高いので、ボンディングの間にいずれの外的圧力を適用する必要も存在しない。金属高さhが300Å以下であるとき、W<2tw が満足され、金属対上の圧力は、もしk=1が仮定されるならば、5000気圧台となる。
本発明の第1の態様の一例において、300Å未満の厚さおよび1mmの離間距離を有する5mm直径のAuボンディングパッドを酸化物被覆された100mmシリコンウエーハー上に堆積させた。Auボンディングパッドは酸化物の表面上に形成したので、それもまた、酸化物の表面上に300オングストロームの高さを有していた。しかしながら、hは、実際の金属厚さよりはるかに小さくなり得る。というのは、金属は、酸化物または他の絶縁体中に部分的に埋められ得るものであり、hは、ダイ表面を超えて延びる金属の高さであるからである。金属表面と酸化物表面を相容性を持って同時に洗浄し、活性化する室温ボンディング技術が開発されてきた。Auポストは、金属厚さおよび結合エネルギーに依存して、ある時間、例えば、60時間空気中での貯蔵の後、外部からの圧力を用いることなく、周囲環境で、ウエーハーレベルで室温結合することにより金属結合を形成した。結合した界面の間にウエッジを打ち込むことにより、ウエーハー対が強制的に分離されるとき、AuまたはAu/酸化物層のいずれかがシリコン基板からはがれ、形成された金属対金属結合が酸化物表面上のAuパッドまたはシリコン表面上の酸化物の接着より強力であったことを示した。上述のように、強力な金属結合は、表面自由エネルギーを減少させるような合わせ界面上の金属原子の相互拡散または自己拡散のために室温で緊密に接触した金属パッド間で形成され得る。金属原子間の相互拡散または自己拡散係数は、温度とともに幾何級数的に増加し、完全な金属結合を達成するための貯蔵時間を短くするためには、アニーリングが室温ボンディングの後に実施され得る。温度が高くなると、Auポスト間の金属結合形成のための好ましいアニーリング時間は短くなる。この場合には、100℃については、5時間が好ましく、150℃については1時間が好ましく、250℃については5分が好ましい。非金属周囲領域のボンディングにより発生する高圧のために、より薄い金属は、より厚い金属よりもボンディングについてはより低温ですむ。Auの厚さ(即ち高さ)が増加するとき、室温および高温での金属結合の形成のための時間はより長くなる。例えば、Auパッドの厚さhが600Åであるとき、金属結合を形成させるためには250℃で5分間が必要とされ、一方、h=500Åでは、15分が必要とされる。
現行の集積回路のフリップチップボンディングにおいて、はんだボールのピッチは、約1000μmである。それゆえ、匹敵するまたは1000μm未満のボンディングされた金属ポストの周りの非ボンド領域の幅は、実際の適用のためには十分に小さい。実質的にこの値未満の非結合領域の幅は、この方法により獲得され得る。例えば、実験結果は、h=200Åであるとき、Wは20μmであり、h=300ÅであるときWは30μmであることを示す。hはダイ表面上に延びた金属の高さであるので、hは実際の金属厚さよりはるかに小さくなり得るものである。というのは、金属は酸化物または他の絶縁体中に部分的に埋め込まれ得るものであり、200Å未満のhは容易に達成され得るからである。
この場合には、金属パッドの周りの非ボンドリングの幅は、ゼロに近接し得る。上記メタルパッドは、限定されないが、スパッタリング、エバポレーション、レーザーアブレーション、化学蒸着および<100Å範囲の厚さ制御が典型的である当業者に既知の他の技術のようなプロセスにより形成され得る。
図3A〜3Cは、2つの異なる完全に加工されたダイが貼り合わされる本発明の第2の態様に従うプロセスの概略図である。ダイは、本発明が均一であり、平坦な層厚さ以外の他の場合にも用いられ得ることを例証するために、平坦であるが不均一な層厚さを有することが示されている。このプロセスにおいては、図3Aに示されるように、分離しているダイ30(説明の便宜のためにダイ30の酸化層のみが示されている)は金属パッド31を有する。ダイは、半導体デバイスを含むシリコンウエーハーであり得、回路は、SiO2 の対向表面を有する。表面32は、CMP操作の後に得られる。
図3Bに示されるように、ビア36が形成され、メタルパッド31と接続するように金属で満たされ、金属配線33がビア36中の金属と接続するようにウエーハー30上に形成され、SiO2 または他の絶縁材料の厚さt2 の層34がウエーハー30上に形成される。幅w2 を有するSiO2 層の部分35は、金属パッド35を暴露させるために除去された。層34の表面は、ポリッシュまたはポリッシュとわずかなエッチングを含む共に係属する出願09/410,054、09/505,283および09/532,886に記載されているように処理される。
図3Cにおいて、第2のウエーハー37は、パッド38、金属で満たされたビア39および示されているように形成されている配線40を有する。配線40は幅w1 および高さt1 を有する。ウエーハー37の表面41は、上述のように表面32のように処理された。分離したダイ30および37は整合され、図3Dに示される貼り合わせ構造を作り出すために一方から他方に接触させる。以下の関係を有するものである。
1 =t2 +δ1 およびw1 =w2 +δ2
式中、t1 およびδ1 は、用いられる堆積技術について可能な最小厚さであることが好ましく、δ2 は2h=t1 の場合に対応して2Wであるべきである。貼り合わされる両方のダイについて設定されるh=t1 と比較すると、非ボンド領域の幅Wは有意に減少する。このようにしてウエーハー30および37上のパッド間の相互接続が形成される。もし両方のダイにおけるt1 が臨界厚さhcrit未満であるならば、そのときは、層34は必要ではない。
室温での2枚のウエーハーの最初の接触の間、金属パッドは整合され、ボンディングするウエーハーの表面トポグラフィーによる間隙が十分に小さく、結合エネルギーγが十分に大きいならば、本発明により、ウエーハーの表面は弾性的変形により互いに合致する。
本発明によれば、直接ボンディングは、隣接するダイ上のデバイスまたは回路の間およびウエーハー表面の間の金属配線を形成する接続された材料の間で起こる。ボンドは、接触すると形成し始め、結合強度は、室温で増大し、金属結合を形成する。
第1の態様におけるように、金属パッド33および40を含むウエーハー表面32および41が接触し、対向するウエーハー表面32および41の接触する非金属部分が接触点でボンドを形成し始め、結合力は、接触結合領域が増加すると増加する。金属パッド33および40の存在がなくとも、ウエーハーは、ウエーハー表面全体にわたって結合するであろう。本発明によれば、金属パッド33および40の存在は、対向するウエーハー間のボンディングシームの形成を妨げるが、ウエーハー対ウエーハーボンディングを妨げない。むしろ、非金属領域でのウエーハー対ウエーハー接触により発生する圧力は、金属パッド33および40が接触する力に変換される。外部からの圧力は必要ではない。
本発明の方法は、高真空または超高真空(UHV)条件に限定されず、むしろ、周囲雰囲気条件で行うことができる。従って、本発明の方法は、低コストで大量生産ができる製造技術である。本発明によれば、結合する金属フィルムのサイズは、自由度があり、きわめて小さくすることもできる。というのは、直接金属ボンディングは分子間吸引力にのみ依存するからである。
直接金属ボンディングは、半導体デバイスのよりすぐれた熱管理およびパワー容量のために好ましい。本発明によれる直接メタルボンディングは、フリップチップボンディングを縮尺可能なはるかに小さなボンディングパッドと置換し得る。さらに、この金属ボンディングは、新規な金属ベースデバイス(半導体−金属−半導体デバイス)を実現するために用いることも可能である。例えば、T.シマツ、R.H.モレマ、D.モンズマ、E.G.ケイムおよびJ.C.ロッダー、IEEE Tran.Magnet.33,3495(1997)を参照されたい。
さらに、本方法は、VLSI技術と適合する。ウエーハーが完全に加工されているとき、直接金属対金属ボンディングを行うことができる。本発明の直接金属対金属ボンディングは、また、熱膨張の差由来の影響を最小化するために室温ボンディングを利用する。というのは、ほとんどすべての金属は、シリコンまたは二酸化シリコンより有意に大きな熱膨張係数を有するからである。
本発明は、局所的に、またはウエーハー表面領域全体にわたって結合させ得る。金属/金属、酸化物/酸化物、半導体/半導体、半導体/酸化物、および/または金属/酸化物領域が室温で2枚のウエーハーの間で結合され得るように、本発明は、それらの例に限定されないが、異質な表面を結合させる。
多数の利点が本発明により提供される。例えば、ウエーハー貼り合わせの他の方法および電気的に相互接続された構成要素の電気的接触は、ウエーハー貼り合わせ後に、貼り合わせ基板の薄化、ビアエッチングおよび金属堆積を必要とする。本発明は、電気的相互接続を形成するためのこれらのボンディング後のプロセス工程の必要性を排除する。この排除の利点には、ダイの薄化により引き起こされる機械的損傷の排除も含まれる。さらに、深いビアエッチングの排除は、段差被覆の問題を解消し、電気接続をより小さな寸法とすることを可能とし、より小さなフットプリントを有する電気接続および貼り合わせウエーハー間の電気的寄生の減少をもたらす。本方法は、他の標準的な半導体プロセスと適合し、VLSIコンバチブルである。
本発明は、それ自体、3−D SOC(3次元システム・オン・チップ)の製造と適合する。貼り合わせダイ間にプラグを用いる金属パッドまたは配線のこの垂直金属ボンディングは、SOC製造プロセスを有意に単純化し、SOC速度−パワー性能を有意に改善する。本発明の直接金属対金属ボンディングは縮尺可能であり、マルチダイスタッキングSOCに適用可能である。
金属対金属接続を形成するのに必要な力の発生に加えて、本発明は、低い抵抗が1つのデバイスから別のデバイスへの電気的接続のために望ましいことを認識している。低い抵抗の金属ボンディングは、本発明によれば、金属ボンディング用金属パッドの酸化物の存在しない表面またはほぼ酸化物の存在しない表面により容易となる。例えば、Au表面は、表面に酸化物を残さずに紫外線/オゾンと窒素のプラズマにより清浄化され得る。
本発明に別の態様において、ボンディング金属パッドの表面(例えば、AlまたはCuのような金属から製造される)は、耐酸化性金属、例えば、金(Au)層または白金(Pt)層で被覆される。AuおよびPtの両方は不活性金属であるので、酸化物は表面上に形成されない。AuまたはPtとホスト金属との間に最少量の酸化物が存在することを保証するために、好ましくは、ボンディングプロセスの直前にスパッタリング洗浄およびエバポレーション堆積が用いられる。
本発明の第1の態様の変形において、薄い金属オーバーコート層を金属パッド上に形成し、上述のようにボンディングすることができる。例えば、Alパッド上の50Åという薄いAu層のような層は、室温で有効な金属パッドボンディングを作り出した。それゆえ、Auのような金属をボンディング層として用いることができ、本発明の手順により室温での直接ボンディングのためにほとんどすべての金属が利用されることを可能とする。絶縁体層を完全に加工されたウエーハー上に堆積し、コンタクト開口を金属パッド上に形成した後、コンタクトウインドウの深さより100Å厚い金属堆積物を形成するとき、金属パッドは100Åだけ酸化物層上に延出し、パッドは、きわめて短い距離、例えば20μm、互いに分離され得る。
AuまたはPtに加えて、パラジウム(Pd)が被覆層として本発明において利用された。Pdは良好な耐酸化性を有する。特に接触圧が非金属ウエーハー表面領域のボンディングにより金属ボンディングパッド上に加わるとき、Pd上のPdの表面拡散性はきわめて高く、室温でさえ、Pdの有意の物質移動をもたらす。2つのPdボンディング層間の自然酸化物は、存在する場合、機械的に処置され、2つの接触した金属ボンディングパッド間の物理的界面のPdによる完全な被覆を可能とする。
本発明の第1の態様の別の変形において、UV/オゾン洗浄は、UV光の下で金属ボンディングパッドの表面を高いオゾン濃度に暴露し、炭化水素汚染物を除去する。金属ボンディングパッドの表面上の残留炭化水素は金属ボンディングを劣化させ、ボンディング界面間の泡形成のための核形成部位となり、接触した表面間でガス放出を生じさせる。
実験は、UV/オゾン処理が界面泡形成を防ぎ得ることを示した。シリコンウエーハーのHF浸漬は、ほとんどHにより終端される疎水性表面をもたらす。疎水性シリコンウエーハーを、15分間室温で2つの235WのUVランプからの1850Åおよび2540ÅのUV照射と組み合わされた4.77g/m3 の濃度のオゾンにより処理した後、2回目のHF浸漬とボンディングを行う。HF浸漬された疎水性シリコンウエーハーの貼り合わせ対は、15時間300℃〜700℃でのアニーリングの際に界面の泡を発生させず、それぞれの温度で、ウエーハー表面からの炭化水素の有効な除去を明確に示す。
AuとPtについては、金属表面上の金属酸化物の形成なしにボンディングの前にUV/オゾン洗浄を用いることが適切である。オゾンにより酸化され得る他の金属については、該金属上のAuの薄層は酸化を防止しうるものであり、あるいは酸化は、例えば、ボンディングの前にNH4 OH中での浸漬により除去され得る。加えて、例えば、プラズマチャンバ内の窒素およびアルゴンのような不活性ガスのみによる反応性イオンエッチモード(RIE)でのプラズマ処理のような不活性ガスによるプラズマ処理は、本発明によれば、金属表面を洗浄し得るものであり、金属/金属および酸化物/酸化物結合の両方について室温で結合エネルギーを高める。さらに本発明は、酸素プラズマがAuおよびPtのような金属の表面から汚染を除去するために用いられ得ることを見いだした。
多数の表面調製処理および金属/金属および酸化物/酸化物および半導体/半導体の例が記載されてきたけれども、他の表面および調製手順が本発明に従って用いられ、その場合は、対応する金属、絶縁体、および半導体表面は、室温でのボンディングの形成が阻害されないように接触の前に十分に洗浄される。Au保護またはAuボンディングの場合には、本発明により開発されたプロセスは、金属および二酸化シリコンと適合する。CMPおよび酸化物表面の表面平坦化および平滑化の後、金属ボンディングパッドが上記のようにボンディングウエーハー上に形成され、修飾されたRCA 1(H2 O:H2 2 :NH4 OH=5:1:0.25)、UV/オゾン、およびプラズマ処理はボンディング表面の粗面化なしに金属と酸化物の両方の表面を洗浄する。いずれにせよ、室温での標準29%NH4 OH浸漬は、二酸化シリコン表面を劣化させることなく金属表面上のパーティクルと酸化物を除去する。スピン乾燥と室温でのボンディングと貯蔵の後、強力な共有結合と金属結合が、酸化物層と金属表面のそれぞれの間のボンディング界面で自発的に形成される。図1A〜1Dに示される平坦に近いボンディング構造に加えて、他の構造もまた本発明の原理を利用し得る。例えば、第2の態様が図4A〜4Cにおいて示されており、それらにおいては、配線を介して金属を含むウエーハーはより小さなダイに結合されている。図4Aは、金属配線51を含む基板50の拡大図を描写する。図4Aにおいて、金属配線は、PECVD酸化物、熱酸化物、またはスピン−オンガラスのような二酸化シリコン層52の中に埋め込まれている。配線51は、すでに検討したようにある高さまで層52の上に延びる。図4Aはまた、金属接触54および二酸化シリコン層55を有する小さなダイ53も示す。
両方のダイ上に二酸化シリコンのような材料の絶縁層58を形成した後、標準的なビアエッチと金属充填を行い、続いて化学的かつ機械的なポリッシュを行い、表面処理をボンディングのために層58を調製するために用いる。図4Bは、相互的な(reciprocal)金属ボンディングパッド56および57を有する対向するウエーハーの対を描写する。図4Cは、それら2つの対向する基板の接触と、ボンド59を形成するその後のボンディングを示す。
ここでも、先のように、非金属領域のボンディングはダイ間の金属対金属接続を形成するのに必要な力を発生させる。図4Cに描写されるように、酸化物層のボンディングは、金属ボンディングパッド56および57の直接金属対金属接触のために必要な結合力を発生させる。図4Dに示されるように、複数のダイ53を調製することができ、ダイ60にボンディングさせることができる。
本発明の第1と第2の態様の金属対金属直接ボンディングにおいて、ダイ表面上に延びるボンディング金属フィルムの厚さは、メタルポストの周りの非ボンドリング領域を最小化するために薄いことが好ましい。さらに、ボンディング金属パッドの厚さは縮尺可能であり、VLSIに適合し得るサイズの金属ポストまたはパッドが作られ、結合され得る。
金属薄膜の厚さがある値を下回るとき、非ボンドリング領域の幅は有意に減少し、それゆえ、メタルポスト間の離間は、用いられる金属ボンディングパッド間の小さな離間(例えば、<10μm)を許容する。
本発明の第3の態様は、別々のウエーハー上に形成される金属部分間の許容可能な電気的接続を維持しながら金属近くの非ボンド領域での非金属表面上の金属の高さの顕著な増加および/または顕著な減少を可能とする。この態様では、電気的接触を形成する金属材料の近辺の材料の変形は、非金属部分のウエーハー対ウエーハー化学結合に由来する金属表面での圧力から生じるように設計されている。この変形は、ボンディングプロセスが完了した後金属に適用される圧力をより小さくし得るが、金属部分間の許容可能な電気的接続を形成するのに適切な圧力をもたらし得る。この変形は、金属表面近くの間隙が有意に減少するかまたはなくなることを可能とする。
電気的接触を形成する金属材料の近くでの変形可能な材料の目的は、金属表面近くの間隙が有意に減少するかまたはなくなるように、非金属表面の化学的ボンディングにより発生した圧力を、それぞれの表面中に十分に金属材料を凹設させるのに十分なものとすることである。一般的に、変形可能な材料は非金属部分で構成されている。というのは、ウエーハー対ウエーハーの化学結合により発生する圧力は典型的には典型的な金属を変形させるのに必要とされる圧力の約1万に対する1部、即ち1%の1%であるからである。それぞれの表面中の金属の凹部は、非金属表面上の金属表面の出発高さが凹設後の高さより実質的に高くなることを可能とする。これは、ボンディングのためにウエーハーを調製するのに必要とされる金属表面の許容度およびその後の該態様の製造効率を著しく高める。その変形は、また、金属の周りの非ボンド領域を実質的に減少させるかなくし、所定の部分で作られ得る接続の数の実質的な増加を可能とし、ボンディングされ相互接続される部品の結合強度を増加させる。
上記変形は、図5Aに示されているように、金属表面の下の非金属領域の包含により可能とされる。基板55を有するダイは、別のデバイス上の対応する層に結合されるべき層51上に形成される金属パッド50を有する。低K誘電材料のような変形可能な非金属材料で満たされた領域53は、標準的なフォトリソグラフィー、エッチングおよび堆積技術により層52中に形成される。層52と領域53は層54上に形成される。いずれかの数の層が基板54上に形成され得る。また、領域53は、はるかに大きくなり得るし、層52は、図5Bに示されるように低K材料で形成され得る。
領域53は真空を含むボイドまたは空気のような圧縮可能なガスでも有り得るし、または、それは、ボンディングにより発生する圧力が金属を領域に向けて変形させる、十分に低い圧縮性を有する圧縮可能な非気体状固体材料でもあり得る。ボイドは、化合物半導体集積回路製造において普通の金属空気橋を製造するために用いられるのと同様の様式で形成され得る。この製造の一例は以下の通りである。1)平坦な非金属表面中に凹部をエッチングする。2)除去可能な材料が凹部の中にあるが、凹部の外側にはないようにフォトレジストのような除去可能な材料で凹部を埋める。このことは、例えば、フォトレジストの通常のスピンコーティングにより行うことができ、その後、凹部の外側より凹部の中のフォトレジストを厚くし、凹部の外側の材料を除去するには十分であるが凹部の中の材料を除去するには十分でない量のフォトレジストのブランケット(パターン化されていない)エッチングを行う。3)凹部を横切るが凹部を全体的には覆わない金属をパターニングし、凹部の暴露された部分を残す。4)凹部の暴露された部分にアクセスすることにより凹部の中の除去材料を除去する。圧縮可能な非気体状固体材料の例は、半導体製造において用いられる低K誘電体である。この領域の深さは、典型的には、非金属表面上の金属の所望の高さに匹敵するかまたはそれより深い。図5Aのダイが結合されるべき別のダイは、また、パッド50に結合される金属パッドの下の対応する位置の中の領域53のような領域も有する。これは図5Cに示されているが、そこでは、図5Cは概略図であり、正確な倍率では示されていないことに注意されたい。ここで、パッド50および56は層51および57のボンディングにより発生する圧縮力によりボンディングされる。図5Cの上部ダイは、層58の中のボイドまたは低K材料領域59上に形成されるパッド56を有する基板61を含む。層58は層59上に形成される。やはり、上部ダイも多くの層を有し得る。
この態様においては、ウエーハーが貼り合わされるとき、金属表面が接触され、互いに対する変形は、化学的ボンディングプロセスの間に起こる。変形は、ボンディングプロセスにより適用される圧力の一部を緩和し、しかし、十分な圧力が金属表面の接触を維持するためにとどまり、2枚の別々のウエーハー上の2つの金属表面の間の許容可能な最小の接触抵抗を維持する。金属は、金属の下の領域中に変形するので、ボンディング表面は、金属に極めて近接しているか、金属に隣接している横方向の輪(lateral annulus)において接触するようにされ、非金属表面の間の最大ボンディング領域をもたらす。従って、金属接触に隣接する1〜10ミクロンかそれ未満の最小の化学的な非ボンド領域が本発明により形成され得る。
変形可能な領域は、可能な電気的配線の数を最大化するために最小限の幅を有するように設計される。変形可能な領域の幅は、主に、非金属表面上の金属厚さおよび金属高さに依存する。それらのパラメーターは、以下の関係式によりほぼ決定される。
応力=(2/3)*(金属のヤング率)(1/(1−金属のポアソン比))*((表面上の金属高さ)/(領域の幅の半分))2
および
圧力=(応力)*4*(金属厚さ)*(表面上の金属高さ)/(領域の幅の半分)2 式中、圧力は、ボンディングプロセスにより発生しているものである。それらの関係式についての参照記事は、「ハンドブック・オブ・シン・フィルム・テクノロジー」、メイゼル・アンド・グラング、1983年再発行、12〜24ページにおいて見出され得る。
例えば、約0.1ミクロンの金属厚さおよび表面の上約0.1ミクロンの領域上の金属高さおよび1ミクロンの領域幅については、ボンディングの間に発生する圧力は領域に向かう金属を変形させるのにほぼ十分である(領域の圧縮性を考慮することは無視され得る)。この0.1ミクロンの金属高さは、金属が変形可能でなかったならば、約1mmの金属の周りのボンディングしていない環または輪の幅をもたらすであろうということに注意されたい。従って、製造効率は、非金属表面上の金属高さの制御をあまり必要としないことのために実質的に高まる。さらに、非結合領域が実質的に減り、作り出され得る金属対金属接触の数の有意な増加を可能とし、化学的な結合エネルギーの増加をもたらす。領域の圧縮性が無視し得ないならば、そのときは、金属の厚さはそれに従って減少する必要があり、および/または非金属表面上の金属高さは、それに従って減少する必要があり、および/または領域の幅はそれに従って増加する必要がある。領域の幅のパーセンテージが、非金属表面上の金属高さのパーセンテージ未満であるか、または金属厚さが減少する必要があることに注意されたい。
さらに、本発明の第4の態様は、化学的に結合したウエーハーの間に信頼性のある電気的配線を形成するように低温の結合後のリフローアニールに頼ることにより第1、第2、および第3の態様において記載される金属接触の近辺に機械的な設計による拘束を弛緩させる。この態様の記載は、図6A〜Cおよび7A〜Cを参照して提供される。
図6Aは、平坦表面を有する基板60および61を示す。凹部62および63は、基板60および61のそれぞれの中に形成され、金属パッド64および65は、凹部62および63のそれぞれの中に形成される。平坦表面はすでに記載された化学結合のために適切である。パッド64および65を形成する金属または金属の組み合わせは低温でリフローし得る。そのような金属の例は、摂氏160度の溶融温度でリフローするインジウムであり、そのような金属の組み合わせは、摂氏220度の共融混合物溶融温度でリフローする96.5%スズおよび3.5%銀である。
図6Aにおける表面が直接化学結合のために準備され、表面がいっしょに配置された後、化学結合は、平坦な表面の間に形成される。態様1および2と比較すると、金属接触近くに間隙は存在しない。というのは、接触は凹部があるからである。しかしながら、信頼性のある電気配線はまだ作られていない。
図6Bの中の化学結合が形成された後、ボイド66が両方のウエーハー由来の部分的に金属で満たされた凹部により形成される。このボイドは、金属の接触が第1と第2の態様において起こるように、ウエーハー表面が接合し、化学結合を形成することを妨げない。
従って、最大の結合面積は、結合エネルギーを最大化することを実現した。この高結合エネルギー化学結合が形成された後、低温リフローアニールは凹部の中の金属をリフローさせ、ともに対向するウエーハーからの金属の濡れをもたらし、高い信頼性を有する配線された金属構造をもたらす。部分67は、パッド64および65を接続させるためのリフローにより形成される。このリフローは、例えば、あたかもウエーハーがアニーリングの間回転するかのように凹部についての毛管作用と高いアスペクト比と重力の組み合わせにより補助される。
第4の態様と同様の第5の態様において、図6Aにおける表面の1つは、1枚のウエーハー上の平坦表面上の金属プラトーの高さが図7Aに示される他のウエーハー上の平坦表面の下の金属の凹部の深さ未満であるように、金属プラトーにより置換された金属凹部を有する。基板70および71は、それぞれの金属パッド72および73を有する。パッド72は、凹部74の中に形成される。この場合には、金属表面は、一般的には、化学結合を形成する平坦表面が図7Bに示されるように接触して配置された後には触れることはない。基板70および71の表面は、直接化学結合のために調製され、上記例におけるように表面がいっしょに配置され、化学結合が平坦表面の間に形成される(図7B)。リフローの後、2つの異なるウエーハー上の金属はともに濡れ、図6Cと同様の方式で部分75を形成し、図7Cをもたらす。
従って、本発明は、多数の利点を提供し、先行技術の低温ウエーハー貼り合わせ技術からの区別を提供する。本発明の金属対金属直接ボンディングは自発的であり、室温で外的な力を必要としない。金属対金属ボンディングのために必要とされるメタルポスト上に適用される圧力は、ボンディングプロセスそれ自体により発生し、外的な力ではない。本発明の金属対金属直接ボンディングは、周囲雰囲気条件の下で実施され、以下が実現される。ウエーハーレベルまたはダイのサイズでの結合、室温で形成される強力な金属結合で、Au−Au、Cu−Cuまたは金属対金属結合、AuおよびCu以外の金属の強力な金属結合が、〜50ÅAu層を有する金属を被覆することにより室温で形成され得る。従って、金属/金属、酸化物/酸化物および金属/酸化物の同時結合が達成され得る。本発明の金属対金属直接ボンディングは標準的なVLSI加工と適合し、それゆえ、製造技術となり得る。本発明の金属対金属直接ボンディングは、シリコン酸化物、シリコン、または窒化シリコンで覆われた材料のボンディングと適合する。
本発明の金属対金属直接ボンディングを容易にする手段は、金属ボンディングパッドに近接した非金属領域の直接ボンディングである。すでに言及されているように、対向する金属ボンディングパッド上で得られる力を発生させるのは、それらの領域での直接ボンディングである。本発明による非金属領域の直接ボンディングは、二酸化シリコンまたは他の絶縁体被覆されたウエーハーを空気中で共有結合させる。例えば、ボンディングの前にアンモニア溶液の中にも浸漬され得るフッ化酸化物表面層のような他の材料が利用され得る。より一般的には、室温で接触にもたらされるときOH、NHまたはFH基により終端し得る開放構造表面を有するいずれかの材料および多孔性低K材料が共有結合を形成し得る。
本発明によれば、堆積、熱的または化学的酸化、およびスピン−オングラスのようないずれかの方法により形成される二酸化シリコンは、純粋な状態またはドープされた状態で用いられ得る。
本発明の用途には、限定されないが、3−D SOCのための加工された集積回路の縦集積、マイクロパッドパッケージング、低コストで高性能のフリップチップボンディングの置換、ウエーハースケールのパッケージング、金属ベースのデバイスのような熱処理構造および独特のデバイス構造が含まれる。
本発明の多数の修正および変更が上記教示にかんがみて可能である。それゆえ、本発明は、本明細書に具体的に記載されている以外にも実施され得るものであり、特許請求の範囲において理解されるべきものである。
10,13,20,22,30,37…ウエーハー、12,15,21,23,31,35,38,50,56,64,65…金属パッド、11,14…非金属領域、33,40,51…金属配線、36,39…ビア、50,55,60,61…基板、53…ダイ

Claims (59)

  1. 第1の複数の金属パッドおよび該金属パッドに近接する第1の非金属ボンディング領域を有する第1の基板を準備すること、
    第2の複数の金属パッドおよび該金属パッドに近接する第2の非金属ボンディング領域を有する第2の基板を準備すること、
    前記第1の複数の金属パッドのうちの少なくとも1つのパッドと前記第2の複数の金属パッドのうちの少なくとも1つのパッドを接触させること、
    前記第1の非金属ボンディング領域を前記第2の非金属ボンディング領域と直接接触させること、および
    前記第1の非金属ボンディング領域を前記第2の非金属ボンディング領域にボンディングすること
    を包含するウエーハーの貼り合わせ方法。
  2. 前記第1の基板上の前記非金属ボンディング領域の表面上に延出する上方表面を有するように前記第1の複数の金属パッドの少なくとも1つのパッドを形成すること、および
    前記第2の基板上の前記非金属ボンディング領域の表面上に延出する上方表面を有するように前記第2の複数の金属パッドの少なくとも1つのパッドを形成することを包含する請求項1記載の方法。
  3. 前記接触工程が、前記第1の組の金属パッドを前記第2の組の金属パッドにボンディングすることを包含する請求項1記載の方法。
  4. 前記第1と第2の基板の少なくとも一方を弾性的に変形させることを包含する請求項1記載の方法。
  5. 前記第1の基板上に前記非金属ボンディング領域を形成した後、前記第1の基板と第2の基板上に金属パッドを堆積させることを包含する請求項1記載の方法。
  6. 前記堆積工程が、Pt、Au、Pdおよびそれらの合金のうちの少なくとも1つを堆積させることを包含する請求項5記載の方法。
  7. 金属ボンディングパッド間の離間距離より実質的に小さい厚さを有する前記第1と第2の複数の金属ボンディングパッドを形成することを包含する請求項1記載の方法。
  8. 前記第1と第2の非金属領域のそれぞれの表面上に1000Å未満の厚さまで前記第1と第2の複数の金属ボンディングパッドを形成することを包含する請求項1記載の方法。
  9. 前記第1の複数の金属パッドを被覆するように前記第1の基板上に第1のボンディング層を形成すること、
    前記第1の複数のパッドうちの選択されたパッドの上の前記第1のボンディング層内に開口を形成すること、
    前記第2の基板上に第2のボンディング層を形成すること、
    前記ボンディング層上に、前記第1のボンディング層内の開口に対応する前記第2の複数のパッドを形成すること、および
    前記第1と第2のボンディング層を直接接触させること
    を包含する請求項1記載の方法。
  10. 前記第1と第2の複数の金属パッドの前記少なくとも1つの上に形成されたる酸化物層を除去することを包含する請求項1記載の方法。
  11. 前記第1と第2の基板を酸素プラズマに暴露すること、および
    前記金属パッドから酸化物層を除去すること
    を包含する請求項1記載の方法。
  12. 前記第1の基板を準備することが、それぞれすくなくとも1つの第3の金属ボンディングパッドを有する複数の第3の基板を形成することを包含し、
    前記少なくとも1つのパッドを接触させることが、前記第3の基板のそれぞれの第3の金属パッドを前記第2の複数の金属パッドの1つと接触させることを包含し、
    直接接触させることが前記第3の基板のそれぞれの第3の非金属領域を前記第2の基板の前記非金属領域と接触させることを包含し、
    前記ボンディングが、前記第3の非金属領域を前記第2の非金属領域にボンディングすることを包含する、請求項1記載の方法。
  13. 前記第1の基板を準備することおよび前記第2の基板を調製することのそれぞれが、
    二酸化シリコン層を形成すること、
    前記二酸化シリコン層をパターニングすること、
    前記二酸化シリコン層内にビアホールを形成すること、および
    前記ビアホール内に金属構造を形成すること
    を包含する請求項1記載の方法。
  14. 前記第1の基板上に第1の酸化物層を形成すること、
    前記第1の酸化物層の表面上に延出する前記第1の複数の金属パッドを形成すること、
    前記第2の基板上に第2の酸化物層を形成すること、および
    前記第2の酸化物層の表面の下に凹設された前記第2の複数の金属パッドを形成すること、
    前記第1と第2の金属構造をボンディングすること
    包含する請求項1記載の方法。
  15. 少なくとも1つの第1の金属パッドおよび前記第1の金属パッドに近接する第1の非金属ボンディング領域をそれぞれ有し、前記第2の基板の平面サイズより小さい平面サイズをそれぞれ有する複数の第1の基板を準備すること、
    前記第1の複数の金属パッドのそれぞれのうちの少なくとも1つの第1の金属パッドを前記第2の複数の金属パッドの少なくとも1つと接触させること、
    前記複数の第1の基板のそれぞれの前記第1の非金属ボンディング領域を前記第2の非金属ボンディング領域の少なくとも一部に直接接触させること、および
    前記第1の非金属ボンディング領域のそれぞれを前記第2の非金属ボンディング領域にボンディングすること
    を包含する請求項1記載の方法。
  16. 前記第1と第2の基板の少なくとも1つを弾性的に変形させて前記第1と第2の基板の間に少なくとも1つの接触点を生じさせること、
    前記接触点でのボンドを開始させること、および
    前記第1と第2の非金属領域の実質的部分にわたって前記第1と第2の基板の間に前記ボンドを拡張させること
    を包含する請求項1記載の方法。
  17. 前記第1の複数のパッドの少なくとも1つの下にボイドを形成させることを包含する請求項1記載の方法。
  18. 前記ボイドの下の材料の層中に前記ボイドを形成することを包含する請求項17記載の方法。
  19. 前記パッド下の材料を変形させて前記ボイド中に延出させることを包含する請求項17記載の方法。
  20. 前記少なくとも1つのパッドに近接して配置されたボイドを用いて前記第1と第2の複数のパッドの少なくとも1つの周りの非ボンド領域を減少させることを包含する請求項1記載の方法。
  21. 前記第1の複数のパッドの少なくとも1つの下に、変形可能な材料を配置することを包含する請求項1記載の方法。
  22. 前記少なくとも1つのパッドの下の領域における前記低K材料の厚さを減少させることにより前記パッド下の前記変形可能な材料を変形させることを包含する請求項21記載の方法。
  23. 前記第1の複数のパッドの少なくとも1つの下に変形可能な低K材料を配置することを包含する請求項1記載の方法。
  24. 前記第1の複数のパッドの少なくとも1つの下の前記低K材料を変形させることを包含する請求項23記載の方法。
  25. 前記パッドの下の前記低K材料を変形させることが、前記少なくとも1つのパッド下の領域における前記低K材料の厚さを減少させることを包含する請求項23記載の方法。
  26. 前記少なくとも1つのパッドに近接して配置された変形可能な材料を用いて前記第1と第2の複数のパッドの少なくとも1つの周りの非ボンド領域を減少させることを包含する請求項1記載の方法。
  27. 第1の基板上に第1の複数の金属パッドを形成することであって、前記第1の基板は前記第1の複数の金属パッドに近接するそれぞれの複数の第1の非金属ボンディング領域を有し、前記第1の複数のパッドの上方表面が前記第1の非金属ボンディング領域のそれぞれの表面の下に形成されるところのもの、
    第2の基板上に第2の複数の金属パッドを形成することであって、前記第2の基板は前記第2の複数の金属パッドに近接するそれぞれの複数の第2の非金属ボンディング領域を有するところのもの、
    前記第2の非金属ボンディング領域のそれぞれに前記第1の非金属ボンディング領域を直接接触させること、
    前記第2の非金属ボンディング領域のそれぞれに前記第1の非金属ボンディング領域をボンディングすること、および
    前記第2の複数の金属パッドのそれぞれに前記第1の複数の金属パッドを接続するように前記第1と第2の複数の金属パッドを加熱して、接続されたパッドの対を形成することを包含するウエーハーの貼り合わせ方法。
  28. 加熱が、前記接続された対を形成する前記第1と第2の複数の金属パッドのそれぞれの対の少なくとも一方をリフローさせることを包含する請求項27記載の方法。
  29. 前記第2の複数のパッドの上方表面が前記第2の非金属ボンディング領域のそれぞれの表面の下に形成される請求項27記載の方法。
  30. 加熱が、前記接続された対を形成する前記第1と第2の複数の金属パッドのそれぞれの対の少なくとも一方をリフローさせることを包含する請求項29記載の方法。
  31. 前記第2の複数のパッドの上方表面が前記第2の非金属ボンディング領域のそれぞれの表面上に形成される請求項27記載の方法。
  32. 加熱が、前記接続された対を形成する前記第1と第2の複数の金属パッドのそれぞれの対の少なくとも一方をリフローさせることを包含する請求項31記載の方法。
  33. 前記第2の複数のパッドの前記上方表面が、第1の距離だけ前記第2の非金属ボンディング表面の前記それぞれの表面上に延出し、
    前記第1の複数のパッドの前記上方表面が、第2の距離だけ前記第1の非金属ボンディング領域のそれぞれの表面の下に延出し、および
    前記第1の距離は、前記第2の距離未満である
    請求項31記載の方法。
  34. 第1の基板であって、該第1の基板の第1の表面上に延出する第1の複数の金属パッドを有する第1の基板、
    前記第1の表面内に第1の複数の金属パッドに近接して位置する第1の非金属領域、
    第2の基板であって、該第2の基板の第2の表面上に延出する第2の複数の金属パッドを有する第2の基板、
    前記第2の表面内に第2の複数の金属パッドに近接して位置する第2の非金属領域を具備し、
    前記第2の複数の金属パッドは、それぞれ、前記第1の複数の金属パッドと直接接触し、
    前記第1の非金属領域は、前記第1の基板および前記第2の基板の少なくとも一方の弾性変形により、前記第2の非金属領域と接触し、直接ボンディングされている貼り合わせ構造。
  35. デバイスに接続される前記第1と第2の複数の金属パッドの少なくとも1つを具備する請求項34記載の構造。
  36. 前記金属パッドの隣接するパッド間の離間距離より実質的に小さい厚さをそれぞれ有する前記第1と第2の複数の金属パッドを具備する請求項35記載の構造。
  37. 前記厚さが1000Å未満である請求項36記載の構造。
  38. 前記第1と第2の非金属領域の少なくとも一方が二酸化シリコン層を具備する請求項37記載の構造。
  39. 前記二酸化シリコン層が、酸素プラズマに暴露されたものである請求項38記載の構造。
  40. 前記二酸化シリコン層内に、メタル化ビアホールを具備する請求項38記載の構造。
  41. 前記メタル化ビアホールが、
    前記第1の基板および前記第2の基板の一方に形成された突出する金属パッド、および
    前記突出する金属ボンディングパッドを有さない前記第1の基板および前記第2の基板の他方上に形成された凹設金属パッド
    を具備する請求項40記載の構造。
  42. 前記第1の基板および第2の基板の少なくとも一方が集積回路を含む請求項34記載の構造。
  43. 弾性的に変形された前記第1と第2の基板の少なくとも一方を具備する請求項34記載の構造。
  44. 前記第1の複数のパッドの少なくとも1つの下に形成されたボイドを具備する請求項34記載の構造。
  45. 前記ボイドの下の材料の層に形成されたボイドを具備する請求項34記載の構造。
  46. 前記ボイド中に延出するように変形された、前記パッド下の材料を具備する請求項34記載の構造。
  47. 前記第1の複数の金属パッドの少なくとも1つの下に配置された変形可能な材料を具備する請求項34記載の構造。
  48. 前記少なくとも1つのパッドの下に厚さの減少した領域を有する前記変形可能な材料を具備する請求項47記載の構造。
  49. 前記第1の複数の金属パッドの少なくとも1つの下に配置された変形可能な低K材料を具備する請求項34記載の構造。
  50. 前記第1の複数のパッドの少なくとも1つの下の領域において変形された前記低K材料を具備する請求項49記載の構造。
  51. 前記低K材料が、前記少なくとも1つのパッドの下に厚さの減少した領域を有する請求項49記載の構造。
  52. 第1の基板上に配置された第1の複数の金属パッド、
    前記第1の表面の第1の表面内に前記第1の複数の金属パッドに近接して位置する第1の非金属領域であって、前記複数の金属パッドの上方表面が前記第1の表面の下にあるところの第1の非金属領域、
    第2の基板上に配置された第2の複数の金属パッド、
    前記第2の表面内に前記第2の複数の金属パッドに近接して位置する第2の非金属領域を備え、
    前記第1の複数の金属パッドの一部は、前記第2の複数の金属パッドのそれぞれのパッドに直接接触し、
    前記第1の非金属領域は、前記第1の基板と前記第2の基板の少なくとも一方の前記第2の非金属領域に接触し、直接ボンディングされている
    貼り合わせ構造。
  53. 前記一部が、リフロー部分を具備する請求項52記載の構造。
  54. 前記第2の基板の表面上に延出する上方表面を有する前記第2の複数の金属パッドを具備する請求項52記載の構造。
  55. 前記第1の複数の金属パッドの前記上方表面が、第1の距離だけ前記第1の表面の下に位置し、
    前記第2の複数の金属パッドの前記上方表面が、第2の距離だけ前記第2の基板の表面上に延出し、
    前記第1の距離が前記第2の距離より大きい
    請求項54記載の構造。
  56. 前記第2の複数の金属パッドが前記第2の基板の表面の下に延出する上方表面を有する請求項52記載の構造。
  57. 前記第1の複数の金属パッドが配置される凹部を有する前記第1の基板を具備する請求項52記載の構造。
  58. 前記第2の複数の金属パッドが配置された凹部を有する前記第2の基板を備え、前記第2の複数の金属パッドの上方表面が前記第2の基板の表面の下にある請求項57記載の構造。
  59. 前記第2の複数の金属パッドが配置された凹部を有する前記第2の基板を有し、前記第2の複数の金属パッドの上方表面が前記第2の基板の表面の下にある請求項52記載の構造。
JP2015040707A 2003-02-07 2015-03-02 室温金属直接ボンディング Expired - Lifetime JP6092280B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/359,608 2003-02-07
US10/359,608 US6962835B2 (en) 2003-02-07 2003-02-07 Method for room temperature metal direct bonding

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012090162A Division JP5851917B2 (ja) 2003-02-07 2012-04-11 室温金属直接ボンディング

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016200348A Division JP6396386B2 (ja) 2003-02-07 2016-10-11 室温金属直接ボンディング

Publications (2)

Publication Number Publication Date
JP2015164190A true JP2015164190A (ja) 2015-09-10
JP6092280B2 JP6092280B2 (ja) 2017-03-08

Family

ID=32823827

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2006502988A Expired - Lifetime JP5372325B2 (ja) 2003-02-07 2004-02-06 室温金属直接ボンディング
JP2012090162A Expired - Lifetime JP5851917B2 (ja) 2003-02-07 2012-04-11 室温金属直接ボンディング
JP2015040707A Expired - Lifetime JP6092280B2 (ja) 2003-02-07 2015-03-02 室温金属直接ボンディング
JP2016200348A Expired - Lifetime JP6396386B2 (ja) 2003-02-07 2016-10-11 室温金属直接ボンディング
JP2017006744A Expired - Lifetime JP6887811B2 (ja) 2003-02-07 2017-01-18 室温金属直接ボンディング

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2006502988A Expired - Lifetime JP5372325B2 (ja) 2003-02-07 2004-02-06 室温金属直接ボンディング
JP2012090162A Expired - Lifetime JP5851917B2 (ja) 2003-02-07 2012-04-11 室温金属直接ボンディング

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2016200348A Expired - Lifetime JP6396386B2 (ja) 2003-02-07 2016-10-11 室温金属直接ボンディング
JP2017006744A Expired - Lifetime JP6887811B2 (ja) 2003-02-07 2017-01-18 室温金属直接ボンディング

Country Status (8)

Country Link
US (8) US6962835B2 (ja)
EP (1) EP1603702B1 (ja)
JP (5) JP5372325B2 (ja)
KR (2) KR101257274B1 (ja)
CA (1) CA2515375C (ja)
SG (1) SG2011091576A (ja)
TW (1) TWI339408B (ja)
WO (1) WO2004071700A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3873147A1 (en) 2015-08-21 2021-09-01 NTT DoCoMo, Inc. User equipment, wireless base station, and wireless communication method
EP4117031A2 (en) 2021-07-09 2023-01-11 Canon Kabushiki Kaisha Semiconductor device, equipment, and manufacturing method of semiconductor device

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6871942B2 (en) * 2002-04-15 2005-03-29 Timothy R. Emery Bonding structure and method of making
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US20050170609A1 (en) * 2003-12-15 2005-08-04 Alie Susan A. Conductive bond for through-wafer interconnect
JP3790995B2 (ja) 2004-01-22 2006-06-28 有限会社ボンドテック 接合方法及びこの方法により作成されるデバイス並びに接合装置
US7716823B2 (en) * 2004-04-08 2010-05-18 Hewlett-Packard Development Company, L.P. Bonding an interconnect to a circuit device and related devices
US7608534B2 (en) 2004-06-02 2009-10-27 Analog Devices, Inc. Interconnection of through-wafer vias using bridge structures
JP4710282B2 (ja) * 2004-09-06 2011-06-29 富士ゼロックス株式会社 多波長面発光レーザの製造方法
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7422962B2 (en) * 2004-10-27 2008-09-09 Hewlett-Packard Development Company, L.P. Method of singulating electronic devices
US7172921B2 (en) * 2005-01-03 2007-02-06 Miradia Inc. Method and structure for forming an integrated spatial light modulator
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7545042B2 (en) * 2005-12-22 2009-06-09 Princo Corp. Structure combining an IC integrated substrate and a carrier, and method of manufacturing such structure
US20070161150A1 (en) * 2005-12-28 2007-07-12 Intel Corporation Forming ultra dense 3-D interconnect structures
US7579258B2 (en) * 2006-01-25 2009-08-25 Freescale Semiconductor, Inc. Semiconductor interconnect having adjacent reservoir for bonding and method for formation
US20070259523A1 (en) * 2006-05-04 2007-11-08 Yechuri Sitaramarao S Method of fabricating high speed integrated circuits
US7402501B2 (en) * 2006-05-04 2008-07-22 Intel Corporation Method of manufacturing a coaxial trace in a surrounding material, coaxial trace formed thereby, and semiconducting material containing same
US7425465B2 (en) * 2006-05-15 2008-09-16 Fujifilm Diamatix, Inc. Method of fabricating a multi-post structures on a substrate
DE102006028692B4 (de) * 2006-05-19 2021-09-02 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Elektrisch leitende Verbindung mit isolierendem Verbindungsmedium
JP4162094B2 (ja) * 2006-05-30 2008-10-08 三菱重工業株式会社 常温接合によるデバイス、デバイス製造方法ならびに常温接合装置
JP4858692B2 (ja) * 2006-06-22 2012-01-18 日本電気株式会社 チップ積層型半導体装置
JP5129939B2 (ja) * 2006-08-31 2013-01-30 沖電気工業株式会社 半導体装置の製造方法
US20080087979A1 (en) * 2006-10-13 2008-04-17 Analog Devices, Inc. Integrated Circuit with Back Side Conductive Paths
US7812459B2 (en) * 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
US20080164606A1 (en) * 2007-01-08 2008-07-10 Christoffer Graae Greisen Spacers for wafer bonding
WO2008086537A2 (en) * 2007-01-11 2008-07-17 Analog Devices, Inc. Aluminum based bonding of semiconductor wafers
US7605477B2 (en) * 2007-01-25 2009-10-20 Raytheon Company Stacked integrated circuit assembly
US7703661B2 (en) * 2007-05-23 2010-04-27 International Business Machines Corporation Method and process for reducing undercooling in a lead-free tin-rich solder alloy
JP5016382B2 (ja) * 2007-05-24 2012-09-05 パナソニック株式会社 センサ装置およびその製造方法
US20090056989A1 (en) * 2007-08-27 2009-03-05 Intel Corporation Printed circuit board and method for preparation thereof
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US7863721B2 (en) * 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
JP5585447B2 (ja) * 2008-07-31 2014-09-10 日本電気株式会社 半導体装置及びその製造方法
US7981765B2 (en) 2008-09-10 2011-07-19 Analog Devices, Inc. Substrate bonding with bonding material having rare earth metal
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
DE102008043735A1 (de) * 2008-11-14 2010-05-20 Robert Bosch Gmbh Anordnung von mindestens zwei Wafern mit einer Bondverbindung und Verfahren zur Herstellung einer solchen Anordnung
US8451012B2 (en) 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
JP5177015B2 (ja) * 2009-02-27 2013-04-03 富士通株式会社 パッケージドデバイスおよびパッケージドデバイス製造方法
US20100248424A1 (en) * 2009-03-27 2010-09-30 Intellectual Business Machines Corporation Self-Aligned Chip Stacking
KR101049083B1 (ko) * 2009-04-10 2011-07-15 (주)실리콘화일 3차원 구조를 갖는 이미지 센서의 단위 화소 및 그 제조방법
EP2251893B1 (en) * 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
US20100314149A1 (en) * 2009-06-10 2010-12-16 Medtronic, Inc. Hermetically-sealed electrical circuit apparatus
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
JP5187284B2 (ja) * 2009-06-26 2013-04-24 ソニー株式会社 半導体装置の製造方法
US8669588B2 (en) * 2009-07-06 2014-03-11 Raytheon Company Epitaxially-grown position sensitive detector
US8567658B2 (en) * 2009-07-20 2013-10-29 Ontos Equipment Systems, Inc. Method of plasma preparation of metallic contacts to enhance mechanical and electrical integrity of subsequent interconnect bonds
US11134598B2 (en) * 2009-07-20 2021-09-28 Set North America, Llc 3D packaging with low-force thermocompression bonding of oxidizable materials
US20110156197A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US20110156195A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US8841777B2 (en) 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
TWI517355B (zh) * 2010-02-16 2016-01-11 凡 歐貝克 具有半導體裝置和結構之系統
EP2654074B1 (de) * 2010-03-31 2016-10-26 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8546188B2 (en) * 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
EP2564417B1 (en) * 2010-04-28 2022-04-27 Medtronic, Inc. Hermetic wafer-to-wafer bonding with electrical interconnection
US8513120B2 (en) 2010-04-29 2013-08-20 Medtronic, Inc. Gold-tin etch using combination of halogen plasma and wet etch
FI123860B (fi) * 2010-05-18 2013-11-29 Corelase Oy Menetelmä substraattien tiivistämiseksi ja kontaktoimiseksi laservalon avulla ja elektroniikkamoduli
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
SG177817A1 (en) * 2010-07-19 2012-02-28 Soitec Silicon On Insulator Temporary semiconductor structure bonding methods and related bonded semiconductor structures
FR2963158B1 (fr) * 2010-07-21 2013-05-17 Commissariat Energie Atomique Procede d'assemblage par collage direct entre deux elements comprenant des portions de cuivre et de materiaux dielectriques
FR2964112B1 (fr) * 2010-08-31 2013-07-19 Commissariat Energie Atomique Traitement avant collage d'une surface mixte cu-oxyde, par un plasma contenant de l'azote et de l'hydrogene
FR2966283B1 (fr) * 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US8486758B2 (en) * 2010-12-20 2013-07-16 Tessera, Inc. Simultaneous wafer bonding and interconnect joining
CN108766873B (zh) * 2011-01-25 2023-04-07 Ev 集团 E·索尔纳有限责任公司 用于永久接合晶片的方法
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8912017B2 (en) * 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
EP2717300B1 (en) * 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
FR2978606B1 (fr) * 2011-07-27 2014-02-21 Soitec Silicon On Insulator Surfaces de liaison améliorées pour le collage direct de structures semi-conductrices
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441087B2 (en) 2011-07-22 2013-05-14 Raytheon Company Direct readout focal plane array
US10115764B2 (en) 2011-08-15 2018-10-30 Raytheon Company Multi-band position sensitive imaging arrays
US8754424B2 (en) 2011-08-29 2014-06-17 Micron Technology, Inc. Discontinuous patterned bonds for semiconductor devices and associated systems and methods
US9673163B2 (en) * 2011-10-18 2017-06-06 Rohm Co., Ltd. Semiconductor device with flip chip structure and fabrication method of the semiconductor device
US9748214B2 (en) 2011-10-21 2017-08-29 Santa Barbara Infrared, Inc. Techniques for tiling arrays of pixel elements and fabricating hybridized tiles
US9040837B2 (en) * 2011-12-14 2015-05-26 Ibiden Co., Ltd. Wiring board and method for manufacturing the same
KR101870155B1 (ko) 2012-02-02 2018-06-25 삼성전자주식회사 비아 연결 구조체, 그것을 갖는 반도체 소자 및 그 제조 방법들
FR2990565B1 (fr) * 2012-05-09 2016-10-28 Commissariat Energie Atomique Procede de realisation de detecteurs infrarouges
CN103426732B (zh) * 2012-05-18 2015-12-02 上海丽恒光微电子科技有限公司 低温晶圆键合的方法及通过该方法形成的结构
US8969955B2 (en) 2012-06-01 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Power MOSFET and methods for forming the same
US8896060B2 (en) 2012-06-01 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Trench power MOSFET
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
FR2992772B1 (fr) * 2012-06-28 2014-07-04 Soitec Silicon On Insulator Procede de realisation de structure composite avec collage de type metal/metal
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9087905B2 (en) 2012-10-03 2015-07-21 International Business Machines Corporation Transistor formation using cold welding
CN103043605B (zh) * 2012-12-07 2015-11-18 中国电子科技集团公司第五十五研究所 微型电镀立体结构提高圆片级金属键合强度的工艺方法
US9196606B2 (en) 2013-01-09 2015-11-24 Nthdegree Technologies Worldwide Inc. Bonding transistor wafer to LED wafer to form active LED modules
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
US9673169B2 (en) * 2013-02-05 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a wafer seal ring
FR3003087B1 (fr) * 2013-03-05 2015-04-10 Commissariat Energie Atomique Procede de realisation d’un collage direct metallique conducteur
US8921992B2 (en) 2013-03-14 2014-12-30 Raytheon Company Stacked wafer with coolant channels
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US9443796B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
JPWO2014184988A1 (ja) 2013-05-16 2017-02-23 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
WO2015040784A1 (ja) * 2013-09-17 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9779965B2 (en) * 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9780065B2 (en) 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9136240B2 (en) * 2013-10-08 2015-09-15 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9360623B2 (en) * 2013-12-20 2016-06-07 The Regents Of The University Of California Bonding of heterogeneous material grown on silicon to a silicon photonic circuit
US9148923B2 (en) * 2013-12-23 2015-09-29 Infineon Technologies Ag Device having a plurality of driver circuits to provide a current to a plurality of loads and method of manufacturing the same
FR3017993B1 (fr) * 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9349690B2 (en) 2014-03-13 2016-05-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
CN104051337B (zh) * 2014-04-24 2017-02-15 上海珏芯光电科技有限公司 立体堆叠集成电路系统芯片封装的制造方法与测试方法
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
KR102161793B1 (ko) 2014-07-18 2020-10-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
GB201413578D0 (en) 2014-07-31 2014-09-17 Infiniled Ltd A colour iled display on silicon
JP6417777B2 (ja) * 2014-08-08 2018-11-07 株式会社ニコン 基板積層装置および基板積層方法
CN105470153B (zh) * 2014-09-03 2018-03-06 中芯国际集成电路制造(上海)有限公司 晶圆键合方法
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US10852492B1 (en) 2014-10-29 2020-12-01 Acacia Communications, Inc. Techniques to combine two integrated photonic substrates
FR3028050B1 (fr) * 2014-10-29 2016-12-30 Commissariat Energie Atomique Substrat pre-structure pour la realisation de composants photoniques, circuit photonique et procede de fabrication associes
JP6335099B2 (ja) * 2014-11-04 2018-05-30 東芝メモリ株式会社 半導体装置および半導体装置の製造方法
JP6313189B2 (ja) * 2014-11-04 2018-04-18 東芝メモリ株式会社 半導体装置の製造方法
SG11201704100RA (en) 2014-11-12 2017-06-29 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
KR102211143B1 (ko) 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9536853B2 (en) 2014-11-18 2017-01-03 International Business Machines Corporation Semiconductor device including built-in crack-arresting film structure
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6415391B2 (ja) * 2015-06-08 2018-10-31 東京エレクトロン株式会社 表面改質方法、プログラム、コンピュータ記憶媒体、表面改質装置及び接合システム
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9832867B2 (en) 2015-11-23 2017-11-28 Medtronic, Inc. Embedded metallic structures in glass
KR102423813B1 (ko) 2015-11-27 2022-07-22 삼성전자주식회사 반도체 소자
DE102015121066B4 (de) 2015-12-03 2021-10-28 Infineon Technologies Ag Halbleitersubstrat-auf-halbleitersubstrat-package und verfahren zu seiner herstellung
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10163771B2 (en) * 2016-08-08 2018-12-25 Qualcomm Incorporated Interposer device including at least one transistor and at least one through-substrate via
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
WO2018067719A2 (en) 2016-10-07 2018-04-12 Invensas Bonding Technologies, Inc. Direct-bonded native interconnects and active base die
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
CN108122823B (zh) * 2016-11-30 2020-11-03 中芯国际集成电路制造(上海)有限公司 晶圆键合方法及晶圆键合结构
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
EP3633713A4 (en) * 2017-05-25 2021-03-03 Shinkawa Ltd. METHOD FOR MANUFACTURING A STRUCTURE AND STRUCTURE
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US10636774B2 (en) * 2017-09-06 2020-04-28 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a 3D integrated system-in-package module
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
EP3698402A1 (en) 2017-10-20 2020-08-26 XCelsis Corporation 3d compute circuit with high density z-axis interconnects
EP3698401A1 (en) 2017-10-20 2020-08-26 XCelsis Corporation Face-to-face mounted ic dies with orthogonal top interconnect layers
US10584027B2 (en) * 2017-12-01 2020-03-10 Elbit Systems Of America, Llc Method for forming hermetic seals in MEMS devices
US20190181119A1 (en) * 2017-12-07 2019-06-13 United Microelectronics Corp. Stacked semiconductor device and method for forming the same
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) * 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10886249B2 (en) 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
DE102018103169A1 (de) * 2018-02-13 2019-08-14 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement
DE102018103431A1 (de) * 2018-02-15 2019-08-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Verbindung zwischen Bauteilen und Bauelement aus Bauteilen
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
WO2019182657A1 (en) * 2018-03-22 2019-09-26 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11342302B2 (en) * 2018-04-20 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding with pre-deoxide process and apparatus for performing the same
JP6918074B2 (ja) * 2018-05-02 2021-08-11 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ボンディング層を施与する方法
US10403577B1 (en) * 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
DE102018112586A1 (de) 2018-05-25 2019-11-28 Osram Opto Semiconductors Gmbh Verfahren zur herstellung einer verbindung zwischen bauteilen und bauelement
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10340249B1 (en) 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
CN108922870A (zh) * 2018-08-22 2018-11-30 中国电子科技集团公司第四十三研究所 一种氮化铝陶瓷管壳及其制作方法
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN110875268A (zh) * 2018-09-04 2020-03-10 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
JP2021535613A (ja) 2018-09-04 2021-12-16 中芯集成電路(寧波)有限公司 ウェハレベルパッケージ方法及びパッケージ構造
JP2021536131A (ja) * 2018-09-04 2021-12-23 中芯集成電路(寧波)有限公司 ウェハレベルパッケージング方法およびパッケージング構造
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11469214B2 (en) 2018-12-22 2022-10-11 Xcelsis Corporation Stacked architecture for three-dimensional NAND
US11139283B2 (en) 2018-12-22 2021-10-05 Xcelsis Corporation Abstracted NAND logic in stacks
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10629439B1 (en) * 2019-03-27 2020-04-21 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10643848B1 (en) * 2019-03-27 2020-05-05 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN110429038A (zh) * 2019-08-09 2019-11-08 芯盟科技有限公司 半导体结构及其形成方法
JP7391574B2 (ja) * 2019-08-29 2023-12-05 キヤノン株式会社 半導体装置の製造方法および半導体装置
CN110797329B (zh) * 2019-10-15 2021-04-30 上海集成电路研发中心有限公司 一种三维堆叠方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11094653B2 (en) * 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
KR102558816B1 (ko) 2020-01-07 2023-07-21 양쯔 메모리 테크놀로지스 씨오., 엘티디. 금속-유전체 결합 방법 및 구조
US11508684B2 (en) 2020-01-08 2022-11-22 Raytheon Company Structure for bonding and electrical contact for direct bond hybridization
US11127719B2 (en) 2020-01-23 2021-09-21 Nvidia Corporation Face-to-face dies with enhanced power delivery using extended TSVS
US11699662B2 (en) 2020-01-23 2023-07-11 Nvidia Corporation Face-to-face dies with probe pads for pre-assembly testing
US11616023B2 (en) * 2020-01-23 2023-03-28 Nvidia Corporation Face-to-face dies with a void for enhanced inductor performance
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US11340512B2 (en) * 2020-04-27 2022-05-24 Raytheon Bbn Technologies Corp. Integration of electronics with Lithium Niobate photonics
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
KR20220016365A (ko) 2020-07-30 2022-02-09 삼성전자주식회사 반도체 패키지
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
CN112289904B (zh) * 2020-09-16 2022-06-17 华灿光电(苏州)有限公司 红光led的制作方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2022094587A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
JP2022191901A (ja) 2021-06-16 2022-12-28 キオクシア株式会社 半導体装置およびその製造方法
US20230065622A1 (en) 2021-09-02 2023-03-02 Raytheon Company Wafer-scale direct bonded array core block for an active electronically steerable array (aesa)
US20230326887A1 (en) * 2022-04-11 2023-10-12 Western Digital Technologies, Inc. Clamped semiconductor wafers and semiconductor devices
CN114823594B (zh) * 2022-06-28 2022-11-11 之江实验室 一种基于二维材料界面的混合键合结构及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529183A (ja) * 1991-07-22 1993-02-05 Fuji Electric Co Ltd 接合方法
JPH10223636A (ja) * 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP2000299379A (ja) * 1999-04-13 2000-10-24 Tadatomo Suga 半導体装置及びその製造方法
JP2002368159A (ja) * 2001-06-11 2002-12-20 Denso Corp 半導体装置およびその製造方法

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) * 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
BR8801696A (pt) * 1987-09-08 1989-03-21 Gencorp Inc Processo para ligacao de partes de poliester reforcadas e produto
US4904328A (en) * 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) * 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) * 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) * 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
FR2718571B1 (fr) * 1994-04-08 1996-05-15 Thomson Csf Composant hybride semiconducteur.
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
KR960009074A (ko) * 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) * 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US6054363A (en) * 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
KR100467897B1 (ko) * 1996-12-24 2005-01-24 닛토덴코 가부시키가이샤 반도체 장치 및 이의 제조방법
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6322600B1 (en) * 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) * 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) * 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
US6410415B1 (en) * 1999-03-23 2002-06-25 Polymer Flip Chip Corporation Flip chip mounting technique
AU4277700A (en) * 1999-05-03 2000-11-17 Dow Corning Corporation Method for removal of sic
US6093969A (en) * 1999-05-15 2000-07-25 Lin; Paul T. Face-to-face (FTF) stacked assembly of substrate-on-bare-chip (SOBC) modules
US6258625B1 (en) * 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
EP1118118A1 (en) * 1999-06-29 2001-07-25 Koninklijke Philips Electronics N.V. A semiconductor device
US6756253B1 (en) * 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) * 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6326698B1 (en) * 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) * 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
WO2002009478A1 (fr) * 2000-07-24 2002-01-31 Tdk Corporation Dispositif luminescent
JP2002064268A (ja) * 2000-08-18 2002-02-28 Toray Eng Co Ltd 実装方法および装置
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) * 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) * 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6555917B1 (en) * 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) * 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) * 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529183A (ja) * 1991-07-22 1993-02-05 Fuji Electric Co Ltd 接合方法
JPH10223636A (ja) * 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP2000299379A (ja) * 1999-04-13 2000-10-24 Tadatomo Suga 半導体装置及びその製造方法
JP2002368159A (ja) * 2001-06-11 2002-12-20 Denso Corp 半導体装置およびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3873147A1 (en) 2015-08-21 2021-09-01 NTT DoCoMo, Inc. User equipment, wireless base station, and wireless communication method
EP4117031A2 (en) 2021-07-09 2023-01-11 Canon Kabushiki Kaisha Semiconductor device, equipment, and manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US20050161795A1 (en) 2005-07-28
EP1603702A2 (en) 2005-12-14
JP6396386B2 (ja) 2018-09-26
US20070232023A1 (en) 2007-10-04
US8846450B2 (en) 2014-09-30
KR20120034786A (ko) 2012-04-12
US6962835B2 (en) 2005-11-08
US20040157407A1 (en) 2004-08-12
US20110041329A1 (en) 2011-02-24
US20130233473A1 (en) 2013-09-12
JP2017112383A (ja) 2017-06-22
WO2004071700A3 (en) 2005-04-21
JP5372325B2 (ja) 2013-12-18
JP2012186481A (ja) 2012-09-27
TWI339408B (en) 2011-03-21
US7602070B2 (en) 2009-10-13
US20160086899A1 (en) 2016-03-24
US8524533B2 (en) 2013-09-03
EP1603702A4 (en) 2008-10-29
EP1603702B1 (en) 2014-01-22
JP6092280B2 (ja) 2017-03-08
SG2011091576A (en) 2015-02-27
KR20050101324A (ko) 2005-10-21
CA2515375A1 (en) 2004-08-26
US7842540B2 (en) 2010-11-30
KR101252292B1 (ko) 2013-04-05
WO2004071700A2 (en) 2004-08-26
KR101257274B1 (ko) 2013-05-02
JP5851917B2 (ja) 2016-02-03
JP2017063203A (ja) 2017-03-30
US10141218B2 (en) 2018-11-27
TW200504819A (en) 2005-02-01
JP6887811B2 (ja) 2021-06-16
JP2006517344A (ja) 2006-07-20
US9385024B2 (en) 2016-07-05
CA2515375C (en) 2013-09-24
US20190115247A1 (en) 2019-04-18
US20140370658A1 (en) 2014-12-18

Similar Documents

Publication Publication Date Title
JP6396386B2 (ja) 室温金属直接ボンディング
JP5571227B2 (ja) 室温共有結合方法
US6902987B1 (en) Method for low temperature bonding and bonded structure

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160510

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170208

R150 Certificate of patent or registration of utility model

Ref document number: 6092280

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term