KR101252292B1 - 상온에서의 금속의 직접 결합 - Google Patents

상온에서의 금속의 직접 결합 Download PDF

Info

Publication number
KR101252292B1
KR101252292B1 KR1020127003621A KR20127003621A KR101252292B1 KR 101252292 B1 KR101252292 B1 KR 101252292B1 KR 1020127003621 A KR1020127003621 A KR 1020127003621A KR 20127003621 A KR20127003621 A KR 20127003621A KR 101252292 B1 KR101252292 B1 KR 101252292B1
Authority
KR
South Korea
Prior art keywords
metal
bonding
metal pads
wafer
substrate
Prior art date
Application number
KR1020127003621A
Other languages
English (en)
Other versions
KR20120034786A (ko
Inventor
퀴이 통
폴 엠 엔퀴스트
안토니 스코트 로즈
Original Assignee
집트로닉스 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 집트로닉스 인코퍼레이티드 filed Critical 집트로닉스 인코퍼레이티드
Publication of KR20120034786A publication Critical patent/KR20120034786A/ko
Application granted granted Critical
Publication of KR101252292B1 publication Critical patent/KR101252292B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/02Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating by means of a press ; Diffusion bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13011Shape comprising apertures or cavities, e.g. hollow bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/8093Reshaping
    • H01L2224/80935Reshaping by heating means, e.g. reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81014Thermal cleaning, e.g. decomposition, sublimation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81136Aligning involving guiding structures, e.g. spacers or supporting members
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81143Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81208Compression bonding applying unidirectional static pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/8121Applying energy for connecting using a reflow oven
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • H01L2224/83095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8334Bonding interfaces of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/8382Diffusion bonding
    • H01L2224/8383Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8384Sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83905Combinations of bonding methods provided for in at least two different groups from H01L2224/838 - H01L2224/83904
    • H01L2224/83907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01003Lithium [Li]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Combinations Of Printed Boards (AREA)

Abstract

디바이스 결합구조는, 제 1기판으로서, 바람직하게는 디바이스 또는 회로에 연결된 제 1세트의 금속 결합 패드와, 상기 제 1기판 상의 상기 금속 결합 패드에 인접한 제 1비금속 영역을 구비하는 제 1기판과, 제 2기판으로서, 바람직하게는 디바이스 또는 회로에 연결된, 상기 제 1세트의 금속 결합 패드와 정렬된 제 2세트의 금속 결합 패드와, 상기 제 2기판 위의 상기 금속 결합 패드에 인접한 제 2비금속 영역을 구비하는 제 2기판과, 상기 제 2비금속 영역에 대한 상기 제 1비금속 영역의 접촉 결합에 의해 형성된 제 1 및 제 2세트의 금속 결합 패드 간의 접촉-결합된 경계면을 포함한다. 상기 제 1 및 제 2기판 중 적어도 하나는 탄성 변형될 수 있다.

Description

상온에서의 금속의 직접 결합{ROOM TEMPERATURE METAL DIRECT BONDING}
본 발명은, 바람직하게 상온에서 직접 웨이퍼 결합 분야에 관한 것이고, 보다 상세하게는 반도체 디바이스 및 집적회로 조립에 사용될 기판의 결합에 관한 것이다.
종래의 CMOS 디바이스의 물리적인 한계에 근접하고 있고, 고성능 전자 시스템에 대한 요구가 절박해짐에 따라서, 시스템 온칩(system-on-a chip : SOC)은 반도체 산업의 자연스러운 해결책이 되고 있다. 시스템 온칩의 제조를 위해 하나의 칩 상에서 다양한 기능이 요구된다. 실리콘 기술은 다수의 디바이스를 처리하기 위한 가장 중요한 기술이지만, 현재 요구되는 다수의 회로 및 광전자 기능은 실리콘이 아닌 다른 물질로 제조된 개별 디바이스 및/또는 회로로부터 최적으로 얻어질 수 있다. 따라서, 실리콘을 기반으로 한 디바이스와 함께 비실리콘을 기반으로 한 디바이스를 집적시키는 하이브리드 시스템은 순수한 실리콘 또는 순수한 비실리콘 디바이스만으로 가능하지 않은 고유한 SOC 기능을 제공하기 위한 가능성을 제시한다.
이종(異種)의 디바이스 집적을 위한 하나의 방법으로 실리콘 상에서 다른 물질의 헤테로-에피텍셜(hetero-epitaxial)을 성장시키는 방법이 있다. 지금까지, 이러한 헤테로-에피텍셜 성장법은 주로 비실리콘 막과 기판 간의 격자 상수의 부정합으로 인해서 헤테로-에피텍셜 성장 막에서의 높은 밀도의 결함을 보여왔다.
이종의 디바이스 집적에 대한 다른 접근법으로 웨이퍼 결합 기술이 있다. 그러나 고온에서 다른 열팽창 계수를 갖는 다른 물질의 웨이퍼 결합은 단층 생성, 박리, 또는 크랙 생성을 초래하는 열응력을 야기한다. 따라서, 저온에서의 결합이 요구된다. 다른 물질이 낮은 분해 온도를 갖는 물질을 포함하거나 또는 초박막 소스 및 드레인 프로파일을 갖는 InP 이종접합 바이폴라 트랜지스터 또는 처리된 Si 디바이스와 같은 온도 민감성 디바이스를 포함하는 경우에도, 다른 물질의 결합을 위해 저온 결합은 매우 중요하다.
다른 물질을 포함하는 동일한 칩 상에서 다른 기능을 생성하기 위하여 필요한 공정 설계는 최적화하기에 어렵고 힘들다. 실제로, 다수의 최종 SOC 칩(특히, 더 큰 집적 규모에서)은 낮은 수율을 보인다. 하나의 접근법으로 웨이퍼 접착성 결합과 층 전사를 통해 완전히 처리된 IC를 상호 연결하는 것이 있다. 예컨대, 전체 내용이 본 명세서에 참조로서 병합된, Y. Hayashi, S. Wada, K. Kajiyana, K. Oyama, R. Koh, S Takahashi 및 T. Kunio의 논문(Symp. VLSI Tech, Dig. 95(1990))과 미국 특허제5,563,084호를 참조한다. 그러나 웨이퍼 접착성 결합은 주로 고온에서 작용하고, 열응력, 가스 발생, 기포 형성 및 접착의 불안정을 겪어, 공정 중의 감소된 수율과 시간의 경과에 따라 열악한 신뢰도를 초래한다. 또한, 접착성 결합은 주로 밀폐성이 아니다.
웨이퍼 직접 결합은 어떠한 접착제 없이도 웨이퍼를 상온에서 결합시키는 기술이다. 상온에서의 직접 웨이퍼 결합은 전형적으로 밀폐성이다. 이는 접착제 결합에서와 같이 응력과 이질성을 쉽게 초래하지 않는다. 또한, 저온에서 결합된 웨이퍼 쌍이 박막화 공정을 견딜 수 있다면, 결합된 쌍 중 하나의 웨이퍼가 특정 물질의 조합을 위하여 각 임계값 이하의 두께로 박막화될 때, 층 내에서 단층의 오정합의 발생 및 이어지는 열 공정 중에 결합된 쌍의 미끄럼짐 또는 크랙의 발생이 회피된다. 예컨대 전체 내용이 본 명세서에 참조로서 병합된 Q.-Y. Tong와 U. Gosele의 논문(반도체 웨이퍼 결합 : 과학과 기술, John Wiley & Sons, New York, 1999)을 참조한다.
또한, 웨이퍼 직접 결합과 층 전사는 VLSI(Very Large Scale Intergration)에 적합하고, 상당히 유연하며 제조 가능한 기술이다. 적층 3차원 시스템 온칩(3D SOC)을 형성하기 위하여 이 기술을 사용하는 것이 상당히 바람직하다. 3-D SOC 접근법은 시스템 온칩을 형성하기 위한 기존 집적 회로의 집적으로 볼 수 있다.
또한, 집적의 복잡도가 증가함에 따라, 다양한 회로를 저온에서 바람직하게는 상온에서 강력하게 통합하여 부가적인 응력을 낮추거나 제거하고 더 높은 신뢰도의 회로를 만들기 위한 집적 공정에 대한 요구 또한 증가한다.
결합되는 웨이퍼 또는 다이 간에 저온 또는 상온에서의 금속의 직접 웨이퍼 결합은 3D-SOC 제조를 위해 바람직하다. 왜냐하면, 이러한 결합은 웨이퍼와 다이 간에 비금속의 직접 웨이퍼 결합과 연관해서 사용할 수 있기 때문이다. 웨이퍼와 다이가 기계적으로 결합되면, 결합되는 웨이퍼 또는 다이 간의 전기적인 상호연결을 가져옴으로써, 후-결합 공정, 에칭을 통한 유사 기판 박막화, 및 상호연결 경화에 대한 필요성을 없애고, 결합되는 웨이퍼 또는 다이 간의 전기적 상호연결을 가져온다. 매우 낮은 기생 전력과 그로 인한 감소된 전력 및 증대된 대역폭 성능을 가져오는 초소형 결합 금속 패드를 사용할 수 있다.
깨끗한 표면과 금속의 결합은 잘 알려진 현상이다. 예컨대, 열-압축 와이어 결합은 웨이퍼 레벨의 결합에 적용되어 왔다. 온도, 압력 및 낮은 경도의 금속이 전형적으로 사용되고, 대개 잔류 응력을 초래한다. 예컨대, M.A. Schmidt의 논문(IEEE 회보 Vol 86, No.8, 1575(1998)과, Y. Li, R.W. Bower, I. Bencuya의 논문(Jpn. J. Appl. Phys. Vol. 37, L1068(1988))을 참조한다. 250-350℃ 에서 Pd 금속 층이 덮인 실리콘 또는 III, V족 화합물 웨이퍼의 집적 결합은, B. Aspar, E. Jalaguier, A. Mas, C. Locatelli, O. Rayssac, H. Moricean, S. Pocas, A. Papon, J. Michasud 및 M. Bruel에 의해 Electron, Lett., 35, 12(1999)에서 보고되었다. 그러나, 실제, Pd2Si 규소화합물 또는 Pd- III, V족 합금, 비금속 Pd가 형성되어 결합된다. 상온에서 Au와 Al의 결합은 플립 칩 결합(flip chip bonding)에서 초음파와 압축 부하를 사용하여 달성되어왔다. 예컨대, M. Hizukuri, N. Watanabe 및 T. Asano의 논문 Jpn. J. Appl. Phys. Vol. 40, 3044(2001)를 참조한다. 웨이퍼 레벨에서의 상온의 금속 결합은 기본 압력이 3x10-8 mbar보다 낮은 초고진공(UHV: ultrahigh vacuum) 시스템에서 구현되어 왔다. 주로 아르곤 이온 스퍼터링 또는 고속 원자 빔이 사용되어 결합표면을 세척하고, 이후 결합 기판에 대한 외부 압력이 가해진다. 예컨대, T. Suga의 논문 "반도체 웨이퍼 결합에 대한 2차 국제 심포지움의 회보"(Electrochemical Soc. Proc. Vol. 93-29, p.71(1993))를 참조한다. 얇게 스퍼터링된 Ti, Pt 및 Au 막을 갖는 두 개의 Si 기판 간의 상온 결합은, 기본 압력이 3x10-8 mbar보다 낮은 UHV 시스템 내에서 4-40 μbar의 Ar 압력에서 박막 스퍼터링 이후 인가된 힘을 이용하여 달성되어 왔다. 예컨대, T. Shimatsu, R.H. Mollema, D. Monsma, E.G. Keim 및 J.C. Lodder, J. Vac. Sci. Technol. A 16(4), 2125(1998)를 참조한다.
따라서, 본 발명의 목적은 단일 결합 단계를 통해 웨이퍼와 다이 간의 기계적 및 전기적 접촉을 획득하는 것이다.
본 발명의 다른 목적은 반도체 회로의 웨이퍼 또는 다이 간의 금속 결합이 외부 압력 없이도 대기 상태에서 형성될 수 있는 저온 또는 상온의 결합 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 반도체 회로의 웨이퍼 또는 다이 간에 임의의 금속 층의 금속 결합이, 금, 구리 또는 팔라듐의 박막으로 금속층을 덮음으로써 외부 압력을 인가하지 않고도 대기 상태 내의 상온에서 웨이퍼 레벨로 형성될 수 있는 저온 또는 상온의 결합 방법을 제공하는 것이다.
본 발명의 또 다른 목적은, 금속 및 다른 비금속 층이 공존하는 반도체 회로로 이루어진 웨이퍼 또는 다이의 결합 표면에, 상온에서 금속 결합 및 공유 결합이 동시에 형성되는, 외부 압력을 사용하지 않는 대기 상태 내에서의 웨이퍼 레벨의 상온 결합 방법을 제공하는 것이다.
다른 목적은, 다른 열팽창 계수를 갖는 다른 기판 또는 다른 기판 상의 다른 물질이 다른 기판 또는 다른 기판 상의 다른 물질 간에 파극적인 응력의 생성 없이 함께 결합될 수 있는 상온 결합 방법을 제공하는 것이다.
본 발명의 또 다른 목적은, 기판 간의 결합력이 기판의 기계적인 분열 강도에 근접하는 상온 결합 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 별도의 기판 상에 개별적으로 제조되어 공통 기판 상에 결합된 디바이스를 포함하는 결합된 디바이스 구조를 제공하는 것이다.
본 발명의 또 다른 목적은, 신뢰성 있는 기계적인 결합이 상온에서 또는 이 상온 근방의 온도에서 형성될 수 있고, 신뢰성 있는 전기 접촉이 간단한 저온 어닐링을 통해 후속적으로 형성될 수 있는 방법 및 디바이스를 제공하는 것이다.
본 발명의 이들 및 다른 목적은 결합 방법에 의해 달성되고, 디바이스 구조는, 제 1기판으로서, 바람직하게는 디바이스 또는 회로에 연결된 제 1복수의 금속 결합 패드와 상기 제 1기판 상에서 상기 금속 결합 패드에 인접한 제 1비금속 영역을 구비하는 제 1기판과, 제 2기판으로서, 바람직하게는 제 2디바이스 또는 회로에 연결되고, 상기 제 1복수의 금속 결합 패드와 정렬되거나 정렬될 수 있는 제 2복수의 금속 결합 패드와, 상기 제 2기판 상에서 상기 금속 결합 패드에 인접한 제 2비금속 영역을 구비하는 제 2기판과, 상기 제 1 및 제 2세트의 금속 결합 패드 간에, 상기 제 1비금속 영역의 상기 제 2비금속 영역에 대한 직접 웨이퍼 결합에 의해 생성된 힘의 직접적인 결과인 상기 제 1기판과 상기 제 2기판 내의 원소의 탄성 변형을 통해, 또는 상기 제 1비금속 영역의 상기 제 2비금속 영역에 대한 직접 웨이퍼 결합 이후 상기 제 1 및 제 2세트의 금속 결합 패드 주위에서 금속의 리플로우(reflow)를 통해 형성되는 접촉-결합된 인터페이스를 포함한다.
본 발명의 보다 완전한 이해 및 수반하는 본 발명의 많은 장점은, 첨부도면과 관련하여 이루어지는 다음의 상세한 설명으로부터 보다 잘 이해할 수 있을 것이다.
본 발명은 단일 결합 단계를 통해 웨이퍼와 다이 간의 기계적 및 전기적 접촉을 획득할 수 있다.
본 발명은 반도체 회로의 웨이퍼 또는 다이 간의 금속 결합이 외부 압력 없이도 대기 상태에서 형성될 수 있는 저온 또는 상온의 결합 방법을 제공할 수 있다.
본 발명은 반도체 회로의 웨이퍼 또는 다이 간에 임의의 금속 층의 금속 결합이, 금, 구리 또는 팔라듐의 박막으로 금속층을 덮음으로써 외부 압력을 인가하지 않고도 대기 상태 내의 상온에서 웨이퍼 레벨로 형성될 수 있는 저온 또는 상온의 결합 방법을 제공할 수 있다.
본 발명은 금속 및 다른 비금속 층이 공존하는 반도체 회로로 이루어진 웨이퍼 또는 다이의 결합 표면에, 상온에서 금속 결합 및 공유 결합이 동시에 형성되는, 외부 압력을 사용하지 않는 대기 상태 내에서의 웨이퍼 레벨의 상온 결합 방법을 제공할 수 있다.
본 발명은 다른 열팽창 계수를 갖는 다른 기판 또는 다른 기판 상의 다른 물질이 다른 기판 또는 다른 기판 상의 다른 물질 간에 파극적인 응력의 생성 없이 함께 결합될 수 있는 상온 결합 방법을 제공할 수 있다.
본 발명은 기판 간의 결합력이 기판의 기계적인 분열 강도에 근접하는 상온 결합 방법을 제공할 수 있다.
본 발명은 별도의 기판 상에 개별적으로 제조되어 공통 기판 상에 결합된 디바이스를 포함하는 결합된 디바이스 구조를 제공할 수 있다.
본 발명은 신뢰성 있는 기계적인 결합이 상온에서 또는 이 상온 근방의 온도에서 형성될 수 있고, 신뢰성 있는 전기 접촉이 간단한 저온 어닐링을 통해 후속적으로 형성될 수 있는 방법 및 디바이스를 제공할 수 있다.
도 1a는 정렬된 금속 결합 패드를 갖는 미결합 기판 쌍의 개략도.
도 1b는 접촉 정렬된 금속 결합 패드를 갖는 미결합 기판 쌍의 개략도.
도 1c는 금속 결합 패드로부터 떨어진 비금속 영역에서 결합된, 본 발명에 따른 접촉된 기판 쌍의 개략도.
도 1d는 금속 결합 패드 근처의 작은 미결합 고리 영역을 제외하고 비금속 영역을 가로질러 결합된, 본 발명에 따른 접촉된 기판 쌍의 개략도.
도 2a 내지 도 2c는 다수의 결합 패드를 갖는 결합 기판을 도시하는 개략도.
도 2d는 본 발명에 따라 미결합된 고리 영역(W)의 폭을, 삽입으로 도시한 바와 같이 반도체 다이를 분리시키는 금속 패드 두께(2h)의 함수로서 도시하는 그래프.
도 3a는 표면 평탄화 이후 반도체 다이 또는 웨이퍼의 개략도.
도 3b는 제 2금속층이 형성되어 금속 패드 상에서 개방된 접촉 윈도우와 함께 평탄화되는 반도체 다이 또는 웨이퍼의 개략도.
도 3c는 제 2금속층을 갖는 제 2반도체 다이 또는 웨이퍼의 개략도.
도 3d는 본 발명에 따른 두 개의 다이 또는 웨이퍼의 정렬된 금속 결합의 개략도.
도 4a는 산화물 코팅 내에 삽입된 금속 패드를 도시하는 기판의 일부의 개략도.
도 4b는 본 발명에 따라 상대 금속 결합 패드를 갖는 미결합된 기판 쌍의 개략도.
도 4c는 본 발명에 따라, 비금속 영역이 접촉하여 결합될 때 생성된 힘에 의해 접촉된 상대 금속 결합 패드를 도시하는, 결합된 기판 쌍의 개략도.
도 4d는 대형 기판에 결합된 소형 기판의 부분 개략도.
도 5a는 변형 가능한 물질 또는 금속 패드의 하부에 공간을 갖는 본 발명의 일 실시예의 개략도.
도 5b는 금속 패드 하부에 변형 가능한 물질을 갖는 본 발명의 일 실시예의 개략도.
도 5c는 함께 결합된 도 5a에 도시한 두 개의 디바이스의 개략도.
도 6a는 비금속 표면의 직접 웨이퍼 결합에 앞서서 두 개의 디바이스 상의 표면에 노출된 리플로우 가능한 금속 물질을 갖는 본 발명의 일 실시예의 개략도.
도 6b는 비금속 표면의 직접 웨이퍼 결합 이후 밀봉된 리플로 가능한 금속 물질을 갖는 본 발명의 일 실시예의 개략도.
도 6c는 비금속 표면 밀봉 리플로 가능한 금속의 직접 웨이퍼 결합 이후 리플로우된 리플로 가능한 금속을 갖는 본 발명의 일 실시예의 개략도.
도 7a는 비금속 표면의 직접 웨이퍼 결합에 앞서서 두 개의 디바이스 상의 표면에 노출된 리플로 가능한 금속 물질을 갖는 본 발명의 일 실시예의 개략도.
도 7b는 비금속 표면의 직접 웨이퍼 결합 이후 밀봉된 리플로 가능한 금속 물질을 갖는 본 발명에 따른 일 실시예의 개략도.
도 7c는 리플로 가능한 금속을 밀봉시킨 비금속 표면의 직접 웨이퍼 결합 이후 리플로우된 리플로 가능한 금속을 갖는 본 발명의 일 실시예의 개략도.
도 8 및 도 9는 상온 결합 에너지 대 저장 시간의 그래프.
(실시예)
몇 개의 도면을 통해 유사한 참조 기호가 유사하거나 대응하는 부분을 나타내는 도면, 특히 본 발명의 결합 처리의 제 1실시예를 도시하는 1a 내지 도 1d, 및 도 2를 이제 참조한다. 본 발명의 제 1실시예에 있어서, 정렬되어 있는 별도의 웨이퍼 상의 금속 접촉 영역이, 금속 영역 주위의 비금속 영역이 상온 화학 결합을 수행할 때 생성된 고유 힘에 의해 가압 접촉되어 결합될 때, 직접 금속-금속 결합이 생성된다. 본 명세서를 통해 사용된 화학 결합은 하나의 웨이퍼 상의 표면 상의 표면 결합이 대향 웨이퍼의 표면 상에서의 표면 결합과 반응하여 공유 결합과 같은 표면 원소를 가로질러 직접 결합을 형성할 때 전개되는 결합 강도로서 정의된다. 화학 결합은 예컨대 웨이퍼 물질의 분열 강도에 접근하는 높은 결합 강도에 의해 명백하고, 따라서 단순한 반 데르 발스 결합과는 구별된다. 본 발명의 방법에 의해 얻어지는 화학 결합 강도의 예는 아래에서 논의한다. 화학 결합 과정에 있어서, 실질적인 힘이 조성된다. 이들 힘은 충분히 커서, 화학 결합이 대향 비금속 영역 사이로 전파될 때 금속 영역을 탄성 변형시킨다.
도 1a는 각각의 대향하는 웨이퍼 표면(11,14)을 갖는 두 장의 웨이퍼(10,13)를 도시한다. 웨이퍼 표면은 순수한 원소의 반도체 표면일 수 있거나, 상대적으로 적은 양의 자연 산화물을 포함하는 순수한 원소의 반도체 표면일 수 있거나, 또는 산화물 코팅된 표면과 같은 절연체일 수 있다. 표면은 평탄한 활성 표면을 생성하기 위하여 제조될 수 있다. 연마 및 연마와 약간의 에칭(VSE : very slightly etching)과 같은 기술이 사용될 수 있다. 결합층은 증착될 수 있고, 연마 또는 연마와 약간의 에칭이 행해질 수 있다. 최종 표면은 상보적이고, 평탄하고 평활한 화학 결합 표면을 갖는다. 이들 표면의 조도는 5-15Å 정도이고, 바람직하게는 10Å 이하, 보다 바람직하게는 5Å 이하이다.
보다 상세하게, 결합층의 예로서, 결합층은 상온에서 증착되어 형성될 수 있는 고체 상태 물질 또는 혼합 물질일 수 있고, 충분히 평탄한 표면으로 연마될 수 있다. 결합층은 화학 증기 증착(CVD) 또는 플라즈마 강화 CVD(PECVD), 스퍼터링을 사용하여 또는 증착을 통해 형성된 SiO2, 실리콘 질화물, 비결정 실리콘과 같은, 절연체일 수 있다. 중합체, 반도체 또는 소결 물질과 같은 다른 물질이 사용될 수 있다. 결합층은 층상에 형성된 표면 지형보다 더 두꺼운 두께를 가져야 한다. 바람직하게, 결합층은 증착된 실리콘 산화물이다.
결합층의 표면은 평탄화되고 평활해진다. 이러한 단계는 화학-기계 연마를 사용하여 달성된다. 표면은 바람직하게 상술한 조도로 연마되고, 실질적으로 평탄해진다. 연마 후, 표면은 세척 및 건조되어 연마 단계에서의 임의의 잔류물을 제거한다. 연마된 표면은 그 후 용액으로 헹굼을 하는 것이 바람직하다.
결합 표면은 평탄도 및/또는 표면 조도를 개선하기 위하여 연마 이전에 에칭될 수도 있다. 에칭은 예컨대 표준 포토리소그래피 기술을 사용하여 높은 점의 선택적인 에칭을 통해 결합 표면상의 높은 지점을 제거하는데 효과적일 수 있다. 예컨대, HF를 포함하는 용액을 사용할 때 에칭 정지로서 작용할 수 있는 실리콘 질화물 층이 이산화 실리콘 결합층 내에 삽입될 수 있다. 에칭 정지 물질은 균일성, 재현성, 및 제조가능성을 개선하기 위하여 사용될 수 있다.
그 후 표면은 활성화 공정을 거친다. 이러한 활성화 공정은 에칭 공정이고, 바람직하게는 매우 약한 에칭(VSE) 공정이다. VSE라는 용어는 매우 약하게 에칭된 표면의 제곱 평균 미소-조도(RMS)가 상술한 범위 내의 대략 에칭되지 않은 값으로 남아 있는 것을 의미한다. 제거된 물질의 최적의 양은 제거에 사용된 물질 및 방법에 따라 다르다. 제거되는 전형적인 양은 Å으로부터 수 nm까지 변한다. 더 많은 물질을 제거하는 것도 가능하다. VSE는 또한 처리된 표면상의 결합 파괴를 포함하고, 물질의 상당한 제거 없이도 발생할 수 있다. VSE는 예컨대 표면을 전자 전하로 대전시키므로 또는 표면 층을 손상시키므로 표면의 단순한 변형과는 다르다. 본 발명에 따른 방법의 제 1실시예에 있어서, VSE 공정은 특정 시간 동안 특정 전력 레벨에서의 (산소, 아르곤, 질소, CF4, NH3와 같은)기체 또는 혼합 기체의 플라즈마 공정으로 이루어진다. 플라즈마 공정의 전력 또는 지속 기간은 원하는 결합 에너지를 얻기 위하여 사용된 물질에 따라 달라진다. 예가 아래에 주어졌지만, 일반적으로 전력 및 지속기간은 경험적으로 결정될 것이다.
플라즈마 공정은 다른 모드로 행해질 수 있다. 반응성 이온 에칭(RIE) 및 플라즈마 모드 모두, 유도-접속 플라즈마 모드(ICP)와 함께 사용될 수 있다. 스퍼터링 또한 사용될 수 있다. 데이터와 예가 RIE와 플라즈마 모드 모두에 대해 이하에 주어졌다.
VSE 공정은 물리적인 스퍼터링 및/또는 화학 반응을 통해 표면을 매우 약하게 에칭하고, 바람직하게는 결합 표면의 표면 조도를 열화시키지 않도록 제어된다. 표면 조도는 VSE 및 에칭된 물질에 따라 추가로 개선될 수 있다. 표면을 과도하게 에칭하지 않는 대부분의 임의의 기체 또는 기체 혼합물은 본 발명에 따른 상온 결합 방법을 위해 사용될 수 있다.
VSE는 표면을 세척하고, 웨이퍼 표면상의 산화물의 결합을 파괴시키는 역할을 한다. VSE 공정은 따라서 표면 활성화를 상당히 강화시킨다. 원하는 결합 종은 VSE의 적절한 설계에 의해 VSE 중에 표면상에서 종단(termination)되도록 사용될 수 있다. 선택적으로, 후-VSE 처리 중에 표면을 활성화시키고 원하는 종단 종으로 종단시키는 후-VSE 처리가 사용될 수 있다.
원하는 종은, 표면이 동일하거나 다른 결합 종에 의해 종단되는 표면과 결합될 수 있는 후속 시간까지, 표면 원자 층에 대한 일시적인 결합을 추가로 형성하여, 원자 층을 효과적으로 종단시키는 것이 바람직하다. 표면상의 원하는 종은, 이들이 서로 충분히 밀집하여 근접해 있어, 반응한 원하는 종의 결합 경계면으로부터 멀어지는 확산 또는 해리와 확산에 의해 강화되는 저온 또는 상온에서의 표면 간의 화학 결합을 허용할 때, 서로 추가로 반응하는 것이 바람직하다.
후-VSE 공정은 결합 표면을 원하는 종으로 종단시키는 표면 반응을 생성하기 위하여, 선택된 화학물을 포함하는 용액 내에 담그는 것으로 이루어지는 것이 바람직하다. 담금은 VSE 공정 직후에 이루어지는 것이 바람직하다. 후-VSE 공정은 VSE 공정이 실행되는 동일한 장치 내에서 수행하는 것이 바람직하다. 이러한 공정은 VSE 및 후-VSE 공정이 모두 건식, 즉 RIE, ICP, 스퍼터링 등이거나 습식, 즉 용액 담금일 경우 가장 쉽게 이루어진다. 원하는 종은 원자 또는 분자의 단층 또는 수 개의 단층으로 이루어지는 것이 바람직하다.
후-VSE 공정은 플라즈마, RIE, 또는 다른 건식 공정으로 이루어질 수도 있어서, 적절한 기체 화학물이 삽입되어 원하는 종으로 표면의 종단을 초래한다. 후-VSE 공정은 제 2VSE 공정이 될 수도 있다. 종단 공정은 표면의 오염물이 VSE 없이도 제거되는 세척 공정을 포함할 수 있다. 이 경우, 상술한 후-VSE 공정과 유사한 후-세척 공정은 그 후 원하는 표면 종단을 가져온다.
세척 또는 VSE 공정에 의해 활성화된 표면 결합이 후속적으로 충분히 약하게 표면 재구성되고, 결합 이전에 충분히 깨끗하게 남아 있을 수 있어, 유사한 표면과의 후속 결합이 화학 결합을 형성할 수 있다면, 후-VSE 또는 후-세척 공정은 표면을 원하는 종으로 종단시키는 것이 요구되거나 요구되지 않을 수 있다.
웨이퍼는 선택적으로 헹구어진 후 건조된다. 두 장의 웨이퍼는 이들을 (필요하다면) 정렬시켜, 이들이 결합 경계면을 형성하도록 함으로써 결합된다. 따라서 자발적인 결합은 전형적으로 결합 경계면의 일부 위치에서 발생하여 웨이퍼를 가로질러 전파된다. 초기 결합이 시작하여 전파될 때, 화학 결합을 초래하는 중합화와 같은 화학 반응은 표면이 충분히 근접할 때 표면을 종단시키기 위하여 사용된 종 간에 발생한다. 결합 에너지는 쐐기를 삽입하여 부분적으로 결합 해제되는 결합 경계면에서 분리된 표면 중 하나의 특정 표면 에너지로 정의된다. 따라서 반응의 부산물은 결합 경계면으로부터 웨이퍼 에지로 확산되거나, 또는 주위 물질 내에서 웨이퍼에 의해 흡수된다. 부산물은 웨이퍼에 의해 확산되거나 흡수되는 다른 부산물로 변환될 수도 있다. 공유 결합 및/또는 이온 결합의 양은 변환된 종의 제거를 통해 증가되어, 결합 강도의 추가적인 증가를 초래한다.
결합층의 물질은 개방 구조를 가져 중합화 반응의 부산물이 쉽게 제거될 수 있는 것이 바람직하다. 대향하는 결합 표면상의 결합 종은 강한 또는 화학 결합을 형성하기 위하여 상온에서 반응할 수 있어야 한다. 웨이퍼가 다른 열팽창 계수를 가질 때, 후속하는 처리 또는 동작과 관련된 후속 열 처리 이후, 웨이퍼 간의 미끄러짐을 실질적으로 제거하기 위하여 결합 에너지는 충분히 커야 한다. 미끄럼짐의 부족은 후속 처리 또는 동작 이후 검사시 웨이퍼 보우잉(bowing)의 부족으로 나타난다.
결합된 웨이퍼는, 사용된 물질 및 종에 따라 특정 기간의 시간 동안 종 또는 변환된 종을 제거할 수 있도록 결합 이후 대기 상태에서 저온 또는 상온에서 보관하는 것이 바람직하다. 주로 24시간이 바람직하다.
보관 시간은 사용된 플라즈마 처리의 유형에 따라 다르다. 화학 결합은, Ar 플라즈마와 같은 특정 플라즈마 공정이 사용될 때, 분 단위로 보다 신속하게 얻을 수 있다. 예컨대, 585 mJ/m2 결합은 결합 이후 곧바로 얻어졌고, 800 mJ/m2 이상은 NH4OH에 담그는 것을 수반하는 Ar 플라즈마에 의한 증착된 산화물의 8시간 에칭이후 관찰되었다.
결합 도중의 결합된 웨이퍼의 어닐링은 결합 강도를 증가시킨다. 어닐링 온도는 200℃ 이하이어야 하고, 전형적으로 75-100℃ 범위가 될 수 있다. 결합된 웨이퍼를 진공하에서 보관하는 것은 결합 표면으로부터 잔류 기체를 제거하는 것을 용이하게 하지만, 항상 필요한 것은 아니다.
상기의 모든 공정이 상온에서 또는 그 근처 온도에서 수행될 수 있다. 웨이퍼는 충분한 강도로 결합되어 후속 처리 동작(랩핑, 연마, 기판 제거, 화학 에칭, 리소그래피, 마스킹, 등)을 가능케 한다. 대략 500 내지 2000 mJ/m2 이상의 결합 에너지를 얻을 수 있다(도 8 참조).
일 예에 있어서, SiO2는 디바이스를 포함하는 Si 웨이퍼 상에 증착된다. 플라즈마(아르곤, 산소 또는 CF4와 같은) 처리 이후, 플라즈마 시스템 및 공기 내의 습기의 이용가능성으로 인해, 표면은 주로 Si-OH 기에 의해 종단된다. 플라즈마 처리 이후, 웨이퍼는 곧 바로 수산화 암모늄(NH4OH), NH4F, 또는 HF와 같은 용액에 10 내지 120 초 정도의 기간 동안 담근다. 웨이퍼를 NH4OH 용액에 담근 후, 많은 Si-OH 기는 다음의 치환 반응에 따라 Si-NH2 기로 치환된다.
2Si-OH + 2NH4OH 2Si-NH2 +4HOH (1)
선택적으로, 많은 Si-F기는 NH4OH 또는 HF에 담근 후 PECVD SiO2 표면상에서 종단된다.
결합 표면을 가로질러 수소 결합된 Si-NH2:Si-OH기 또는 SiNH2:Si-NH2기는 상온에서 중합화될 수 있어서, Si-O-Si 또는 Si-N-N-Si(또는 Si-N-Si) 공유 결합을 형성한다.
Si-NH2 + Si-OH Si-O-Si + NH3 (2)
Si-NH2 + Si-NH2 Si-N-N-Si + 2H2 (3)
선택적으로, HF 또는 NH4F에 담근 산화물 표면은 Si-OH기에 부가하여 Si-F기에 의해 종단된다. HF 또는 NH4F 용액이 실리콘 산화물을 강하게 에칭시키므로, 이들의 농도는 적절히 낮은 레벨로 제어되어야 하고, 담겨지는 시간은 충분 짧아야 한다. 이것은 제 2VSE 공정이 되는 후-VSE 공정의 일 예이다. 결합 경계면을 가로지르는 공유 결합은 수소 결합된 Si-HF 또는 Si-OH기 간의 중합화 반응에 기인하여 형성된다.
Si-HF + Si-HF Si-F-F-Si + H2 (4)
Si-F + Si-OH Si-O-Si + HF (5)
도 9는 상온 결합 이전에 0.05% HF에 담근 결합된 열적 산화물로 덮인 실리콘의 플루오르 농도 프로파일을 도시한다. 플루오르 농도 피크는 결합 경계면에서 명확히 볼 수 있다. 이것은 원하는 종이 결합 경계면에 위치하는 상술한 화학 공정의 증거를 제공한다.
반응(2)이 ~500℃의 비교적 높은 온도에서만 가역적이기 때문에, 형성된 실록산 결합은 저온에서 NH3에 의해 부식되지 않는다. 산화물 내에서 H2 분자가 작고 물분자보다 약 50배 빨리 확산되는 것이 알려져 있다. 적절한 두께, 즉 수 nm의 표면 근처에 손상된 층이 존재하면, 이러한 층에서 반응 (2), (3), (4) 및/또는 (5)에서 NH3, 및 HF 및 수소의 확산 또는 분해를 쉽게 하고, 화학 결합의 향상을 쉽게 한다. 세 가지 반응은 보관 시간의 기간 이후 상온에서 SiO2/SiO2 결합 쌍의 더 높은 결합 에너지를 가져와서, NH3 또는 H2가 확산되도록 허용한다.
이 예에서, 플라즈마 처리는 결합 표면 근처의 산화물 층 내에서 손상 또는 결함 있는 영역을 생성한다. 이러한 구역은 수 개의 단일층에 대해 확장된다. 손상 또는 결함 있는 영역은 결합 부산물의 제거를 돕는다. 결합 부산물의 효과적인 제거는 결합 강도를 개선시키는데, 왜냐하면 부산물이 높은 강도의 결합이 생성되는 것을 방해함으로써 결합 공정을 방해할 수 있기 때문이다.
많은 다른 물질 표면이 세척 공정을 수반하는 평활화 및/또는 평탄화될 수 있어, 본 발명에 따른 결합을 만든다. 이들 물질은 표면을 충분한 평탄도, 표면 평활도 및 세척, 및/또는 VSE, 활성화 및 종단을 포함하는 패시베이션으로 합치시킴으로써 상온 결합될 수 있다. 비결정 및 소결된 물질, 비평탄한 집적 회로, 및 실리콘 웨이퍼는 이러한 물질의 예이다. SiO2 또는 Si 표면과 같은 단일 결정의 반도체 또는 절연 표면에는 원하는 표면 조도, 평탄도 및 청결이 제공될 수 있다. 표면을 고진공 또는 초고진공 하에서 유지시키는 것은 본 발명에 따른 강한 결합을 얻기 위하여 충분히 오염이 없는 표면 및 원자 재구성을 얻는 것을 단순화시킨다. InP, GaAs, SiC, 사파이어 등과 같은 다른 반도체 또는 절연체 물질이 또한 사용될 수 있다. 또한, PECVD SiO2가 저온에서 많은 종류의 물질 상에 증착될 수 있으므로, 많은 다른 조합의 물질이 상온에서 본 발명에 따라 결합될 수 있다. 적절한 공정과 화학 반응이 VSE, 표면 활성화 및 종단을 위해 사용될 수 있는 한, 다른 물질이 또한 증착될 수 있다.
상대적으로 두꺼운(~5 nm) 산화물 층이 형성된다면, 물분자가 이러한 두꺼운 층을 통해 확산되는데 긴 기간의 시간이 소요된다. 다른 한편으로, 플라즈마 처리 이후 얇은 산화물 층이 남겨지거나 또는 너무 좁은 결함 영역이 형성된다면, 실리콘 표면에 도달할 수 있는 물은 실리콘과 충분히 반응하지 않을 것이고, 수소로 변환되지 않을 것이다. 두 경우에, 결합 에너지 강화는 제한될 것이다. 바람직한 산소 플라즈마 처리는 따라서 실리콘 표면상에 최소 플라즈마 산화물 두께(예, 대략 0.1 ~ 1.0 nm)와 합리적으로 두꺼운 결함 구역(예, 대략 0.1 ~ 0.3 nm)을 남긴다.
제 2실시예에 있어서, VSE 공정은 습식 화학물을 사용한다. 예컨대, 제 1실시예에서와 같이 증착된 실리콘 산화물 층을 갖는 InP 웨이퍼와 디바이스 층은, 증착된 산화물 층을 갖는 AIN 기판에 결합된다. InP 웨이퍼 결합 표면과 AIN 웨이퍼 결합 표면을 평활화 및 평탄화한 이후, 두 웨이퍼는 표준 RCA 세척 용액 내에서 세척된다. 웨이퍼는 HF 농도가 바람직하게는 0.01 내지 0.2%의 범위인 묽은 HF 수용액을 사용하여 매우 약하게 에칭된다. 대략 십분의 수 nm가 제거되고, 표면 평활도는 AFM(atomic force microscope) 측정을 통해 측정할 때 악화되지 않는다. 탈이온화 물로 헹구지 않고, 웨이퍼는 스핀 건조되고, 대기상태의 상온에서 결합된다. 최종 결합 에너지가 공기 중에 보관 이후 ~700 mJ/m2에 도달하는 것으로 측정되었다. 이러한 결합 쌍을 75℃에서 어닐링한 후, 1500 mJ/m2의 결합 에너지가 얻어졌다. 결합 에너지는 100℃에서의 어닐링 이후 실리콘 벌크 분열 에너지(대략 2500 mJ/m2)에 도달하는 것으로 측정되었다. 웨이퍼를 HF에 담근 후 탈이온화 물로 헹구면, 100℃에서의 결합 에너지는 헹굼 없이 얻어진 에너지의 대략 1/10인 200 mJ/m2로 감소한다. 이것은 종단 종으로서 OH에 대한 F의 선호도를 나타낸다.
결합의 제 1예에 있어서, 3인치<100>, 1-10 Ω-cm, 붕소 도핑된 실리콘 웨이퍼가 사용되었다. PECVD 산화물은 실리콘 웨이퍼 일부에 증착되었다. 비교를 위하여, 열 산화된 실리콘 웨이퍼가 또한 조사되었다. PECVD 산화물 두께는 웨이퍼의 전면 측과 후면 측에서 각각 0.5 ㎛ 및 0.3 ㎛이었다. 산화물은 웨이퍼 양 측에 증착되어, 연마 도중에 웨이퍼 보우(bow)를 최소화시키고, 평탄화를 개선시킨다. 소프트 연마가 수행되어, 대략 30 nm의 산화물을 제거하고, 원래 ~ 0.56 nm의 미소-조도의 평균제곱(RMS)을 갖는 전면 산화물 표면을 최종 ~ 0.18 nm로 평탄화시킨다. 스핀 건조가 수반되는 웨이퍼 표면을 세척하기 위하여 변형된 RCA1 용액이 사용되었다.
두 웨이퍼는 플라즈마 시스템에 적재되었고, 두 웨이퍼는 RF 전극에 위치하여 RIE 모드의 플라즈마에서 처리되었다. 비교를 위하여, 일부 웨이퍼는 웨이퍼가 접지 전극에 놓이는 플라즈마 모드에서 처리되었다. 16 scc/m의 공칭 흐름율로 산소 플라즈마가 사용되었다. RF 전력은 13.56 MHz에서 20-400W(전형적으로 80W)이었고, 진공도는 100 mTorr이었다. 산화물이 덮인 웨이퍼는 15초 내지 5분 간의 시간 동안 플라즈마 내에서 처리되었다. 플라즈마 처리된 실리콘 웨이퍼는 그 후 적절한 용액에 담기거나 또는 탈이온 물로 헹구어졌고, 공기 중에서 스핀 건조와 상온 결합이 뒤따랐다. 플라즈마 처리된 웨이퍼의 일부는 또한 헹굼 또는 담금이 없이 직접 공기 중에서 결합되었다.
다음 수식에 따라 크랙 길이를 측정하기 위하여 쐐기를 경계면에 삽입함으로써 결합 에너지가 측정되었다.
Figure 112012010892669-pat00001
E와 tw는 웨이퍼 1과 2에 대한 영 계수(Young's modulus)와 두께이고, tb는 웨이퍼 에지로부터 길이 L의 웨이퍼 분리를 초래하는 두 웨이퍼 간에 삽입된 쐐기의 두께이다.
결합된 플라즈마 처리된 산화물이 덮인 실리콘 웨이퍼의 보관 시간의 함수로서 상온 결합 에너지가 도 8에 도시되었다. 이 수치는 도시된 4가지 다른 경우에 대해 측정된 상온 결합 에너지 대 보관 시간을 도시한다. 그 결과는 다음과 같이 요약할 수 있다: (1) 담겨지고 결합된 RIE 플라즈마 처리된 산화물 웨이퍼에 대해, 상온 결합 에너지는 보관 시간에 따라 증가하고, 공기 중에서 또는 낮은 진공 하에서 ~ 20 h 이후 안정된 값에 도달한다; (2) RIE 모드는 플라즈마 모드보다 더 높은 결합 에너지를 초래한다; (3) 너무 짧은 플라즈마 노출 시간 또는 너무 낮은 플라즈마 전력은 결합 에너지의 작거나 무시 가능한 증가를 제공한다; (4) 플라즈마 처리 이후 NH4OH에 담그는 것은 물로 헹구는 것보다 결합 에너지의 훨씬 더 높은 증가를 보인다; (5) 담금 또는 헹굼 없이 플라즈마 처리 이후 공기 중에서 집적 결합은 시간에 따른 거의 일정한 결합 에너지를 도시한다. 상온 결합 직후 집적 결합된 웨이퍼 쌍의 결합 에너지는 탈이온 물에 헹구거나 또는 NH4OH에 담근 웨이퍼 쌍보다 약간 높다.
도 9는 PECVD 산화물 증착된 층을 갖는 Si 및 AIN 웨이퍼의 상온 결합을 도시한다. 100h의 보관 시간 이후, 2000 mJ/m2 이상의 결합 에너지가 관찰되었다.
다른 결합 물질을 비교하면, O2의 플라즈마 처리된 열적으로 산화된 실리콘 웨이퍼 쌍의 보관 시간의 함수로서 결합 에너지는, 상온 결합 에너지의 값이 약간 낮다 할지라도, PECVD 산화물을 갖는 웨이퍼와 비슷하다.
공기 중의 상온에서 ~ 24h의 보관 이후, ~ 1000 mJ/m2만큼 높은 결합 에너지가 RIE 모드 플라즈마 처리되고 NH4OH에 담근 PECVD 산화물로 덮인 웨이퍼 쌍에서 도달되었다. 반 데르 발스 결합된 실리콘 산화물로 덮인 웨이퍼 쌍의 최대 결합 에너지가 대략 200 mJ/m2 이므로, 많은 부분의 결합 에너지는 상기 수식에 따른 상온의 결합 경계면에서의 공유 결합의 형성에 기여한다.
표면은 플라즈마 또는 RIE 모드에서 기(radical), 이온, 광자 및 전자와 같은 에너지를 갖는 입자에 의해 스퍼터링 에칭된다. 예컨대, 원하는 VSE를 초래하는 조건 하의 O2 플라즈마는, 반사 분광계로 측정할 때, PECVD 산화물의 2Å/min 정도의 스퍼터링 에칭이다. 열적 산화물에 대해 스퍼터링 에칭율은 대략 0.5Å/min 정도이다. 플라즈마 처리 전후의 산화물의 두께는 반사 분광계로 측정되었고, 각 웨이퍼 상의 98 측정 점으로부터 평균되었다. O2 플라즈마에 의한 에칭은 산화와 스퍼터링에 의해 표면을 세척할 뿐만 아니라 웨이퍼 표면상의 산화물의 결합을 파괴하기도 한다.
그러나, 플라즈마 처리된 산화물 표면의 표면 조도는 에칭 공정에 의해 열화되지 않아야 한다. AFM 측정은, 초기 표면 조도와 비교할 때, O2 플라즈마 처리된 산화물 웨이퍼의 RMS가 ~ 2Å이고, 현저하게 변화하지 않음을 보여준다. 다른 한편으로, 에칭이 충분히 강하다면, 결합 에너지 증대 효과 또한 작아진다. RIE 모드가 아니라 플라즈마 모드로 O2 플라즈마 처리가 수행될 때 다른 조건은 변하지 않게 유지하면, 산화물 표면의 에칭은 무시할 수 있고, 산화물 두께는 변하지 않는다. 최종 상온 결합 에너지는 RIE 처리된 웨이퍼의 1000 mJ/m2과 비교하여 단지 385 mJ/m2 이다(도 8 참조).
다른 기체 플라즈마는 유사한 효과를 보여주었다. CF4/O2 RIE는 ~ 4 nm의 PECVD 산화물을 결합 이전에 웨이퍼 표면으로부터 제거하기 위하여 사용되었다. 상온 결합된 PECVD 산화물로 덮인 실리콘 웨이퍼의 결합 에너지는 이러한 방법으로 상당히 강화되었고, 충분한 보관 시간 이후 1000 mJ/m2을 초과한다(도 8 참조).
아르곤 플라즈마는 16 scc/m의 공칭 흐름율을 갖는 VSE를 위하여 사용되어 왔다. RF 전력은 전형적으로 13.56 MHz에서 60W이고, 진공도는 100 mTorr이었다. 산화물로 덮인 실리콘 웨이퍼는 30초 내지 2분 간의 시간 동안 RIE 모드의 플라즈마 내에서 처리되었다. 플라즈마 처리된 실리콘 웨이퍼는 그 후 NH4OH 용액에 담기고, 공기 중에서 스핀 건조와 상온 결합이 뒤따른다. 결합 에너지는 공기 중에서 오직 8h의 보관 이후 상온에서 ~ 800 mJ/m2에 도달한다.
각 웨이퍼는 한 세트의 금속 패드(12,15)와 표면(11,14) 내의 금속 결합 패드에 인접한 비금속 영역을 포함한다. 금속 결합 패드의 비-평면 및 표면 조도는 화학 결합 표면의 것보다 클 수 있다. 패드(12,15)는 전기 연결부를 웨이퍼 상에 사전-제조한 각 디바이스 및/또는 회로로 향하게 하는데 사용된다. 패드는 바람직하게 표면 처리 이전에 형성되고, VSE는 바람직하게 패드가 형성된 후 수행된다. 도 1a에 도시된 바와 같이, 각 웨이퍼 상의 패드(12,15)가 정렬된다. 도 1b는 각 패드를 접촉하기 위하여 웨이퍼를 함께 위치시킬 때의 웨이퍼를 도시한다. 이 단계에서, 패드(12,15)는 분리 가능하다. 도 1c에서, 하나 또는 두 반도체 웨이퍼를 탄성 변형시키기 위하여 약간의 부가적인 압력이 웨이퍼에 가해져, 웨이퍼 상의 비금속 영역의 일부 간의 접촉을 야기한다. 접촉하는 도시된 위치는 일 예이고, 접촉은 다른 위치에서도 일어날 수 있다. 또한, 접촉은 한 점 이상에서도 일어날 수 있다. 이러한 접촉은 화학적인 웨이퍼-웨이퍼 결합을 개시시키고, 결합구조가 도 1d에 도시되었다. 결합 이음매(16)는 초기 화학 결합 이후 확장되어 도 1d에 도시된 결합 이음매(17)를 생성한다. 결합 강도는 초기에는 약하고, 상술한 바와 같이 결합이 전파됨에 따라 증가한다. 대향하는 비금속 영역은 상온 또는 저온에서 화학적으로 결합된다.
보다 상세하게, 금속 결합 패드를 포함하는 웨이퍼 표면은 상온에서 접촉하고, 대향하는 웨이퍼 표면의 접촉하는 비금속 부분은 접촉 점(들)에서 결합을 형성하고, 웨이퍼 간의 끌어당기는 결합력은 접촉 화학 결합이 증가함에 따라 증가한다. 금속 패드가 없다면, 웨이퍼는 전체 웨이퍼 표면을 가로질러 결합할 것이다. 본 발명에 따라, 금속 패드의 존재는, 대향 웨이퍼 간의 결합 이음매를 중단시키면서, 화학 웨이퍼의 웨이퍼 결합을 금하지 않는다. 금속 결합 패드의 가단성과 연성 때문에, 비금속 영역에서의 화학 웨이퍼-웨이퍼 결합에 의해 생성된 압력은 힘을 초래하고, 이 힘에 의해 금속 패드 상의 비평면 및/또는 거친 영역은 변형되어 금속 패드의 개선된 평면 및/또는 조도를 초래하고, 금속 패드 간의 밀접한 접촉을 초래한다. 화학 결합에 의해 생성된 압력은 이들 금속 패드가 서로 밀접하게 접촉하도록 가해질 외부 압력에 대한 필요성을 제거한다. 강한 금속 결합은, 맞물리는 경계면에서 금속 원자의 내부 확산 또는 자체 확산에 기인하여 심지어 상온에서도 밀접하게 접촉된 금속 패드 간에 형성될 수 있다. 이러한 확산은 표면의 자유 에너지를 감소시키기 위하여 열역학적으로 구동되고, 전형적으로 높은 내부 확산 및/또는 자체 확산 계수를 갖는 금속에 대해 강화된다. 이들 높은 확산 계수는, 확산 도중에 금속 이온의 움직임에 의해 방해받지 않는, 전형적으로 대부분이 이동 자유 전자 가스에 의해 결정된 밀착 에너지의 결과이다. 비금속 영역에서의 웨이퍼-웨이퍼 화학 결합은 이와 같이 두 개의 다른 웨이퍼 상의 금속 패드 간의 전기 연결에 영향을 미친다. 이러한 영향을 지배하는 지형 및 기계적인 제한은 이하에서 설명된다.
두 개 웨이퍼의 비금속 표면이 접촉으로부터 배제되는, 폭(W)을 갖는 결합 패드 주위의 미결합 영역이 생성된다(도 1d). 금속 막의 두께가 너무 크지 않는 한, 두 개 결합 웨이퍼 또는 다이 간의 간극이 감소될 수 있어, 각 금속 패드 주위에 작은 미결합 영역을 남겨놓는다. 이것은 도 2a 내지 도 2c에 도시되었고, 여기에서 금속 패드(21)를 갖는 웨이퍼(20)는 패드(23)를 갖는 웨이퍼(22)에 쉽게 결합된다. 간극(24)은 인접한 패드 간의 간극이다. 금속 패드는 접촉하고(도 2b), 웨이퍼는 탄성적으로 변형되어 간극(24)에서 결합하여 결합(25)을 형성한다(도 2c). 도 2a 내지 도 2c에서 크기는 축적에 맞춰 도시된 것은 아니다.
금속 막의 두께, 웨이퍼 또는 다이의 기계적인 특성, 웨이퍼 또는 다이의 두께, 결합 에너지의 함수로서 미결합 영역의 폭을 계산하기 위한 식은 아래에 도시된다. 도 2d는 간극 높이(2h)와 미결합 영역의 두께(W) 간의 관계를 도시하는 그래프이다. 웨이퍼의 변형이 영 계수(E)에 의해 주어진 탄성 상수를 따르고, 웨이퍼 각각이 tw의 두께를 가질 때, 얇은 플레이트의 작은 천이의 단순한 이론에 따라, 미결합 영역의 폭(W)은 W > 2tw 에 대해 다음의 수식에 의해 대략적으로 평가되는데, 여기에서 쌍으로서 금속 결합 패드는 웨이퍼 표면 위에 2h의 두께를 갖는다:
W = [(2E'tw 2)/(3γ)]1/4h1 /2 (1)
여기에서 E'는 υ가 포이슨 비율(poisson's ratio)일 때 E/(1-υ2)로 주어진다.
감소하는 h에 대해 상황이 격렬하게 변하는 것이 제안되었다. 예컨대, U. Goesele와 Q.-Y. Tong의 논문(반도체 웨이퍼 결합에 대한 2차 국제 심포지움, 전기화학 협회 회보, Vol. 93-29, p.395(1993))을 참조한다. 수식(1)에 의해 계산된 W가 hcrit = 5( tw /E')1/2인 h < hcrit 에 대응하는 Wcrit = 2 tw이하의 값을 초래한다면, 탄성화학 불안정성이 발생하는 것으로 간주되어, 웨이퍼 두께(tw)에 독립적이고 다음 식에 의해 주어지는 훨씬 더 적은 W를 갖는 미결합 영역을 초래한다:
Figure 112012010892669-pat00002
(2)
여기에서 k는 차원이 없는 1 정도의 상수이다. 경험적으로, 도 2d에 도시된 바와 같이 h < 300 Å이면, W는 수식(1)에 의해 예측된 것보다 훨씬 적다. 본 출원의 발명자에 의한 추가 작업은, 금속 결합 패드 쌍(2R) 간의 공간이 2W보다 작다면, 웨이퍼 쌍은 서로 결합하지 않을 것임을 보여주었다. 그러나, 2R > 2W 일 때, 금속 기둥 주위의 두 개의 결합된 영역 간의 표면은 결합할 것이고, 금속 기둥은 결합하여 탄성적으로 연결될 것이다.
주위 영역의 결합에 의해 생성된 금속 결합 쌍 위의 압력(P)은 다음과 같이 표현될 수 있다:
P = (16E'tw 3h)/(3W4) (3)
수식(1) 또는 (2)와 수식(3)을 결합하면, W > 2tw일 때, 다음이 얻어진다:
P = 8γ/ 3h, (4)
W < 2 tw일 때, 다음이 얻어진다:
P = (16E'tw 3)/(3k4h3) (5)
금속 패드가 500Å의 높이(h)를 갖고, 결합 에너지가 300 mJ/m2인, 결합된 실리콘 웨이퍼에 대해, 금속 결합 패드 상의 압축 압력은 1.6x108 dyne/cm2, 즉 160 대기압이다. 이러한 압력은 금속 결합에 대해 충분히 높기 때문에, 결합 도중에 임의의 외부 압력을 가할 필요성이 없다. 금속 높이(h)가 300Å이하일 때, W < 2tw가 충족되고, 금속 쌍에 대한 압력은 K = 1이 가정되면, 5000 대기압 정도이다.
본 발명의 제 1실시예의 한 예에서, 300Å이하의 두께와 1 mm의 분리 간격을 갖는 5 mm 직경의 Au 결합 패드가 산화물이 덮인 100 mm 실리콘 웨이퍼 상에 증착된다. Au 결합 패드가 산화물 표면상에 형성되었기 때문에, 이들은 산화물의 표면 위의 300Å의 높이를 갖는다. 그러나, 금속은 산화물 또는 다른 절연체 내에 부분적으로 묻힐 수 있고, h는 금속이 다이 표면 위로 확장되는 높이이기 때문에, h는 실제 금속 두께보다는 훨씬 작다. 금속과 산화물 표면을 상응하게 또한 동시에 세척하고 활성화시키는 상온 결합 기술이 개발되었다. Au 기둥은, 금속 두께 및 결합 에너지에 따라 일정 기간의 시간 예컨대 60 hr 동안 공기 중에서 보관 이후 외부 압력을 사용하지 않고 대기 상태에서 웨이퍼 레벨에서 상온 결합에 의한 금속 결합을 형성하였다. 결합된 경계면 간에 쐐기를 삽입함으로써 웨이퍼 쌍이 강제로 분리될 때, Au 또는 Au/산화물 층이 실리콘 기판으로부터 벗겨져, 형성된 금속-금속 결합이 산화물 표면상의 Au 패드 또는 실리콘 표면상의 산화물의 접착보다 강함을 나타낸다. 상술한 바와 같이, 강한 금속 결합은, 맞물리는 경계면 상의 금속 원자의 내부 확산 또는 자체 확산에 기인하여, 상온에서 밀접하게 접촉하는 금속 패드 간에 형성될 수 있어서, 표면의 자유 에너지를 감소시킨다. 금속 원자 간의 내부 확산 또는 자체 확산 계수는 온도에 따라 지수 함수적으로 증가하고, 완전한 금속 결합을 얻기 위한 보관 시간을 단축시키기 위하여, 어닐링은 상온 결합 이후 수행될 수 있다. Au 기둥 간의 금속 결합을 위한 바람직한 어닐링 시간은 온도가 증가함에 따라 짧아진다. 이 경우, 100℃에 대해 5h가 바람직했고, 150℃에 대해서는 1h가, 그리고 250℃에 대해서는 5분이 바람직하였다. 더 얇은 금속은 비금속 주변 영역의 결합에 의해 생성된 더 높은 압력에 기인한 더 두꺼운 금속보다 결합을 위해 보다 낮은 온도를 필요로 한다. 상온 및 고온에서의 금속 결합의 형성을 위한 시간은 Au 두께(즉, 높이)가 증가함에 따라 더 길어진다. 예컨대, Au 패드의 두께(h)가 600Å일 때, 금속 결합을 형성하기 위하여 250℃에서 5분이 필요하고, h=500Å일 때는 15분이 필요하다.
최신 기술의 집적 회로의 플립-칩 결합에 있어서, 땜납 볼 피치는 약 1000㎛이다. 그러므로, 1000㎛에 비교될 수 있거나 이보다 적은, 결합된 금속 기둥 주위의 미결합 영역의 폭은 실제적인 응용을 위해 충분히 작다. 이러한 양보다 실제적으로 적은 미결합 영역의 폭은 이러한 방법으로 얻어질 수 있다. 예컨대, 실험 결과는 h=200Å일 때 W는 20㎛이고, h=300Å일 때 W는 30㎛인 것을 보여준다. h가 금속이 다이 표면 위로 확장되는 높이이므로, h는 실제 금속 두께보다 훨씬 작은데, 왜냐하면 금속이 산화물 또는 다른 산화물에 부분적으로 묻힐 수 있기 때문이며, 200Å보다 적은 h는 쉽게 얻어질 수 있다. 이 경우, 금속 기둥 주위의 미결합 고리 폭은 0에 근접할 수 있다. 상술한 금속 패드는, 스퍼터링, 증착, 레이저 연마, 화학 증기 증착 및 100Å 보다 적은 두께 조정 범위가 일반적이고, 당업자에게 알려진 다른 기술과 같은 공정에 의해 형성될 수 있지만, 이러한 공정에만 국한되는 것은 아니다.
도 3a 내지 도 3c는 완전히 처리된 두 개의 다른 다이가 결합되는 본 발명의 제 2실시예에 따른 공정의 개략도이다. 본 발명이 일정하고 평탄한 층 두께가 아닌 다른 경우에도 사용될 수 있음을 나타내기 위하여, 다이는 평면이지만 일정하지 않은 층 두께를 갖는 것으로 도시되었다. 도 3a에 도시된 바와 같이, 이 공정에 있어서, 개별적인 다이(30)(편리한 설명을 위하여 다이(30)의 산화물 층만이 도시되었다)는 금속 패드(31)를 갖는다. 다이는 SiO2의 대향 표면을 갖는 반도체 디바이스 및 회로를 포함하는 실리콘 웨이퍼일 수 있다. 표면(32)은 CMP 동작 이후 얻어진다.
도 3b에 도시된 바와 같이, 금속 패드(31)와 연결되기 위해 비어(via)(36)가 형성되어 금속으로 채워지고, 비어(36) 내의 금속과 연결되기 위해 금속 상호연결부(33)가 웨이퍼(30) 위에 형성되며, SiO2 또는 다른 절연 물질의 두께(t2)의 층(34)이 웨이퍼(30)위에 형성된다. 폭(W2)을 가진 SiO2 층의 부분(35)이 제거되어 금속 패드(35)를 노출시킨다. 층(34)의 표면은, 공동 계류중이고 연마 또는 연마와 약한 에칭을 포함하는 특허출원 제09/410,054호, 제09/505,283호 및 제09/532,886호에 기술된 바와 같이 처리된다.
도 3c에 있어서, 제 2웨이퍼(37)는 도시된 바와 같이 패드(38), 금속으로 채워진 비어(39), 및 상호연결부(40)를 포함한다. 상호연결부(40)는 폭(W1)과 높이(h1)를 갖는다. 웨이퍼(37)의 표면(41)은 상술한 바와 같이 표면(32)처럼 처리되었다. 도 3d에 도시된 결합 구조를 생성하기 위하여, 별도의 다이(30과 37)는 정렬되어 서로 접촉한다. 다음의 관계에 대해:
t1 = t2 + δ1 및 w1 = w2 + δ2
여기에서 t1과 δ1은 사용된 증착 기술에 대해 가능한 최소 두께가 되는 것이 선호되고, δ2는 2h=t1의 경우에 해당하는 2W가 되어야 한다. 결합될 두 다이 위에서 h=t1과 비교하여, 미결합 영역의 폭(W)은 상당히 줄어든다. 따라서, 웨이퍼(30과 37) 상의 패드 간의 상호 연결이 이루어진다. 만약 두 다이 위의 t1이 임계 두께(hcrit)보다 작다면, 어떠한 층(34)도 필요하지 않다.
상온에서 두 웨이퍼의 초기 접촉 도중에, 금속 패드는 정렬되고, 웨이퍼의 표면은 본 발명에 따라, 결합 웨이퍼의 표면 지형에 기인한 간극이 충분히 적고, 결합 에너지(γ)가 충분히 높다면, 탄성 변형에 의해 서로 같은 모양이 된다. 본 발명에 따라, 인접한 다이 상의 디바이스 또는 회로 간의 금속 상호 연결을 형성하는 접촉 물질 간에, 및 웨이퍼 표면 간에 직접 결합이 발생한다. 상온에서, 결합이 시작하여 온 접촉을 형성하고, 결합 강도가 증가하여, 금속 결합을 형성한다.
제 1실시예에서와 같이, 웨이퍼 표면(32 및 41)은 금속 패드(33과 40)를 포함하고, 대향 웨이퍼 표면(32 및 41)의 접촉 비금속 부분은 접촉점에서 결합을 형성하기 시작하고, 결합력은 접촉 결합 영역이 증가함에 따라 증가한다. 금속 패드(33과 40)가 없다면, 웨이퍼는 전체 웨이퍼 표면을 가로질러 결합할 것이다. 본 발명에 따라, 금속 패드(33과 40)의 존재는 대향 웨이퍼 간의 결합 이음매를 중지시키면서, 웨이퍼가 웨이퍼 결합하는 것을 금하지 않는다. 오히려, 비금속 영역에서의 웨이퍼-웨이퍼 접촉에 의해 생성된 압력은 힘으로 전환되고, 이 힘에 의해 금속 패드(33과 40)가 접촉하게 된다. 어떠한 외부 압력도 필요하지 않다.
본 발명의 방법은 고진공 또는 초고진공(UHV) 조건으로 제한되는 것이 아니라 대기 상태에서 수행될 수 있다. 결과적으로, 본 발명의 방법은 낮은 경비의 대량 생산 제조 기술이다. 결합될 금속 막의 크기는 본 발명에 따라, 직접 금속 결합이 내부 분자 인력에만 의존하기 때문에, 유연하고 매우 작은 지형으로 크기 조정 가능하다.
집적 금속 결합은 반도체 디바이스의 양호한 열 관리 및 전력 성능을 위해 선호된다. 집적 금속 결합은 본 발명이 따라, 플립-칩 결합을 크기 조정 가능한 훨씬 더 작은 결합 패드로 대체할 수 있다. 또한, 새로운 금속 기저 디바이스(반도체-금속-반도체 디바이스)를 실현하기 위하여 이러한 금속 결합이 사용될 수 있다. 예컨대, T. Shimatsu, R.H. Mollema, D. Monsma, E.G. Keim 및 J.C. Lodder의 논문(IEEE Tras, Mgnet. 33, 3495(1997))을 참조한다.
또한, 이러한 공정은 VLSI 기술에 적합하다. 직접 금속-금속 결합은 웨이퍼가 완전히 처리될 때 수행될 수 있다. 본 발명의 직접 금속-금속 결합은 또한, 대부분의 모든 금속이 실리콘 또는 이산화 실리콘보다 상당히 더 높은 열팽창 계수를 갖기 때문에, 열팽창의 차이로 인한 영향을 최소화하기 위하여 상온 결합을 사용한다.
본 발명은 또한 국부적으로 또는 전체 웨이퍼 표면 영역을 가로질러 결합될 수 있다. 본 발명은 다음의 예에 국한되는 것은 아니지만 금속/금속, 산화물/산화물, 반도체/반도체, 반도체/산화물과 같은 이종 표면을 결합하거나, 및/또는 금속/산화물 영역이 상온에서 두 개의 웨이퍼 간에 결합될 수 있다.
본 발명에 의해 다양한 장점이 제공된다. 예컨대, 웨이퍼 결합 및 전기적으로 상호 연결된 구성요소의 전기 접촉의 다른 방법은 웨이퍼 결합 이후 에칭 및 금속 증착을 통해 결합된 기판의 박막화를 필요로 한다. 본 발명은 전기적인 상호 연결부를 형성하기 위하여 이들 후 결합 공정 단계의 필요성을 제거한다. 이러한 제거의 장점은 다이 박막화에 의해 야기되는 기계적인 손상의 제거를 포함한다. 또한 깊은 비어 에칭의 제거는 단계의 범위 문제를 회피하고, 전기적인 연결부가 적은 크기로 크기 조정 가능하게 하여, 결합된 웨이퍼 간에 더 적은 접촉면적(footprint) 및 감소된 전기 기생 전력을 갖는 전기적인 상호연결부를 초래한다. 이러한 방법은 다른 표준 반도체 공정에 적합하고, VLSI에 적합하다.
이와 같이, 본 발명은 3-D SOC(3차원 시스템 온칩) 제조에 적합하다. 결합된 다이 간에 플러그를 사용하여 금속 패드 또는 상호연결부의 이러한 수직 금속 결합은 SOC 제조 공정을 상당히 단순화시키고, SOC의 속도와 전력 성능을 개선시킨다. 본 발명의 직접 금속-금속 결합은 크기 조정 가능하고 다중-다이 적층 SOC에 적용할 수 있다.
금속-금속 연결을 형성하기 위하여 필요한 필수적인 힘의 생성 외에도, 본 발명은 하나의 디바이스에서 다른 디바이스로 전기적인 상호연결을 위해 낮은 저항이 바람직하다는 것을 인식한다. 본 발명에 따라, 금속 결합 금속 패드의 산화물이 없거나 거의 산화물 없는 표면에 의해 낮은 저항의 금속 결합이 촉진된다. 예컨대, Au 표면은 표면에 산소가 전혀 남겨지지 않은 상태로 자외선/오존 및 질소 플라즈마에 의해 세척될 수 있다.
본 발명의 다른 실시예에 있어서, (예컨대, Al 또는 Cu와 같은 금속으로부터 제조된)결합 금속 패드의 표면은 예컨대 금(Au) 또는 백금(Pt) 층과 같은 산화 내성 금속으로 코팅된다. Au 및 Pt 모두 불활성 금속이므로, 어떠한 산화물도 표면 위에 형성되지 않는다. Au 또는 Pt와 호스트 금속 간에 산화물이 최소량이 되는 것을 보장하기 위하여, 바람직하게는 결합 공정에 바로 앞서 스퍼터링 세척 및 증기 증착이 사용된다.
본 발명의 제 1실시예의 변형에 있어서, 박막 금속의 과코팅 층이 금속 패드 위에 형성될 수 있고, 상술한 바와 같이 결합될 수 있다. 예컨대, Al 패드 상의 50Å의 박막 Au 층이 상온에서 성공적인 금속 패드를 생성하였다. 그러므로, Au와 같은 금속은 결합층으로 사용될 수 있어, 본 발명의 절차를 통해, 거의 모든 금속이 상온에서 직접 결합을 위하여 사용될 수 있도록 보장한다. 절연체 층이 완전히 처리된 웨이퍼 상에 배치되고, 접촉 윈도우의 깊이 보다 100Å 이상의 두께를 갖는 금속 증착을 수반하는 금속 패드 상에 접촉 개방부가 형성될 때, 금속 패드는 이제 산화물 층위로 단지 100Å만 확장되고, 패드는 매우 작은 거리 예컨대 20 ㎛만큼 서로 분리될 수 있다.
Au 또는 Pt 외에도 팔라듐(Pd)이 본 발명에서는 과코팅 층으로 사용되었다. Pd는 양호한 산화 내성을 갖는다. Pd 위에서 Pd의 표면 확산도는 매우 높아, 특히 비금속 웨이퍼 표면 영역의 결합에 의해 금속 결합 패드 상에 가해지는 접촉 압력을 가정하면, 심지어 상온에서 Pd의 상당한 질량 전달을 초래한다. 두 Pd 결합층 간의 자연적인 산화물이 만약 있다면, 기계적으로 분포되어, 두 접촉 금속 결합 패드 간의 물리적인 경계면을 Pd로 완전히 덮는 것을 허용한다.
본 발명의 제 1실시예의 다른 변형에 있어서, UV/오존 세척은 금속 결합 패드의 표면을 UV광 아래에서 높은 오존 농도에 노출시켜, 탄화수소 오염물을 제거한다. 금속 결합의 표면상의 잔류 탄화수소는 금속 결합을 열화시키고, 결합 경계면 간에서 기포 형성을 위한 핵형성 위치가 되어, 접촉 표면 사이에서 기체 방출을 초래한다.
실험은 UV/오존 처리가 경계면의 기포 형성을 방지할 수 있음을 보여주었다. 실리콘 웨이퍼를 HF에 담그는 것은 대부분 H로 종단되는 소수성 표면을 초래한다. 소수성 실리콘 웨이퍼는 15분 동안 상온에서 두 개의 235W UV 램프로부터의 1850Å 및 2540Å UV 방사와 결합된 농도 4.77 g/m3의 오존으로 처리되고, 2차 HF 담금과 결합이 뒤따른다. HF에 담근 소수성 실리콘 웨이퍼의 결합된 쌍은, 웨이퍼 표면으로부터 탄화수소의 효과적인 제거를 명확히 나타내는 각 온도에서 15시간 동안 300℃로부터 700℃에 이르는 어닐링시 경계면 기포를 전혀 생성하는 않았다.
Au 및 Pt에 대해, 금속 표면상에 금속 산화물의 생성 없이 결합 이전에 UV/오존 세척을 사용하는 것이 적절하다. 오존에 의해 산화될 수 있는 다른 금속에 대해, 금속 상의 Au의 박막층은 산화를 방지할 수 있거나, 또는 산화물은 결합 이전에 NH4OH에 담금으로써 제거될 수 있다. 덧붙여, 불활성 기체를 통해 플라즈마 처리, 예컨대 플라즈마 챔버 내에 질소와 아르곤과 같은 불활성 기체만이 존재하는 상태에서 반응성 이온 에칭 모드(RIE)에서의 플라즈마 처리는 본 발명에 따라, 금속 표면을 세척할 수 있고, 금속/금속 및 산화물/산화물 결합에 대해 상온에서 결합 에너지를 증대시킬 수 있다. 또한 본 발명은 Au 및 Pt와 같은 금속 표면으로부터 오염물을 제거하기 위하여 산소 플라즈마가 사용될 수 있음을 발견하였다.
다양한 표면 제작 처리 및 금속/금속 및 산화물/산화물 및 반도체/반도체 예가 기술되었지만, 본 발명에 따라 다른 표면 및 제작 절차가 사용될 수 있고, 대응하는 금속, 절연체, 및 반도체 표면은 접촉 이전에 충분히 세척되어, 상온 결합의 형성이 금지되지 않게 한다. Au 보호 또는 Au 결합의 경우, 본 발명에 의해 개발된 공정은 금속 및 이산화 실리콘에 적합하다. CMP와, 산화물 표면의 표면 평탄화 및 평활화 이후, 금속 결합 패드가 상술한 바와 같이 결합 웨이퍼 상에 형성되고, 변형된 RCA 1(H2O:H2O:NH4OH = 5:1:0.25), UV/오존, 및 플라즈마 처리가 결합 표면을 거칠게 하지 않고 금속 및 산화물 모두의 표면을 세척한다. 상온에서 표준 29%의 NH4OH에 담그는 것은 이산화실리콘 표면을 열화시키지 않고 만약 존재한다면 금속 표면상의 입자와 산화물을 제거한다. 스핀-건조와 상온 결합 및 보관 이후, 강한 공유 결합 및 금속 결합이 산화물 층과 금속 표면 간의 결합 내부 표면에서 자발적으로 각각 형성된다. 도 1a 내지 도 1d에 도시된 거의 평탄한 결합 구조 외에, 다른 구조도 본 발명의 원리를 사용할 수 있다. 예컨대, 금속 비어 상호연결부를 포함하는 웨이퍼가 작은 다이에 결합된 제 2실시예는 도 4a 내지 도 4c에 도시된다. 도 4a는 금속 상호연결부(51)를 포함하는 기판(50)의 확대도를 도시한다. 도 4a에 있어서, 금속 상호연결부가 PECVD 산화물, 열적 산화물, 스핀-온 글래스와 같은 이산화 실리콘 층(52)에 삽입된다. 상호연결부(51)는 층(52) 위의 이전에 설명한 높이로 확장한다. 도 4a는 또한 금속 접촉(54)과 이산화 실리콘 층(55)을 갖는 더 작은 다이(53)를 도시한다.
이산화 실리콘과 같은 물질의 두 다이 위에 절연층(58)을 형성하는 것에 뒤이어, 화학 기계적인 연마와 표면 처리를 수반하는 표준 비어 에칭 및 금속 채움이 결합을 위한 층(58)을 제작하기 위하여 사용된다. 도 4b는 상호 금속 결합 패드(56과 57)를 갖는 한 쌍의 대향 웨이퍼를 도시한다. 도 4c는 결합(59)을 형성하는 이들 두 개의 대향 기판의 접촉 및 후속 결합을 도시한다.
여기에서, 이전과 같이, 비금속 영역의 결합은 다이를 가로질러 금속-금속 상호연결부를 형성하기 위한 필수적인 힘을 생성한다. 도 4c에 도시된 바와 같이, 산화물 층의 결합은 금속 결합 패드(56 및 57)의 직접 금속-금속 접촉을 위한 필수적인 결합력을 생성한다. 도 4d에 도시된 바와 같이, 복수의 다이(53)가 제작되어 다이(60)에 결합될 수 있다.
본 발명의 제 1 및 제 2실시예의 금속-금속 직접 결합에 있어서, 다이 표면 위로 확장하는 결합 금속 막의 두께는 금속 기둥 주위의 미결합 고리 영역을 최소화시키기 위하여 얇은 것이 바람직하다. 또한, 결합 금속의 두께는 크기 조정 가능하고, VLSI에 적합한 크기의 금속 기둥 또는 패드가 만들어져 결합될 수 있다. 금속 막의 두께가 특정 값 이하일 때, 미결합 고리 영역의 폭은 상당히 감소되어, 금속 기둥 간의 공간은 사용될 금속 결합 패드 간에 적은 공간(예, < 10㎛)을 허용한다.
본 발명의 제 3실시예는 개별적인 웨이퍼 상에 형성된 금속 부분 간에 허용 가능한 전기적인 연결을 유지하면서, 비금속 표면 위에서 금속 높이의 상당한 증가 및/또는 금속 근처의 비결합 영역의 상당한 감소를 허용한다. 이러한 실시예에 있어서, 전기적인 접촉을 형성하는 금속 물질의 주위에서 물질의 변형은, 비금속 부분의 웨이퍼-웨이퍼 화학 결합으로 인한 금속 표면에서의 압력으로부터 초래되도록 설계된다. 이러한 변형은 결합 공정이 종료된 후 금속에 가해지는 적은 압력을 초래하지만, 이 압력은 금속 부분 간의 허용 가능한 전기적인 연결을 형성하기 위한 적절한 압력이다. 이러한 변형은 금속 표면 근처의 간극이 상당히 감소되거나 제거될 수 있게 한다.
전기적인 접촉을 형성하는 금속 물질 근처의 변형 가능한 물질의 목적은, 비금속 표면의 화학 결합에 의해 생성된 압력이 금속 물질을 충분히 각각의 표면으로 들어가게 하는데 충분하도록 허용하여, 금속 표면 근처의 간극이 상당히 감소되거나 제거되게 하는 것이다. 일반적으로, 웨이퍼-웨이퍼 화학 결합에 의해 생성된 압력이 전형적으로 10,000 중의 1 부 즉, 전형적인 금속을 변형하기 위하여 필요한 것 중의 1%의 1%이기 때문에, 변형 가능한 물질은 비금속 부분으로 이루어진다. 금속의 각 표면으로 들어간 오목부는 비금속 표면 위로 금속 표면의 시작하는 높이가 오목부 이후 실질적으로 더 높도록 허용한다. 이것은 결합을 위한 웨이퍼를 제조하기 위하여 필요한 금속 표면의 공차를 상당히 증가시키고, 후속적으로 본 실시예의 제조 가능성을 증가시킨다. 변형은 또한 금속 주위의 비결합 영역을 실제적으로 감소시키거나 제거하여, 주어진 영역에서 만들어질 수 있는 연결의 수의 실질적인 증가를 허용하고, 결합되고 상호 연결된 부분의 결합 강도를 증가시킨다.
도 5a에 도시된 바와 같이, 금속 표면 아래의 비금속 영역을 포함함으로써 변형이 가능해진다. 기판(55)을 갖는 다이는 다른 디바이스 위의 대응하는 층에 결합될 층(51)위에 형성된 금속 패드(50)를 구비한다. 낮은 K의 유전 물질과 같은 변형 가능한 비금속 물질로 채워진 영역(53)은 표준 포토리소그래피, 에칭 및 증착 기술을 통해 층(52)에 형성된다. 층(52)과 영역(53)은 층(54) 위에 형성된다. 다수의 임의의 층이 기판(54) 위에 형성된다. 또한, 도 5b에 도시된 바와 같이, 영역(53)이 훨씬 더 클 수 있거나, 층(52)이 낮은 K의 물질로 형성될 수 있다.
영역(53)은 진공 또는 공기와 같이 압축 가능한 기체를 포함하는 빈 공간일 수 있고, 충분히 낮은 압축률을 가진 압축 가능한 기체가 아닌 고체 물질일 수 있어, 결합에 의해 생성된 압력은 금속을 영역 안으로 변형시킬 것이다. 빈 공간은 화합물 반도체 집적 회로 제조에 공통적인 금속 공기 브리지를 제조하는데 사용되는 것과 유사한 방식으로 형성될 수 있다. 이러한 제조의 한 예는 다음과 같다: 1) 평탄한 비금속 표면에 오목부를 에칭한다, 2) 오목부에 포토레지스터와 같은 제거 가능한 물질을 채워, 제거 가능한 물질이 오목부 내에 존재하지만, 오목부 밖에는 존재하지 않게 된다. 이것은, 예컨대 종래의 포토레지스터 스핀 코팅을 통해 이루어질 수 있어, 오목부 밖보다 오목부 내부에 더 두꺼운 포토레지스트를 초래하고, 오목부 밖의 물질을 제거하기에는 충분하지만 오목부 내부의 물질을 제거하기에는 불충분한 포토레지스트 양의 블랭킷(비 패턴) 에칭이 뒤따른다, 3) 오목부를 가로지르지만 오목부 전체를 포함하지는 않는 금속 형상부를 패터닝하여, 오목부의 노출 부위를 남기고, 4) 오목부의 노출 부위에 접근함으로써 오목부 내의 제거 물질을 제거한다. 압축 가능한 기체가 아닌 고체 물질의 예는 반도체 제조에 사용된 낮은 K의 유전체이다. 이러한 영역의 깊이는 전형적으로 비금속 표면 위의 원하는 금속 높이에 비교될 수 있거나 이보다 크다. 도 5a의 다이가 결합되는 다른 다이는 패드(50)에 결합될 금속 패드 아래의 대응하는 위치의 영역(53)과 같은 영역을 가질 수 있다. 이것은 도 5c에 도시되었는데, 여기에서 도 5c가 개략도이고 축적에 맞춰 도시되지 않았음을 주목해야 한다. 여기에서, 패드(50 및 56)는 층(51 및 57)의 결합에 의해 생성된 압축력에 의해 결합된다. 도 5c에서 상부 다이는 층(58) 내의 빈 공간 또는 낮은 K 물질의 영역(59) 위에 형성된 패드(56)를 갖는 기판을 포함한다. 층(58)은 층(59) 위에 형성된다. 다시, 상부 다이는 많은 층을 가질 수 있다.
이 예에 있어서, 웨이퍼가 결합될 때, 금속 표면은 접촉하고, 화학 결합 공정 도중에 각각에 대한 변형이 발생한다. 변형은 결합 공정에 의해 가해진 압력의 일부를 경감시키지만, 접촉할 때 금속 표면을 유지시키고, 두 개의 개별 웨이퍼상의 두 금속 간에 허용 가능한 최소 접촉 저항을 유지시키는데 충분한 압력이 남게 된다. 금속이 금속 아래의 영역으로 변형됨에 따라, 결합 표면은 금속에 매우 밀접하거나 바로 인접한 수평 환형과 접촉하는 것을 허용하여, 비금속 표면 간의 최대 결합 영역을 초래한다. 금속 접촉에 인접한, 1-10 ㎛ 이하의 최소의 화학적인 비결합 영역은 본 발명에 의해 형성될 수 있다.
*변형 가능한 영역은 가능한 전기적인 상호 연결부의 수를 최대화하기 위하여 최소 폭을 갖도록 설계된다. 변형 가능한 영역의 폭은 비금속 표면 위의 금속 두께 및 금속 높이에 주로 의존한다. 이들 파라미터는 다음의 관계에 의해 대략적으로 결정된다.
응력 = (2/3)*(금속의 영 계수)(1/1 - 금속의 포이슨 비율)*(표면 위의 금속 높이/영역의 절반의 폭)2
압력 = 응력 * 4 * 금속 두께 * 표면 위의 금속 높이/(영역의 절반 폭)2
여기에서 압력은 결합 공정에 의해 생성된 것이다. 이들 관계에 대한 참조는 "박막 기술의 핸드북"(Maissel 과 Glang, 1983 Reissue, pp 12-24)에서 찾아볼 수 있다.
예컨대, 약 0.1㎛의 금속 두께, 표면 위에서 약 0.1㎛의 영역 위의 금속 두께, 약 1㎛의 영역 폭에 대해, 결합 도중에 생성된 압력은 금속을 영역 안으로 변형시키기에 충분하다(영역의 압축률이 무시될 수 있다고 간주한다). 이러한 0.1㎛의 금속 높이는 만약 금속이 변형 가능하지 않다면, 약 1mm의 금속 주위에 미결합 환형, 즉 고리 폭을 초래할 것임을 주목해야 한다. 제조 가능성은 이와 같이 비금속 표면 위로 금속 높이의 적은 제어를 필요로 함으로써 실질적으로 증가한다. 또한, 비결합 영역은 실질적으로 감소되어, 만들어질 수 있는 금속-금속 접촉 수의 상당한 증가를 허용하고, 화학 결합 에너지의 증가를 초래한다. 영역의 압축률이 무시될 수 있다면, 금속의 두께는 적절히 감소될 필요가 있거나/있고, 비금속 표면 위의 금속 높이는 적절히 감소될 필요가 있거나/있고, 영역의 폭은 적절히 증가될 필요가 있다. 증가될 필요가 있는 영역 폭의 백분율 양은 감소될 필요가 있는 비금속 표면 위의 금속 높이 또는 금속 두께의 백분율 양보다 적다는 것이 주지된다.
본 발명의 제 4실시예는 화학적으로 결합된 웨이퍼 간에 신뢰할만한 전기적인 상호 연결부를 형성하기 위하여 저온, 기둥-결합 리플로우 어닐링에 의존함으로써 제 1, 제 2, 제 3실시예에서 기술된 금속 접속의 주위에서의 기계적인 설계 제약을 추가로 완화시킨다. 이러한 실시예의 설명은 도 6a 내지 도 6c 및 도 7a 내지 도 7c를 참조하여 설명된다.
도 6a는 평탄한 표면을 갖는 기판(60 및 61)을 도시한다. 오목부(62 및 63)는 기판(60 및 61)에 각각 형성되고, 금속 패드(64 및 65)는 오목부(62 및 63)에 각각 형성된다. 평탄한 표면은 이전에 기술된 바와 같이 화학 결합에 적합하다. 패드(64 및 65)를 구성하는 금속 또는 금속의 조합은 저온에서 리플로우될 수 있다. 이러한 금속의 예는 160℃의 용융 온도에서 리플로우하는 인듐을 들 수 있고, 220℃의 공융점에서 리플로우하는 이러한 금속의 조합은 96.5% 주석과 3.5% 은이다.
도 6a의 표면이 직접 화학 결합을 위해 준비되어 함께 위치한 이후, 평탄한 표면 사이에 화학 결합이 형성된다. 실시예 1 및 2와 비교하면, 접촉에 오목부가 형성되기 때문에 신뢰할만한 전기적인 상호 연결이 아직 만들어지지 않았다 할지라도, 금속 접촉 근처에 간극이 전혀 존재하지 않는다.
도 6b의 화학 결합이 형성된 후, 두 웨이퍼로부터 오목부를 부분적으로 금속으로 채움으로써 빈 공간(66)이 형성된다. 이러한 빈 공간은 웨이퍼 표면이 함께 모여, 제 1 및 제 2실시예에서와 같은 금속 접촉과 같은 화학 결합을 형성하는 것을 방해하지 않는다. 결합 에너지를 최대화하는 최대 결합 영역은 이와 같이 실현된다. 이러한 높은 결합 에너지의 화학 결합이 형성된 후, 저온의 리플로우 어닐링은 오목부 내의 금속을 리플로우시켜, 대향 웨이퍼 모두로부터의 금속의 습식을 초래하고, 높은 신뢰도를 갖는 상호 연결된 금속 구조를 초래한다. 부분(67)이 리플로우에 의해 형성되어, 패드(64 및 65)를 연결한다. 예컨대 마치 어닐링 도중에 물이 회전하는 것처럼 높은 종횡비를 갖는 오목부에 대해 모세관 작용과 중력의 조합이 이러한 리플로우를 돕는다.
제 4실시예와 유사한 제 5실시예에 있어서, 도 6a의 표면 중 하나는, 한 웨이퍼 위에서 평탄한 표면 위의 금속 고원부의 높이가 도 7a에 도시된 바와 같이 다른 웨이퍼 위의 평탄한 표면 아래의 금속 오목부의 깊이보다 적게 되도록, 금속 오목부를 금속 고원부로 대체하였다. 기판(70 및 71)은 각 금속 패드(72 및 73)를 구비한다. 패드(72)는 오목부(74) 내에 형성된다. 이 경우에 있어서, 금속 표면은 일반적으로 화학 결합을 형성하는 평탄한 표면이 도 7b에 도시된 바와 같이 접촉하도록 위치한 후에는 접촉하지 않는다. 기판(70 및 71)의 표면은 직접 화학 결합을 위해 준비되고, 이전의 예에서와 같이 표면은 함께 놓이고, 화학 결합은 평탄한 표면 사이에 형성된다(도 7b). 리플로우 이후, 두 개의 다른 웨이퍼 상의 금속은 함께 습식으로 되어, 도 6c와 유사한 방식으로 부분(75)을 형성하여, 도 7c를 초래한다.
따라서, 본 발명은 다양한 장점 및 이전의 저온 웨이퍼 결합 기술과의 차이점을 제공한다. 본 발명의 금속-금속 직접 결합은 자발적이어서, 상온에서 외부의 힘을 전혀 필요로 하지 않는다. 금속-금속 결합을 위해 필요한 금속 기둥 위에 가해지는 압력은 외부의 힘이 아니라 결합 과정 자체에 의해 생성된다. 본 발명의 금속-금속 직접 결합은 대기 상태 하에서 수행되고, 다음 사항이 실현된다: 웨이퍼 레벨 또는 다이 크기의 결합, 상온에서 형성된 강한 금속 Au-Au, Cu-Cu 또는 금속-금속 결합, 및 Au 및 Cu가 아닌 금속의 강한 금속 결합이, 금속을 ~50Å의 Au 층으로 덮음으로써 상온에서 형성될 수 있다. 따라서, 금속/금속, 산화물/산화물 및 금속/산화물의 동시 결합이 달성될 수 있다. 본 발명의 금속-금속 직접 결합은 표준 VLSI 공정에 적합하고, 따라서 제조 가능한 기술이다. 본 발명의 금속-금속 직접 결합은 이산화 실리콘, 실리콘 또는 실리콘 질화물로 덮인 물질의 결합에 적합하다.
본 발명의 금속-금속 직접 결합을 용이하게 하는 것은 금속 결합 패드에 근접한 비금속 영역의 직접 결합이다. 이전에 논의한 바와 같이, 대향 금속 결합 패드 상에 최종 힘을 생성하는 것은 이들 영역에서 직접 결합이다. 본 발명에 따라 비금속 영역의 직접 결합은 공기 중에서 이산화 실리콘 또는 다른 절연체로 덮인 웨이퍼를 공유 결합시킨다. 다른 물질, 예컨대 결합 이전에 암모니아 용액에 담길 수 있는 플루오르화 산화물 표면 층이 사용될 수 있다. 보다 일반적으로, OH, NH 또는 FH 기로 종단될 수 있는 개방 구조 표면을 갖는 임의의 물질, 및 다공성의 낮은 K의 물질이 상온에서 접촉할 때 공유 결합을 형성할 수 있다.
본 발명에 따라, 증착, 열적으로 또는 화학적으로 산화, 및 스핀-온 글라스와 같은 임의의 방법에 의해 형성된 이산화 실리콘은 순수하게 또는 도핑된 상태로 사용될 수 있다.
본 발명의 응용은 3-D SOC를 위한 처리된 집적 회로의 수직 집적, 마이크로-패드 패키징, 플립 칩 결합의 낮은 경비 및 높은 성능의 대체, 웨이퍼 규모의 패키징, 열적 관리 및 금속 베이스 디바이스와 같은 고유한 디바이스 구조를 포함하지만 이에 국한되지는 않는다.
본 발명의 다양한 변형 및 변경은 상술한 교시의 견지에서 가능하다. 그러므로 첨부된 청구범위의 범주 내에서 본 발명은 본 명세서에서 특별하게 기술된 것과는 다르게 실시될 수 있음을 이해할 수 있을 것이다.

Claims (15)

  1. 웨이퍼 결합방법으로서,
    제 1복수의 금속 패드를 제 1기판 상에 형성하는 단계로서, 상기 제 1기판은 상기 제 1복수의 금속 패드에 인접한 각각의 복수의 제 1비금속 결합(bonding) 영역을 구비하고, 상기 제 1복수의 금속 패드의 상부 표면은 상기 제 1비금속 결합(bonding) 영역의 각 표면 아래에 형성되는, 제 1복수의 금속 패드를 형성하는 단계와,
    제 2복수의 금속 패드를 제 2기판 상에 형성하는 단계로서, 상기 제 2기판은 상기 제 2복수의 금속 패드에 인접한 각각의 복수의 제 2비금속 결합(bonding) 영역을 구비하는, 제 2복수의 금속 패드를 형성하는 단계와,
    상기 제 1비금속 결합(bonding) 영역을 상기 각각의 제 2비금속 결합(bonding) 영역에 직접 접촉(contacting)시키는 단계와,
    상기 제 1비금속 결합(bonding) 영역을 각각의 상기 제 2비금속 결합(bonding) 영역 중 하나에 화학 결합하는 단계와,
    상기 제 1 및 제 2복수의 금속 패드를 가열하여 제 1복수의 금속 패드를 상기 제 2복수의 금속 패드의 각각 중 하나에 연결(connect)함으로써 연결된(connected) 패드 쌍을 형성하는 단계를
    포함하는 웨이퍼 결합방법.
  2. 제 1항에 있어서, 상기 가열 단계는 상기 연결된(connected) 쌍을 형성하는 상기 제 1 및 제 2복수의 금속 패드의 각각의 쌍 중 적어도 하나를 리플로시키는(reflowing) 단계를 포함하는 웨이퍼 결합방법.
  3. 제 1항에 있어서, 상기 제 2복수의 금속 패드의 상부 표면은 상기 제 2비금속 결합(bonding) 영역의 각 표면 아래에 형성되는 웨이퍼 결합방법.
  4. 제 3항에 있어서, 상기 가열 단계는 상기 연결된(connected) 쌍을 형성하는 상기 제 1 및 제 2복수의 금속 패드의 각각의 쌍 중 적어도 하나를 리플로우시키는 단계를 포함하는 웨이퍼 결합방법.
  5. 제 1항에 있어서, 상기 제 2복수의 금속 패드의 상부 표면은 상기 제 2비금속 결합(bonding) 영역의 각 표면 위에 형성되는 웨이퍼 결합방법.
  6. 제 5항에 있어서, 상기 가열 단계는 상기 연결된(connected) 쌍을 형성하는 상기 제 1 및 제 2복수의 금속 패드의 각각의 쌍 중 적어도 하나를 리플로우시키는 단계를 포함하는 웨이퍼 결합방법.
  7. 제 5항에 있어서, 상기 제 2복수의 금속 패드의 상기 상부 표면은 상기 제 2비금속 결합(bonding) 표면의 상기 각 표면 위로 제 1 거리만큼 확장되고,
    상기 제 1복수의 금속 패드의 상기 상부 표면은 상기 제 1비금속 결합(bonding) 영역의 각 표면 아래로 제 2 거리만큼 확장(extending)되고,
    상기 제 1거리는 상기 제 2거리보다 작은 웨이퍼 결합방법.
  8. 결합구조(bonded structure)로서,
    제 1기판 위에 배치된 제 1복수의 금속 패드와,
    상기 제 1복수의 금속 패드에 인접한 상기 제 1기판의 제 1표면 내에 위치한 제 1비금속 영역으로서, 상기 제 1복수의 금속 패드의 상부 표면은 상기 제 1표면 아래에 있는, 제 1비금속 영역과,
    제 2기판 위에 배치된 제 2복수의 금속 패드와,
    상기 제 2복수의 금속 패드에 인접한 제 2표면 내에 위치한 제 2비금속 영역과,
    상기 제 2복수의 금속 패드 중 각각의 하나를 직접 접촉(contacting)시키는 상기 제 1복수의 금속 패드의 일부를 포함하고,
    상기 제 1비금속 영역은 화학 결합에 의하여 상기 제 1복수의 금속패드와 상기 제 2복수의 금속패드가 직접 접촉한 후에 외부 압력없이 상기 제 2의 비금속 영역에 접촉(contact)하여 직접 결합되는,
    결합구조(bonded structure).
  9. 제 8항에 있어서, 상기 일부는 리플로우 부분을 포함하는 결합구조.
  10. 제 8항에 있어서, 상기 제 2복수의 금속 패드는 상기 제 2기판의 표면 위로 확장(extending)하는 상부 표면을 구비하는 결합구조.
  11. 제 10항에 있어서, 상기 제 1복수의 금속 패드의 상기 상부 표면은 상기 제 1표면의 제 1거리 아래에 있고,
    상기 제 2복수의 금속 패드의 상기 상부 표면은 상기 제 2기판의 표면 위로 제 2거리만큼 확장(extending)하고,
    상기 제 1거리는 상기 제 2거리보다 큰 결합구조.
  12. 제 8항에 있어서, 상기 제 2복수의 금속 패드는 상기 제 2기판의 표면 아래로 확장(extending)하는 상부 표면을 구비하는 결합구조.
  13. 제 8항에 있어서, 상기 제 1기판은 상기 제 1복수의 금속 패드가 배치된 오목한 부분을 구비하는 결합구조.
  14. 제 13항에 있어서, 상기 제 2기판은 상기 제 2복수의 금속 패드가 배치되는 오목한 부분을 구비하고, 상기 제 2복수의 금속 패드의 상부 표면은 상기 제 2기판의 표면 아래에 있는 결합구조.
  15. 제 8항에 있어서, 상기 제 2기판은 상기 제 2복수의 금속 패드가 배치되는 오목한 부분을 구비하고, 상기 제 2복수의 금속 패드의 상부 표면은 상기 제 2기판의 표면 아래에 있는 결합구조.
KR1020127003621A 2003-02-07 2004-02-06 상온에서의 금속의 직접 결합 KR101252292B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/359,608 US6962835B2 (en) 2003-02-07 2003-02-07 Method for room temperature metal direct bonding
US10/359,608 2003-02-07
PCT/US2004/002006 WO2004071700A2 (en) 2003-02-07 2004-02-06 Room temperature metal direct bonding

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057014526A Division KR101257274B1 (ko) 2003-02-07 2004-02-06 상온에서의 금속의 직접 결합

Publications (2)

Publication Number Publication Date
KR20120034786A KR20120034786A (ko) 2012-04-12
KR101252292B1 true KR101252292B1 (ko) 2013-04-05

Family

ID=32823827

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057014526A KR101257274B1 (ko) 2003-02-07 2004-02-06 상온에서의 금속의 직접 결합
KR1020127003621A KR101252292B1 (ko) 2003-02-07 2004-02-06 상온에서의 금속의 직접 결합

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020057014526A KR101257274B1 (ko) 2003-02-07 2004-02-06 상온에서의 금속의 직접 결합

Country Status (8)

Country Link
US (8) US6962835B2 (ko)
EP (1) EP1603702B1 (ko)
JP (5) JP5372325B2 (ko)
KR (2) KR101257274B1 (ko)
CA (1) CA2515375C (ko)
SG (1) SG2011091576A (ko)
TW (1) TWI339408B (ko)
WO (1) WO2004071700A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508684B2 (en) 2020-01-08 2022-11-22 Raytheon Company Structure for bonding and electrical contact for direct bond hybridization

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6871942B2 (en) * 2002-04-15 2005-03-29 Timothy R. Emery Bonding structure and method of making
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US20050170609A1 (en) * 2003-12-15 2005-08-04 Alie Susan A. Conductive bond for through-wafer interconnect
JP3790995B2 (ja) 2004-01-22 2006-06-28 有限会社ボンドテック 接合方法及びこの方法により作成されるデバイス並びに接合装置
US7716823B2 (en) * 2004-04-08 2010-05-18 Hewlett-Packard Development Company, L.P. Bonding an interconnect to a circuit device and related devices
US7608534B2 (en) 2004-06-02 2009-10-27 Analog Devices, Inc. Interconnection of through-wafer vias using bridge structures
JP4710282B2 (ja) * 2004-09-06 2011-06-29 富士ゼロックス株式会社 多波長面発光レーザの製造方法
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7422962B2 (en) * 2004-10-27 2008-09-09 Hewlett-Packard Development Company, L.P. Method of singulating electronic devices
US7172921B2 (en) * 2005-01-03 2007-02-06 Miradia Inc. Method and structure for forming an integrated spatial light modulator
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7545042B2 (en) * 2005-12-22 2009-06-09 Princo Corp. Structure combining an IC integrated substrate and a carrier, and method of manufacturing such structure
US20070161150A1 (en) * 2005-12-28 2007-07-12 Intel Corporation Forming ultra dense 3-D interconnect structures
US7579258B2 (en) * 2006-01-25 2009-08-25 Freescale Semiconductor, Inc. Semiconductor interconnect having adjacent reservoir for bonding and method for formation
US20070259523A1 (en) * 2006-05-04 2007-11-08 Yechuri Sitaramarao S Method of fabricating high speed integrated circuits
US7402501B2 (en) * 2006-05-04 2008-07-22 Intel Corporation Method of manufacturing a coaxial trace in a surrounding material, coaxial trace formed thereby, and semiconducting material containing same
US7425465B2 (en) * 2006-05-15 2008-09-16 Fujifilm Diamatix, Inc. Method of fabricating a multi-post structures on a substrate
DE102006028692B4 (de) * 2006-05-19 2021-09-02 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Elektrisch leitende Verbindung mit isolierendem Verbindungsmedium
JP4162094B2 (ja) * 2006-05-30 2008-10-08 三菱重工業株式会社 常温接合によるデバイス、デバイス製造方法ならびに常温接合装置
JP4858692B2 (ja) * 2006-06-22 2012-01-18 日本電気株式会社 チップ積層型半導体装置
JP5129939B2 (ja) * 2006-08-31 2013-01-30 沖電気工業株式会社 半導体装置の製造方法
US20080087979A1 (en) * 2006-10-13 2008-04-17 Analog Devices, Inc. Integrated Circuit with Back Side Conductive Paths
US7812459B2 (en) * 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
US20080164606A1 (en) * 2007-01-08 2008-07-10 Christoffer Graae Greisen Spacers for wafer bonding
WO2008086537A2 (en) * 2007-01-11 2008-07-17 Analog Devices, Inc. Aluminum based bonding of semiconductor wafers
US7605477B2 (en) * 2007-01-25 2009-10-20 Raytheon Company Stacked integrated circuit assembly
US7703661B2 (en) 2007-05-23 2010-04-27 International Business Machines Corporation Method and process for reducing undercooling in a lead-free tin-rich solder alloy
JP5016382B2 (ja) * 2007-05-24 2012-09-05 パナソニック株式会社 センサ装置およびその製造方法
US20090056989A1 (en) * 2007-08-27 2009-03-05 Intel Corporation Printed circuit board and method for preparation thereof
US8387674B2 (en) * 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US7863721B2 (en) * 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
WO2010013728A1 (ja) * 2008-07-31 2010-02-04 日本電気株式会社 半導体装置及びその製造方法
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US7981765B2 (en) 2008-09-10 2011-07-19 Analog Devices, Inc. Substrate bonding with bonding material having rare earth metal
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
DE102008043735A1 (de) * 2008-11-14 2010-05-20 Robert Bosch Gmbh Anordnung von mindestens zwei Wafern mit einer Bondverbindung und Verfahren zur Herstellung einer solchen Anordnung
US8451012B2 (en) 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
JP5177015B2 (ja) * 2009-02-27 2013-04-03 富士通株式会社 パッケージドデバイスおよびパッケージドデバイス製造方法
US20100248424A1 (en) * 2009-03-27 2010-09-30 Intellectual Business Machines Corporation Self-Aligned Chip Stacking
KR101049083B1 (ko) * 2009-04-10 2011-07-15 (주)실리콘화일 3차원 구조를 갖는 이미지 센서의 단위 화소 및 그 제조방법
EP2251893B1 (en) * 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
US8125058B2 (en) * 2009-06-10 2012-02-28 Medtronic, Inc. Faraday cage for circuitry using substrates
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
JP5187284B2 (ja) * 2009-06-26 2013-04-24 ソニー株式会社 半導体装置の製造方法
US8669588B2 (en) * 2009-07-06 2014-03-11 Raytheon Company Epitaxially-grown position sensitive detector
US8567658B2 (en) * 2009-07-20 2013-10-29 Ontos Equipment Systems, Inc. Method of plasma preparation of metallic contacts to enhance mechanical and electrical integrity of subsequent interconnect bonds
US11134598B2 (en) * 2009-07-20 2021-09-28 Set North America, Llc 3D packaging with low-force thermocompression bonding of oxidizable materials
US20110156197A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US20110156195A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
TWI686923B (zh) * 2010-02-16 2020-03-01 凡 歐貝克 3d半導體裝置
EP2654075B1 (de) * 2010-03-31 2016-09-28 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8546188B2 (en) * 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
US20110270099A1 (en) * 2010-04-28 2011-11-03 Medtronic, Inc. Hermetic wafer-to-wafer bonding with electrical interconnection
US8513120B2 (en) 2010-04-29 2013-08-20 Medtronic, Inc. Gold-tin etch using combination of halogen plasma and wet etch
FI123860B (fi) * 2010-05-18 2013-11-29 Corelase Oy Menetelmä substraattien tiivistämiseksi ja kontaktoimiseksi laservalon avulla ja elektroniikkamoduli
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
SG177817A1 (en) * 2010-07-19 2012-02-28 Soitec Silicon On Insulator Temporary semiconductor structure bonding methods and related bonded semiconductor structures
FR2963158B1 (fr) * 2010-07-21 2013-05-17 Commissariat Energie Atomique Procede d'assemblage par collage direct entre deux elements comprenant des portions de cuivre et de materiaux dielectriques
FR2964112B1 (fr) * 2010-08-31 2013-07-19 Commissariat Energie Atomique Traitement avant collage d'une surface mixte cu-oxyde, par un plasma contenant de l'azote et de l'hydrogene
FR2966283B1 (fr) * 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US8486758B2 (en) 2010-12-20 2013-07-16 Tessera, Inc. Simultaneous wafer bonding and interconnect joining
KR102353489B1 (ko) * 2011-01-25 2022-01-19 에베 그룹 에. 탈너 게엠베하 웨이퍼들의 영구적 결합을 위한 방법
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8912017B2 (en) * 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
KR102235927B1 (ko) 2011-05-24 2021-04-05 소니 주식회사 반도체 장치
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
FR2978606B1 (fr) * 2011-07-27 2014-02-21 Soitec Silicon On Insulator Surfaces de liaison améliorées pour le collage direct de structures semi-conductrices
US8441087B2 (en) 2011-07-22 2013-05-14 Raytheon Company Direct readout focal plane array
US10115764B2 (en) 2011-08-15 2018-10-30 Raytheon Company Multi-band position sensitive imaging arrays
US8754424B2 (en) 2011-08-29 2014-06-17 Micron Technology, Inc. Discontinuous patterned bonds for semiconductor devices and associated systems and methods
US9673163B2 (en) * 2011-10-18 2017-06-06 Rohm Co., Ltd. Semiconductor device with flip chip structure and fabrication method of the semiconductor device
US9748214B2 (en) 2011-10-21 2017-08-29 Santa Barbara Infrared, Inc. Techniques for tiling arrays of pixel elements and fabricating hybridized tiles
US9040837B2 (en) * 2011-12-14 2015-05-26 Ibiden Co., Ltd. Wiring board and method for manufacturing the same
KR101870155B1 (ko) 2012-02-02 2018-06-25 삼성전자주식회사 비아 연결 구조체, 그것을 갖는 반도체 소자 및 그 제조 방법들
FR2990565B1 (fr) * 2012-05-09 2016-10-28 Commissariat Energie Atomique Procede de realisation de detecteurs infrarouges
CN103426732B (zh) * 2012-05-18 2015-12-02 上海丽恒光微电子科技有限公司 低温晶圆键合的方法及通过该方法形成的结构
US8896060B2 (en) 2012-06-01 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Trench power MOSFET
US8969955B2 (en) 2012-06-01 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Power MOSFET and methods for forming the same
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
FR2992772B1 (fr) * 2012-06-28 2014-07-04 Soitec Silicon On Insulator Procede de realisation de structure composite avec collage de type metal/metal
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9087905B2 (en) 2012-10-03 2015-07-21 International Business Machines Corporation Transistor formation using cold welding
CN103043605B (zh) * 2012-12-07 2015-11-18 中国电子科技集团公司第五十五研究所 微型电镀立体结构提高圆片级金属键合强度的工艺方法
US9196606B2 (en) 2013-01-09 2015-11-24 Nthdegree Technologies Worldwide Inc. Bonding transistor wafer to LED wafer to form active LED modules
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
US9673169B2 (en) * 2013-02-05 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a wafer seal ring
FR3003087B1 (fr) * 2013-03-05 2015-04-10 Commissariat Energie Atomique Procede de realisation d’un collage direct metallique conducteur
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US8921992B2 (en) 2013-03-14 2014-12-30 Raytheon Company Stacked wafer with coolant channels
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
WO2014184988A1 (ja) * 2013-05-16 2014-11-20 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
JP6330151B2 (ja) * 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9780065B2 (en) 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9779965B2 (en) * 2013-10-08 2017-10-03 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9136240B2 (en) 2013-10-08 2015-09-15 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
US9360623B2 (en) * 2013-12-20 2016-06-07 The Regents Of The University Of California Bonding of heterogeneous material grown on silicon to a silicon photonic circuit
US9148923B2 (en) * 2013-12-23 2015-09-29 Infineon Technologies Ag Device having a plurality of driver circuits to provide a current to a plurality of loads and method of manufacturing the same
FR3017993B1 (fr) * 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9349690B2 (en) 2014-03-13 2016-05-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
CN104051337B (zh) * 2014-04-24 2017-02-15 上海珏芯光电科技有限公司 立体堆叠集成电路系统芯片封装的制造方法与测试方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
KR102161793B1 (ko) 2014-07-18 2020-10-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
GB201413578D0 (en) 2014-07-31 2014-09-17 Infiniled Ltd A colour iled display on silicon
JP6417777B2 (ja) * 2014-08-08 2018-11-07 株式会社ニコン 基板積層装置および基板積層方法
CN105470153B (zh) * 2014-09-03 2018-03-06 中芯国际集成电路制造(上海)有限公司 晶圆键合方法
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
FR3028050B1 (fr) * 2014-10-29 2016-12-30 Commissariat Energie Atomique Substrat pre-structure pour la realisation de composants photoniques, circuit photonique et procede de fabrication associes
US10852492B1 (en) * 2014-10-29 2020-12-01 Acacia Communications, Inc. Techniques to combine two integrated photonic substrates
JP6335099B2 (ja) * 2014-11-04 2018-05-30 東芝メモリ株式会社 半導体装置および半導体装置の製造方法
JP6313189B2 (ja) * 2014-11-04 2018-04-18 東芝メモリ株式会社 半導体装置の製造方法
SG11201704100RA (en) 2014-11-12 2017-06-29 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102211143B1 (ko) 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9536853B2 (en) 2014-11-18 2017-01-03 International Business Machines Corporation Semiconductor device including built-in crack-arresting film structure
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6415391B2 (ja) * 2015-06-08 2018-10-31 東京エレクトロン株式会社 表面改質方法、プログラム、コンピュータ記憶媒体、表面改質装置及び接合システム
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
JP6769968B2 (ja) 2015-08-21 2020-10-14 株式会社Nttドコモ 端末及び無線通信方法
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9832867B2 (en) 2015-11-23 2017-11-28 Medtronic, Inc. Embedded metallic structures in glass
KR102423813B1 (ko) 2015-11-27 2022-07-22 삼성전자주식회사 반도체 소자
DE102015121066B4 (de) * 2015-12-03 2021-10-28 Infineon Technologies Ag Halbleitersubstrat-auf-halbleitersubstrat-package und verfahren zu seiner herstellung
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10163771B2 (en) * 2016-08-08 2018-12-25 Qualcomm Incorporated Interposer device including at least one transistor and at least one through-substrate via
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10522352B2 (en) 2016-10-07 2019-12-31 Xcelsis Corporation Direct-bonded native interconnects and active base die
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
CN108122823B (zh) * 2016-11-30 2020-11-03 中芯国际集成电路制造(上海)有限公司 晶圆键合方法及晶圆键合结构
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) * 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11569192B2 (en) 2017-05-25 2023-01-31 Shinkawa Ltd. Method for producing structure, and structure
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US10636774B2 (en) * 2017-09-06 2020-04-28 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a 3D integrated system-in-package module
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
CN111492477A (zh) 2017-10-20 2020-08-04 艾克瑟尔西斯公司 具有高密度z轴互连的3d计算电路
EP3698401A1 (en) 2017-10-20 2020-08-26 XCelsis Corporation Face-to-face mounted ic dies with orthogonal top interconnect layers
US10584027B2 (en) 2017-12-01 2020-03-10 Elbit Systems Of America, Llc Method for forming hermetic seals in MEMS devices
US20190181119A1 (en) * 2017-12-07 2019-06-13 United Microelectronics Corp. Stacked semiconductor device and method for forming the same
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) * 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10886249B2 (en) 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
DE102018103169A1 (de) * 2018-02-13 2019-08-14 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
DE102018103431A1 (de) * 2018-02-15 2019-08-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Verbindung zwischen Bauteilen und Bauelement aus Bauteilen
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
EP3669398A4 (en) * 2018-03-22 2021-09-01 SanDisk Technologies LLC THREE-DIMENSIONAL MEMORY DEVICE CONTAINING A CHIP ASSEMBLY LINKED WITH INTERCONNECTION HOLE STRUCTURES THROUGH A SUBSTRATE AND ITS MANUFACTURING PROCESS
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11342302B2 (en) 2018-04-20 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding with pre-deoxide process and apparatus for performing the same
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
JP6918074B2 (ja) * 2018-05-02 2021-08-11 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ボンディング層を施与する方法
US10403577B1 (en) * 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
DE102018112586A1 (de) * 2018-05-25 2019-11-28 Osram Opto Semiconductors Gmbh Verfahren zur herstellung einer verbindung zwischen bauteilen und bauelement
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10340249B1 (en) 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
CN108922870A (zh) * 2018-08-22 2018-11-30 中国电子科技集团公司第四十三研究所 一种氮化铝陶瓷管壳及其制作方法
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
JP2021535613A (ja) * 2018-09-04 2021-12-16 中芯集成電路(寧波)有限公司 ウェハレベルパッケージ方法及びパッケージ構造
JP2021536131A (ja) * 2018-09-04 2021-12-23 中芯集成電路(寧波)有限公司 ウェハレベルパッケージング方法およびパッケージング構造
CN110875268A (zh) * 2018-09-04 2020-03-10 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11469214B2 (en) 2018-12-22 2022-10-11 Xcelsis Corporation Stacked architecture for three-dimensional NAND
US11139283B2 (en) 2018-12-22 2021-10-05 Xcelsis Corporation Abstracted NAND logic in stacks
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10629439B1 (en) * 2019-03-27 2020-04-21 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10643848B1 (en) * 2019-03-27 2020-05-05 Mikro Mesa Technology Co., Ltd. Method for minimizing average surface roughness of soft metal layer for bonding
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN110429038A (zh) * 2019-08-09 2019-11-08 芯盟科技有限公司 半导体结构及其形成方法
JP7391574B2 (ja) * 2019-08-29 2023-12-05 キヤノン株式会社 半導体装置の製造方法および半導体装置
CN110797329B (zh) * 2019-10-15 2021-04-30 上海集成电路研发中心有限公司 一种三维堆叠方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11094653B2 (en) * 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR102558816B1 (ko) 2020-01-07 2023-07-21 양쯔 메모리 테크놀로지스 씨오., 엘티디. 금속-유전체 결합 방법 및 구조
US11616023B2 (en) * 2020-01-23 2023-03-28 Nvidia Corporation Face-to-face dies with a void for enhanced inductor performance
US11699662B2 (en) 2020-01-23 2023-07-11 Nvidia Corporation Face-to-face dies with probe pads for pre-assembly testing
US11127719B2 (en) 2020-01-23 2021-09-21 Nvidia Corporation Face-to-face dies with enhanced power delivery using extended TSVS
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US11340512B2 (en) * 2020-04-27 2022-05-24 Raytheon Bbn Technologies Corp. Integration of electronics with Lithium Niobate photonics
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
KR20220016365A (ko) 2020-07-30 2022-02-09 삼성전자주식회사 반도체 패키지
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
CN112289904B (zh) * 2020-09-16 2022-06-17 华灿光电(苏州)有限公司 红光led的制作方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2022094587A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
JP2022191901A (ja) 2021-06-16 2022-12-28 キオクシア株式会社 半導体装置およびその製造方法
CN115602650A (zh) 2021-07-09 2023-01-13 佳能株式会社(Jp) 半导体设备、装备以及半导体设备的制造方法
US20230065622A1 (en) 2021-09-02 2023-03-02 Raytheon Company Wafer-scale direct bonded array core block for an active electronically steerable array (aesa)
US20230326887A1 (en) * 2022-04-11 2023-10-12 Western Digital Technologies, Inc. Clamped semiconductor wafers and semiconductor devices
CN114823594B (zh) * 2022-06-28 2022-11-11 之江实验室 一种基于二维材料界面的混合键合结构及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010072980A (ko) * 1999-06-29 2001-07-31 롤페스 요하네스 게라투스 알베르투스 반도체 디바이스
KR20020028047A (ko) * 1999-05-03 2002-04-15 맥켈러 로버트 루이스 실리콘 카바이드 제거 방법
KR20020081328A (ko) * 2000-02-16 2002-10-26 집트로닉스, 인크. 저온 결합 방법 및 결합된 구조

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) * 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
BR8801696A (pt) * 1987-09-08 1989-03-21 Gencorp Inc Processo para ligacao de partes de poliester reforcadas e produto
US4904328A (en) * 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) * 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) * 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) * 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
DE59406156D1 (de) 1993-02-11 1998-07-16 Siemens Ag Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) * 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) * 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
US5501003A (en) * 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
FR2718571B1 (fr) * 1994-04-08 1996-05-15 Thomson Csf Composant hybride semiconducteur.
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR960009074A (ko) * 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) * 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) * 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) * 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US6054363A (en) * 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) * 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
WO1998028788A1 (en) * 1996-12-24 1998-07-02 Nitto Denko Corporation Manufacture of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) * 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
US5929512A (en) * 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6322600B1 (en) * 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) * 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) * 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) * 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
US6232150B1 (en) * 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
US6410415B1 (en) * 1999-03-23 2002-06-25 Polymer Flip Chip Corporation Flip chip mounting technique
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6093969A (en) * 1999-05-15 2000-07-25 Lin; Paul T. Face-to-face (FTF) stacked assembly of substrate-on-bare-chip (SOBC) modules
US6258625B1 (en) * 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
US6756253B1 (en) * 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) * 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6326698B1 (en) * 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) * 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
CN1222195C (zh) * 2000-07-24 2005-10-05 Tdk株式会社 发光元件
JP2002064268A (ja) * 2000-08-18 2002-02-28 Toray Eng Co Ltd 実装方法および装置
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) * 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) * 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) * 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6555917B1 (en) * 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) * 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) * 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6624003B1 (en) * 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6627814B1 (en) * 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) * 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020028047A (ko) * 1999-05-03 2002-04-15 맥켈러 로버트 루이스 실리콘 카바이드 제거 방법
KR20010072980A (ko) * 1999-06-29 2001-07-31 롤페스 요하네스 게라투스 알베르투스 반도체 디바이스
KR20020081328A (ko) * 2000-02-16 2002-10-26 집트로닉스, 인크. 저온 결합 방법 및 결합된 구조

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508684B2 (en) 2020-01-08 2022-11-22 Raytheon Company Structure for bonding and electrical contact for direct bond hybridization

Also Published As

Publication number Publication date
US7602070B2 (en) 2009-10-13
US20130233473A1 (en) 2013-09-12
SG2011091576A (en) 2015-02-27
WO2004071700A2 (en) 2004-08-26
US20050161795A1 (en) 2005-07-28
CA2515375C (en) 2013-09-24
US20160086899A1 (en) 2016-03-24
JP5851917B2 (ja) 2016-02-03
JP6887811B2 (ja) 2021-06-16
US20110041329A1 (en) 2011-02-24
US9385024B2 (en) 2016-07-05
EP1603702B1 (en) 2014-01-22
CA2515375A1 (en) 2004-08-26
US8524533B2 (en) 2013-09-03
JP2006517344A (ja) 2006-07-20
TW200504819A (en) 2005-02-01
JP2017112383A (ja) 2017-06-22
JP2017063203A (ja) 2017-03-30
US7842540B2 (en) 2010-11-30
US10141218B2 (en) 2018-11-27
US20140370658A1 (en) 2014-12-18
US20070232023A1 (en) 2007-10-04
JP5372325B2 (ja) 2013-12-18
JP2012186481A (ja) 2012-09-27
US8846450B2 (en) 2014-09-30
KR20050101324A (ko) 2005-10-21
KR101257274B1 (ko) 2013-05-02
TWI339408B (en) 2011-03-21
JP6396386B2 (ja) 2018-09-26
US20190115247A1 (en) 2019-04-18
US20040157407A1 (en) 2004-08-12
EP1603702A4 (en) 2008-10-29
WO2004071700A3 (en) 2005-04-21
US6962835B2 (en) 2005-11-08
JP6092280B2 (ja) 2017-03-08
JP2015164190A (ja) 2015-09-10
EP1603702A2 (en) 2005-12-14
KR20120034786A (ko) 2012-04-12

Similar Documents

Publication Publication Date Title
KR101252292B1 (ko) 상온에서의 금속의 직접 결합
US11760059B2 (en) Method of room temperature covalent bonding

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160323

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170328

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180327

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190322

Year of fee payment: 7