JP2015023286A - 複数のブランキングアレイを有するパターン画定装置 - Google Patents

複数のブランキングアレイを有するパターン画定装置 Download PDF

Info

Publication number
JP2015023286A
JP2015023286A JP2014142308A JP2014142308A JP2015023286A JP 2015023286 A JP2015023286 A JP 2015023286A JP 2014142308 A JP2014142308 A JP 2014142308A JP 2014142308 A JP2014142308 A JP 2014142308A JP 2015023286 A JP2015023286 A JP 2015023286A
Authority
JP
Japan
Prior art keywords
beamlets
deflection
beamlet
dap
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014142308A
Other languages
English (en)
Inventor
プラッツグンマー エルマー
Elmar Platzgummer
プラッツグンマー エルマー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Publication of JP2015023286A publication Critical patent/JP2015023286A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • H01J2237/0437Semiconductor substrate

Abstract

【課題】1台の偏向アレイ装置内で処理されるデータ量を高めることなく、プログラム可能ビームの数を高める荷電粒子マルチビーム装置を提供する。
【解決手段】パターン画定(PD)装置500が、スタックした配置の少なくとも2台の偏向アレイ装置512、522を含み、粒子ビームlbが、偏向されるかまたはブランキングされる。各偏向アレイ装置512、522が、複数のブランキング開口部513、523、および複数の偏向装置であって、各々が、それぞれのブランキング開口部513、523と関連していてかつ少なくとも1本の静電電極510、511、520、521を備える。偏向装置は、選択的に活性化可能であり、ビームレットのそれぞれのサブセットA、Bに対応し、選択的に偏向させてそれぞれのサブセットA、Bに属するビームレットだけに働き、一方、残りのビームレットB、Aは偏向しない。
【選択図】図11D

Description

本発明は、荷電粒子マルチビーム処理(特にナノパターニングもしくは半導体リソグラフィ)または検査器具に用いられる先端プログラム可能マルチビームパターン画定装置に関する。さらに、本発明は前記プログラム可能マルチビームパターン画定装置を含む荷電粒子マルチビーム処理または検査器具(例えばナノパターニングまたは半導体リソグラフィ器具)に関する。
この種類のパターン画定(PD)装置は、通常少なくとも2枚のプレート、PD装置がプログラム可能アパーチャプレート系と呼ばれる出願人の公開された文献においてアパーチャプレートおよびブランキングプレートとも呼ばれる、アパーチャアレイプレートおよび偏向器アレイプレートを備える。
アパーチャアレイプレートは、電気的に帯電した粒子のブロードビームで照射されるように設計され、かつ複数のアパーチャを通しての荷電粒子の通過を可能にする;これは、ビームレットの各々がそれぞれのビームレット経路に沿ってアパーチャを横断する、対応する数のビームレットを形成する。
アパーチャアレイプレートに近接して、好ましくはそれの下流に配置されるのが、アパーチャアレイプレート内の開口部のサイズと比較してより大きなサイズのアパーチャを備えた偏向器アレイプレート(DAP)である。したがって、アパーチャアレイプレートによって形成されるビームレットは、DAPを自由に(すなわち、幾何学的阻害なしで)通過することができる。DAPでは、ビームレットはそれらの公称経路から前記ビームレットを進路変更するのに十分な分量で選択的に偏向されることができ、出願人の公知の文献にて説明したように、偏向されたビームレットがターゲットに到達することができないようにする。
この種類のマルチビームPD装置を備えた荷電粒子マルチビーム器具は、出願人の(特許文献1)内に開示され、それは、関連する従来技術としてここに本開示に組み込まれる。その特許は荷電粒子リソグラフィおよび、PML2(「投射マスクなしリソグラフィ」の略)と呼ばれる処理方法と装置を記述し、および、出願人の出版物がeMET(「電子マルチビームマスク露光ツール」の略)を記述し、その両方が、マルチビーム書込み概念を実現してかつ、電気的荷電粒子の単一供給源から抽出される粒子ビームを構築するためのPD装置としてプログラム可能アパーチャプレート系(APS)を使用する。(特許文献1)の図7内に、DAP内の偏向されるビームがDAPの近傍の更に下流に配置される第3のプレート(「端子プレート」)で、フィルタで除去されることが示される。それのわずかに変更された変形として、図1はビームレットがアパーチャアレイプレート201によって形成されてかつDAP202内のより大きな開口部を通過するPD装置102を示す;DAP202内の偏向されるビームレットは、荷電粒子投射光学系103の第2のクロスオーバーc2に、またはその近くに位置する停止プレート17で、フィルタで除去される。これはDAP内の端子プレートが必要とされない利点を有し、および有意により小さい偏向角がDAP内のビームブランキングを達成するのに十分である。
荷電粒子マルチビームリソグラフィおよび処理は、シリコンウエハ基板上のマルチビームマスク書込みのためにおよびマスクなしマルチビーム直接書込みプロセスのために、のようなナノリソグラフィおよびナノパターニング応用のためにとても興味深い。本発明に関して、用語『ターゲット』および『基板』は意味の差異なしで使用される。
特に電子マルチビーム書込みは、193ナノメートル漬浸リソグラフィのために必要とされるフォトマスクの、極紫外リソグラフィ(EUVL)のためのEUV−マスクの、およびナノ−転写リソグラフィのための、特に、サブ10nm技術ノードまでの拡張可能性を備えたサブ20nm半導体技術ノードのための、テンプレート(1倍マスク)の、将来の工業製造にとって有望な概念である。マルチビームマスクライタに対して出願人は、頭字語eMET(上記参照)を案出した。マルチコラムPML2構成のシリコンウエハ上のマルチ電子ビーム直接書込み(MEBDW)処理の構成は、出願人の(特許文献2)および(特許文献3)内に記述されている。
PD装置は好ましくは、プログラム可能マルチアパーチャ装置である。プログラム可能マルチアパーチャプレートに基づく荷電粒子マルチビーム投射光学系の実現は、集束単一スポットビーム系、同じく可変形状ビーム(VSB)系と比較すると達成可能な生産性の有意な向上を可能にする。向上した生産性に対する理由は、第1に、複数のビームを使用するプロセスの並列性および、第2に、同じ解像度で基板に画像形成されることができる(全ビームレット並列書込みの)増加された電流である。単一電子ビーム系と比較して、電子マルチビーム書込器具のターゲットでの電流密度(A/cmのオーダーの)は、VSB系と比較すると約2桁低く、したがって、高度な(>100A/cm)電流密度を備えた単一ビーム系を使用する時避けられない瞬間加熱効果を低下させる。
ビームレット切換えを更に説明するために、図5は上述のPD装置102を示し、それは更に詳細に、出願人の(特許文献1)および(特許文献4)に基本的に準拠している。((特許文献1)の図2に対応する)図4Aは、互い違いにされたアパーチャアレイを備えたPD装置の下面図(照明ビーム方向と反対方向の観察方向)、および((特許文献5)の図12に対応する)図5は図4A内のライン6に沿った長手方向断面図を示す。(特許文献6)内に開示されるもののようなより最近の実現において、ターゲットにおけるマルチビーム書込みが、図4B内に示されるアレイのようなアパーチャの互い違いにされない「直交」アレイによって完全に達成される。図6Bは、接地プレートおよび偏向プレートに対する一例レイアウトを示す、ビームの方向に沿って観察される、図4BのDAPの例示的な平面図詳細を示す。DAP開口部間の領域は、偏向電極を制御して駆動するようにCMOS電子回路を収容するために使用される。
図4Aまたは4Bおよび図5のPD系102は、積層構造で実装される複数のプレート201、202を備え、その構成要素がそれぞれの特定の機能を果たす複合装置を実現する。プレートの各々は、好ましくは例えば(特許文献7)および(特許文献8)内に概説されるように、構造体がマイクロ構築技法によって形成されたシリコン微小系技術によって実現される。図5内に示される構成では、プレート201、202は周知のボンディング技法を用いてフレームfs内のボンディング領域212で共にボンディングされる。
他の変形において、たとえば出願人の(特許文献9)内に記述されるように、プレートは機械的に別々でかつ調整可能な保持器具によってそれらの位置に保持される。これは、アパーチャアレイプレートがDAPの位置変化によって影響されない利点を有する。DAPが個々のビームレットを偏向させる目的だけを有し、一方、偏向されないビームレットはDAPを通過してかつ基板に画像形成されるのでDAPのわずかな位置変化は許容されることができる。
複数のアパーチャは、図4A内の参照符号afおよび図4B内のxfによって指定されるアパーチャ場を形成する、より小さい方形または矩形フォーマットに通常切られるシリコンウエハの薄くされた領域によって形成される膜mb内に位置する;膜mbは、周囲フレームfsによって安定化される(図5、フレームが図4A,B内に斜線で示される)。各アパーチャが、前記プレート内に画定される一組の連続的な開口部に対応する。図4Aおよび4B内に見えるアパーチャの数は、膜mb内のアパーチャ場を形成する多数のアパーチャを代表するため、さらなる明瞭さのために少数である;図5では、2個のアパーチャだけが示される。荷電粒子ビームlbは、アパーチャ場afのアパーチャのこのアレイを通してプレートを横断する。
入射ビームの方向の第1のプレートは、アパーチャアレイプレートまたは短いアパーチャプレート201である。それは入射する荷電粒子ビームlbの大半を吸収するが、しかし、荷電粒子は画定された形状の複数のアパーチャ20を通過し、したがって、複数のビームレットを形成することができ、それのうち2本のビームレットb1、b2だけが示される。ビームレットを形成するタスクは別として、アパーチャプレート201は照射損傷以降のプレート(単数または複数)を保護する役目を果たす。局所的帯電を防止するためにアパーチャプレートは、適切なレイヤ210、通常何の酸化物も形成しない金属レイヤ(例えばイリジウム)でコーティングされることができる。イオンビームを使用する時、レイヤ210およびその形成の方法は、照射粒子が、(特許文献9)内に概説される膜応力の変化を引き起こすシリコン結晶マトリクス内に取り込まれるのを防止するために適切に選ばれる。
アパーチャアレイプレート201に続いて下流に、偏向器アレイプレート202(DAP;また器具100の文脈でその機能からみてブランキングプレートと称する)が設けられる。このプレートは、選択されたビームレットを偏向させ、かつしたがってそれらのビーム経路を変更する役目を果たす。DAPは、各々がアパーチャアレイプレート201のそれぞれのアパーチャに対応する、複数のいわゆるブランキング開口部を有する。上記され、かつ図5内に示されるように、DAP内のブランキング開口部は、アパーチャアレイプレート内の開口部と比べて大きい。
DAP内の各ブランキング開口部は、ビームレット偏向手段(また、ビームレット偏向装置と称する)を備え、それが、開口部を通して越える荷電粒子を個々に偏向させ、したがって、その経路から開口部を横断するビームレットを進路変更させることを可能にする。各ビームレット偏向手段は、一組、通常一対のビームレット偏向電極を含む。好ましくは、各組が異なるタイプの電極を有する:第1のタイプは、PD装置の電位に印加される『接地電極』であり、一方、『活性電極』とここで呼ばれる別のタイプは、対応するブランキング開口部を越えるビームレットを偏向させるために個々の電位を印加される。接地電極は、隣接するビームレット偏向装置の間で共有されることができる。それらは、活性電極の高さをこえる実質的な高さを有するように形成されることができる。これは、クロストークおよび偏向電極幾何学形状によって招かれるレンズ効果のような他の望まない効果に対するブランキング偏向手段の十分なシールドをもたらすためになされる。
図5内に例示される従来技術DAPでは、各ビームレット偏向手段はそれぞれ活性電極221、221’および接地電極220、220’を備える。電極はたとえば、DAPベース膜に関して自立である。この種の電極は、たとえば電気メッキ技法として現状技術技法を使用して垂直成長によって形成されることができる。
たとえば、ビームレット偏向電極のそれぞれの組によって形成されるビームレット偏向手段が付勢されず、ここで活性電極221と関連する接地電極220との間に電圧が印加されないことを意味するので、ビームレットb1は偏向されることなくパターン画定系102の以降のより大きな開口部を越える。これは、アパーチャの「スイッチオン」状態に対応する。ビームレットb1は影響を受けずにパターン画定系102を通過してかつクロスオーバーを通して粒子−光学系によって焦点を合わせられ、かつ荷電粒子投射光学系によって引き起こされる縮小によってターゲット上へ画像形成される。例えば、出願人によって実現される系では、200:1の大きさの縮小率が実現された。対照的に、ビームレットb2によって示すように、「スイッチオフ」状態は、このアパーチャのビームレット偏向手段を付勢する、すなわち対応する接地電極に関して活性電極221’に電圧を印加することによって実現される。この状態で、電極220’、221’によって形成されるビームレット偏向手段は、対応するビームレットb2の経路を横切る局所的電場を生成し、かつしたがって偏向される方向にその通常の経路p0からビームレットb2を偏向させる。結果としてビームレットは、荷電粒子光学系を通しての途中に、変更された経路p1に従ってかつターゲットに到達するよりむしろ光学系内に設けられる吸収手段で吸収される。したがって、ビームレットb2は、ブランキングされる。ビーム偏向角は、図5で大規模に誇張されている;それは一般に非常に小さく、一般的に1ラジアンの200〜1000分の1である。
スイッチオンされたアパーチャのパターンはこれらのアパーチャがビームlbに透明なパターン画定装置の唯一の部分であるので、基板上で露光されるべきパターンに従って選択され、それがしたがって、PD装置から現れるパターン化されたビームpbに形成される。
一般に、PD装置はアパーチャおよび偏向器の同等に高度な統合密度のための少なくとも2枚の異なる種類のプレート、すなわち、ビームレットを形成する(かつおそらく入射ビームによって課される熱負荷の大半を吸収する)ための少なくとも1枚のアパーチャプレートおよびビームレットの選択された偏向のための偏向器アレイプレート(DAP)を備える。2枚以上のプレート間の正確な位置合わせおよび入射ビームの方向に向けた適切な調節が、必要とされる。この位置合わせは、(特許文献8)にて開示した構成を用いてインシトゥで達成されることができる。
本発明の動機づけは、現在利用可能な数量(約25万)からPD装置および対応する荷電粒子光学系の横方向のサイズを必ずしも変えることなく数100万のビームにまでプログラム可能ビームの数を増加することである。PD装置の記述された構成が出願人の実現されたマルチビーム書込系内の数十万のプログラム可能ビームでよく機能するとはいえ、出願人は、PD装置の横方向の全体的な寸法を増加することなく、PD装置内の明確に高められた数のプログラム可能ビームに対する必要性を認識した。これを達成すると、PD装置を収容する荷電粒子コラムの寸法を変える必要性がない。この種の実質的に増加された高度な数のプログラム可能ビームに対して、単一のDAP内で画像データ(パターンデータ)を必要に応じて処理する電気構成要素の高密度は相当なものであり、大きなデータレートはDAP内の高められた熱発生量を招く。更に所定のアレイ場領域内により多くのアパーチャを配置する時、活性偏向プレートを制御するおよび/または駆動するCMOS回路のための場所がより小さくなる。さらに、単一のDAP用の入出力信号ラインは、所定のレベルの微小系技術に対して限定される。したがって、非常により高度なデータレートでの並列使用のためにプログラム可能ビームの数を実質的に高め、しかし同時に、露光プロセス中に単一DAP内に処理されるべきパターンデータの分量を実質的に高めないことが望ましい。したがって、非常に高度な数のプログラム可能ビームを達成するために、新規な時間のかかるかつ高価な技術強化を一切必要とせずに、既存のMEMS技術の使用は必要とされる装置を製作するのに十分である。
米国特許第6,768,125号明細書 米国特許第7,214,951号明細書 米国特許第8,183,543号明細書 米国特許第7,276,714号明細書 米国特許第7,714,298号明細書 米国特許第8,222,621号明細書 米国特許第7,687,783号明細書 米国特許第8,198,601号明細書 米国特許出願第2011/0204253A1号明細書 米国特許第7,781,748号明細書
前述の目的からみて、PD装置データレートおよびしたがって、1台の偏向アレイ装置(DAP)内で処理されるべきデータ量を有意に高めることなく、プログラム可能ビームの数を実質的に高めることが、本発明の一目的である。更に、画像データの高められたパターン複雑性がターゲット上に生成されることができるように、空間的解像度を向上させる目的がある。
この目的は、少なくとも2枚の偏向アレイプレート(DAP;一般に、これらのプレートは全体的なプレートのような形状の装置である)を含む、冒頭部に記述された種類のパターン画定(PD)装置によって満たされ、それが、ビームレットの各々が少なくとも2枚のDAPを横断するようにスタックされた配置で前記アパーチャ場を横切って配置され、そこで各偏向アレイプレートがアパーチャアレイ場に対応する領域を有し、かつ−それぞれのDAPを通してのビームレットの通過を可能にする複数のブランキング開口部、および−複数の偏向装置であって、各々が、それぞれのブランキング開口部と関連づけられてかつ少なくとも1本の静電電極を備える前記偏向装置を備え、この偏向装置が、選択的に活性化され、かつ、活性化される時、それらの公称経路から前記ビームレットを進路変更させるのに十分な分量で、前記ビームレットを偏向させるために、ビームレットが前記それぞれのブランキング開口部を横断するのに影響を与えるように構成されることができ、各偏向アレイ装置に対して複数の偏向装置が、ビームレットのそれぞれのサブセットに対応し、各DAPがそれらを選択的に偏向させることによってビームレットのそれぞれのサブセットに属するビームレットだけに働くように構成され、一方それぞれのサブセットに属さないそれらのビームレットが後者によって偏向されることなくそれぞれのDAPを横断することができるようにし;異なるDAPがビームレットの異なるサブセットに働き、一方DAPがまとめてビームレットの数全体に働くことが可能であるように、PD装置のDAPが構成される。
換言すれば、各DAPはDAP内のそれぞれの領域を横断するビームレットの組の所定のサブセットを偏向させるために構成され、異なるDAPのビームレットのサブセットは相互に異なる。
本発明の1つの有利な展開において、アパーチャ場を横断する各ビームレットが偏向装置の少なくとも1台によって偏向可能であるように、PD装置のDAPが構成される。
好ましい代替案において、PD装置のDAPが、ビームレットの相互に分離性のサブセットに働くように構成され、ビームレットのサブセットが、アパーチャ場を横断する各ビームレットが、DAPのちょうど1枚と関連付けられるように適切に構成され、それはしたがってそれを選択的に偏向させることによってビームレットに働くように構成される。これは、相互に補足するブランキング動作を備えた装置の構成を実現する。
本発明の別の適切な態様において、ビームレットの組が、ビームレット経路の共通の方向(Z方向)に垂直な二次元面(X−Y面)上へ投射される時、領域の少なくとも一部分内に、しかし、好ましくはアパーチャ場全体の上に、相互に交錯するグリッドに沿って配置される。
本発明の先の態様に対する代替案が、部分的領域からアパーチャ場を作成することを構想する。この場合、ビームレットの組が、ビームレット経路の共通の方向に対して垂直な二次元面上へ投射される時、まとめると、アパーチャ場全体を包含する隣接する(好ましくは、オーバラップしない)領域内に配置されることができる。
偏向装置の適切な実現は、それぞれのブランキング開口部を横断するビームレットに静電場を印加し、したがってそれらのそれぞれの公称経路から前記ビームレットを進路変更させるのに十分な分量で前記ビームレットを偏向させるために構成される静電電極を備えることができる。更に、偏向装置の各々の静電電極が、少なくとも1本の偏向器電極および関連する対電極を備えることができ、そこで対電極がDAPに共通の静電位に保持される。この共通の電位は、しばしば接地電位と呼ばれるが、荷電粒子コラム光学系の構成に従ってこの電位はターゲット電位と通常等しい大地電位から離れている。別の変形において、偏向装置の静電電極が、それぞれ、反対側の符号の静電位で供給されるように構成される少なくとも2本の静電偏向器電極を備えることができる。
本発明の別の有利な展開において偏向装置の静電電極に供給される静電位が、異なる偏向装置に対して異なる値を有することができる。特に、値は絶対値に関しておよび/または静電位の符号に関して偏向装置の間で変化することができる。さらに、値は時間の関数として変更されることができる。静電位の値は、接地電位またはそれぞれの対電極の電位に関して適切にとられる。
以下では、本発明は本発明のいくつかの例示的な限定されない実施態様を用いて更に詳細に記述され、以下図式的に示す図面内に例示される:
長手方向断面における本発明に適している粒子−ビーム露光器具の概要; ターゲットに関する1つの典型的書込戦略を例示する; 像視野内に互い違いにされたラインに同時に露光される1次ピクセルの配置を示す; 直交グリッドに従う1次ピクセルの別の配置を示す; 図3Aの1次ピクセル配置を生成するためのPD装置の底面図を示す; 図3Bの1次ピクセル配置を生成するためのPD装置の底面図を示す; 図4Aまたは4BのPD装置の簡単にした断面図を示す; 長手方向断面図における本発明の第1の実施態様に従うPD装置を備えた粒子ビーム器具を示す; 図6AのPD装置の1枚のDAPの平面図詳細を示す; 長手方向断面図における本発明の第2の実施態様に従うPD装置を有する粒子ビーム露光器具を示す; 図7Aの器具のPD装置内に含まれる2枚のDAPの平面図を示す; 図7Aの器具のPD装置内に含まれる2枚のDAPの平面図を示す; 4枚のDAPを備える別の実施態様に従うPD装置に対して、4枚のDAPのそれぞれの平面図を示す; 4枚のDAPを備える別の実施態様に従うPD装置に対して、4枚のDAPのそれぞれの平面図を示す; 4枚のDAPを備える別の実施態様に従うPD装置に対して、4枚のDAPのそれぞれの平面図を示す; 4枚のDAPを備える別の実施態様に従うPD装置に対して、4枚のDAPのそれぞれの平面図を示す; 交番方向へのビームレットの偏向が異なる符号の電圧を印加することによってどのようにして実現されることができるかについて例示する; 異なる方向の偏向装置を用いて異なる方向へのビームレットの偏向を実現する更なる一実施態様を示し、2枚のDAPを有するPD装置を含む粒子ビーム器具の長手方向断面図を示す; 異なる方向の偏向装置を用いて異なる方向へのビームレットの偏向を実現する更なる実施態様を示し、図10AのPD装置の2枚のDAPの一方の平面図詳細を示す; 異なる方向の偏向装置を用いて異なる方向へのビームレットの偏向を実現する更なる実施態様を示し、図10AのPD装置の2枚のDAPの他方の平面図詳細を示す; 2枚のDAPを備えるPD装置を実現する更なる実施態様を例示し、前記PD装置を含む粒子−ビーム器具の長手方向断面図を示す; 2枚のDAPを備えるPD装置を実現する更なる実施態様を例示し、2枚のDAPの一方の平面図詳細を示す; 2枚のDAPを備えるPD装置を実現する更なる実施態様を例示し、2枚のDAPの他方の平面図詳細を示す; 2枚のDAPを備えるPD装置を実現する更なる実施態様を例示し、前記DAPの詳細長手方向断面図を示す; 図11Aの実施態様の変形に従うPD装置に対して、4枚のDAPのそれぞれの平面図詳細を示す; 図11Bの実施態様の変形に従うPD装置に対して、4枚のDAPのそれぞれの平面図詳細を示す; 図11Cの実施態様の変形に従うPD装置に対して、4枚のDAPのそれぞれの平面図詳細を示す; 図11Dの実施態様の変形に従うPD装置に対して、4枚のDAPのそれぞれの平面図詳細を示す; 更なる実施態様の2枚のDAPの一方の平面図詳細を示す; 更なる実施態様の2枚のDAPの他方の平面図詳細を示す; さらに別の実施態様の4枚のDAPのそれぞれの平面図詳細を示す; さらに別の実施態様の4枚のDAPのそれぞれの平面図詳細を示す; さらに別の実施態様の4枚のDAPのそれぞれの平面図詳細を示す; さらに別の実施態様の4枚のDAPのそれぞれの平面図詳細を示す; ブランキングの活性領域がアパーチャ場の一部に制限される更なる一実施態様のDAPの平面図を示す;および 図15の実施態様に対応する4枚のDAPの平面図を示す。
以下で検討される本発明の種々の実施態様は大規模縮小投射系を有するeMETタイプ荷電粒子マルチビーム露光器具およびそのパターン画定(PD)系の開発に基づく。以下では最初に、本発明に関連する限り、器具の技術的背景が検討され、それから、本発明の実施態様が詳細に検討される。認識されるべきことは、本発明が単に本発明の可能な実現の例を表すだけである以下の実施態様またはPD装置の特定のレイアウトに制限されず;むしろ、本発明は同様に粒子ビームおよびマルチビームパターニングを使用する他のタイプの処理系に適しているということである。
・eMET系
本発明を使用する電子マルチビームマスク露光ツール(マスクライタ)の概略概要が、図1内に示される。以下では、本発明を開示するために必要な詳細のみが説明される;理解しやすいように、構成要素は図1内に寸法を規定するようには示されず、とりわけ、粒子ビームの横方向の幅は誇張されている。PML2系の原理もまた、eMETに類似している;より詳細には、読者は(特許文献1)および(特許文献10)を参照され、粒子−ビーム器具およびPD手段の全体的なレイアウトに関するその教示が、参照によってこれと共に含まれる。
電子ビームを生成する適切な供給源が、eMET系内に使用される。イオンマルチビームツールのための供給源を使用する時等価原理があてはまり、その代わりにそれを出願人がさらに実現した(CHARPAN、「荷電粒子ナノパターニング」の略)。照射荷電粒子光学系はビームを、ターゲット表面上に投射されるべきビームパターンを画定するためにアパーチャの規則的なアレイを有するPD装置を照明する広幅ビームに形成する。各アパーチャによって、小型ビーム(さらに『ビームレット』と称する)が画定され、アパーチャを通しての各ビームレットの通過が、アパーチャおよび/またはターゲットに向けた以降の縮小荷電粒子投射光学系を通してのビームの粒子の通過を可能にする(『スイッチオン』)かまたは、事実上非活性化する(『スイッチオフ』)ために制御されることができる。アパーチャアレイを横断するビームレットは、アパーチャの空間配置によって表され、かつ個々のビームレットのオン/オフ定義の情報を含むパターン化された粒子ビームを形成する(下記参照)。パターン化されたビームは次いで、ターゲット(たとえばマスクブランクまたは半導体ウエハ基板)上に縮小荷電粒子光学投射系を用いて投射され、したがって対応するビームが偏向されないアパーチャの画像が照射された部分でターゲットを露光するかまたは変更するように形成される。基板に投射されるビームレットによって形成される画像は、1つの方向に機械的に動く基板上のストレート経路(「ストライプ」)に沿って露光される;基板の(大規模な)運動は、投射系の微調整を伴い得るターゲットステージの連続的運動によって通常達成される。走査方向に対して垂直な方向のビームの追加的な走査は、たとえば走査ステージの横方向の走行誤差を補正する、および/または特定の露光技法の場合に(限定された)数の平行ピクセル行を含むように、小さい横方向の範囲内だけで実行される(註、下で図4を参照)。
器具100の主構成要素は、−この例では、図1内に垂直に下方へ走るビームlb、pbの方向の順に−照射系101、PD系102、投射系103、および、ターゲットまたは基板14を備えたターゲットステーション104である。荷電粒子光学系101、103は、静電および/または電磁レンズを使用して実現される。器具100の荷電粒子光学部分101,102,103は、器具の光軸に沿ってビームlb、pbの妨げられない伝播を確実にするために高真空に保持される真空ハウジング(図示せず)内に含有される。
たとえば、照射系101は電子またはイオン源11、仮想線源の位置を画定する抽出器配置、汎用ブランカ12(図1内に図示せず)を備え、イオンビームを使用する場合、さらに粒子フィルタ、および、粒子光学集光レンズ系13によって実現される照射荷電粒子光学系として使用されることができる。図示された態様において粒子源11は、例えば5keVのような適切な運動エネルギの高エネルギ電子を放出する;他の実現では、例えばΔE=1eVの比較的小さいエネルギ広がりを備えた一般的に数keV(例えばPD系102で5keV)の画定された(運動)エネルギを有する水素またはArイオンのような、主に特定の種類のイオンのような他の電気的荷電粒子が使用されることができる。速度/エネルギに依存するフィルタ(図示せず)を、供給源11内にまた生成され得る他の望まない粒子の種類をフィルタで除去するために設けることができる;フィルタは、さらにビームレットの再位置付け中に全体としてビームをブランクアウトするために使用されることができる。集光レンズ系13を用いて、供給源11から放出される荷電粒子が、ビームlbとして機能する広域実質的テレセントリックビームに形成される。
ビームlbは、次いで、その位置を保つために必要な装置(図示せず)と共に、PD装置102(それは、さらに図1の左側の側面で、概略透視詳細図で示される)を形成するブランキング装置を照射する。PD装置はビームlbの経路内の特定の位置で保持され、したがって、ビームlbは複数のアパーチャ20によって形成されるアパーチャアレイパターンを照射する。すでに言及されたように、アパーチャの各々が、「スイッチオン」または「オフ」されることができる。「スイッチオン」または「オープン」状態において、アパーチャはターゲットに到達するために、ビームレットがそれぞれのアパーチャを通過することを可能にする;その時、アパーチャが入射ビームに対して透明であると言える。さもなければ、アパーチャは「スイッチオフされる」または「閉じられる」が、その場合、ターゲットに到達する前にビームレットが吸収されるかまたはさもなければビーム経路から除去されるように、それぞれのビームレットのビーム経路が影響を受ける(例えば横電圧が印加される偏向電極を用いて);したがって、アパーチャはビームに対して事実上不透明であるかまたはそれを通さない。これらのアパーチャがビームlbに対して透明なPD装置の唯一の部分であるので、スイッチオンされたアパーチャのパターンは、基板上で露光されるべきパターンに従って選択され、それは、したがって、アパーチャから現れるパターン化されたビームpbに形成される(すなわち図1内のPD系102の下に)。PD装置のアーキテクチャおよび動作が、特にそのブランキングプレートに関して、以下に詳細に検討される。図1において、ビームレットの実際の数は非常に大きい、すなわち一般的に何千であることが明確であるとはいえ、5本のビームレットだけがパターン化されたビームpb内に示される;示されるビームレットの、左から一番目はそれが、荷電粒子投射光学系の第2のクロスオーバーc2に、またはその近くに位置している停止プレート17上で吸収されるのでスイッチオフされて表される;スイッチオンされている他のビームレットは、プレート17の中央開口部を通過して、したがって、ターゲット上に投射される。
パターン化されたビームpbによって表されるパターンが次いで、(レジストコーティングを備えた6インチマスクブランクのような)基板14に向けて荷電粒子光学投射系103を用いて投射される;これは、スイッチオフされるビームレットが停止プレート17で吸収され、スイッチオンされたビームレットだけがスイッチオンされたアパーチャの画像を形成するからである。投射系103は、たとえば、出願人によって実現されたように200:1の縮小を実現する。基板14が、たとえば、eMETタイプ系の場合6インチのマスクブランクまたはナノインプリント1倍マスクまたは、電子高感度レジストレイヤによって覆われる、マスターテンプレートであることができ、一方、PML2系に対して基板14は、粒子高感度レジストレイヤによって覆われるシリコンウエハであることができる。基板14は、ターゲットステーション104の基板ステージ(図示せず)によって保持されて配置される。
投射系103は、たとえば、それぞれ、クロスオーバーc1およびc2を備えた2台の連続的な荷電粒子光学投射器セクションから成る。投射器を実現するために使用される(たとえば、静電多電極加速レンズ30および2枚の磁気レンズ31を備える)粒子−光学レンズ30、31が、静電画像形成系の技術的実現が従来技術で周知であるので記号形式だけで図1内に示される;本発明のさらに他の実施態様において磁気および/または電磁レンズが適切に含まれることができる。第1の投射器セクションが、PD装置のアパーチャの面を中間画像に画像形成し、それが次に、第2の投射器セクションを用いて基板表面上へ画像形成される。両セクションは、クロスオーバーc1,c2を通して縮小画像形成を使用する;したがって、中間画像が逆にされるとはいえ、基板上で生成される最終画像は直立状態(非反転)である。縮小率は、両ステージに対しておよそ14:1であり、200:1の全体的な縮小に帰着する。PD装置内の小型化の問題を向上させるために、このオーダの縮小はリソグラフィセットアップに特に適切である。荷電粒子光学レンズは主に静電電極から成るが、磁気レンズもまた使用されることができる。
荷電粒子光学系の更なる詳細は、上記の従来技術に見出すことができる。
画像に小さい横方向の、すなわち光軸cxに対して垂直な方向に沿った、シフトを導入する手段として、偏向手段16が投射器セクションの一方または両方に設けられる。この種の偏向手段は、たとえば(特許文献1)で検討されているように、多重極電極系として実現されることができる。加えて、軸方向磁気コイルが、必要なところで基板面内のパターンの回転を生成するために使用されることができる。横方向の偏向は、パターン化されたビームbpそれ自体の横方向の幅と比較して通常全く小さく、ほとんどの場合、単一ビームレットの2、3の幅または隣接したビームレット間の距離のオーダで、しかしビーム幅をなお少なくとも1桁下回る大きさである(ビームレット間の横方向の距離がビームbpの幅全体よりかなり小さいことがこの文脈において認識されるべきである)。
PD装置102内に形成されるパターンのおかげで、任意のビームパターンが生成されて基板に転写されることができる。
図2を参照して、たとえばレジストで覆われたウエハ41として示されるターゲット上にPD系102によって形成される像視野mfがターゲットそれ自体と比べて通常十分に小さい有限サイズを有する。したがって、走査ストライプ露光戦略が利用され、ここで、ターゲット上のビームの位置を永久に変えるために、ターゲットが入射ビームの下で動かされる:ビームは、事実上ターゲット表面上で走査される。図示した実施態様において、ターゲットは(大規模な)動きを遂行するので、ビーム走査戦略は大規模な動きに対して必要とされない;本発明のためにターゲット上の像視野mfの相対運動だけが関連することが強調される。
像視野mfが一連のストライプs0(露光ストライプ、またスキャンラインとも称する)を形成するためにターゲット41の表面の上で動かされるように、ターゲットおよびビームの相対的動きが実現される。各ストライプ42の幅は、走査方向sdに対して垂直な像視野43の幅y0に対応する。ストライプの完全集合が、基板表面の総面積を覆う。動きの経路の長さを最小化するために、走査方向sdは1本のストライプから次まで交互になることができる(牛耕式運動)。他の実施態様では、(右での)1本のストライプの端部から次のストライプの出発点への画像のすばやい再位置付けによって、たとえば図2内の右から左まで、走査方向が全てのストライプに対して同じであることができる。ストライプ間の再位置付けは、出願人の(特許文献10)にて説明したように、ビームの共通のブランクアウトを使用して概ね実行される。
上記から、像視野43が複数のパターンピクセルpxから成ることは明確である。しかしながら、認識されるべきことは、有限数のアパーチャだけがPD系のアパーチャ場内にあるので、ピクセルpxのサブセットだけが同時に露光されることができるということである。同時に露光されることができるピクセルは、以下に1次ピクセルp1と称する(1次ピクセルの可能な空間配置に対して図3Aおよび3Bを参照のこと)。理解されるであろうことは、1次ピクセルp1はPD系内のアパーチャの画像であり、それで、異なるスケールにおけるにもかかわらず(光学系の縮小の理由で)、それらがPD系のアパーチャ手段内のアパーチャの空間配置を再現するということである。連続した方法でターゲット上に全てのピクセルpxを露光するために、連続的なピクセル露光サイクルの連続で像視野43がターゲットの上を一掃される(このことは、ターゲットの動き、ビームの動きまたは両方の適切な組合せによって達成される)。
図3Aは、パターンピクセルの基本的格子内の1次ピクセルp1のグリッドの1つの可能な配置を示す。1次ピクセルp1は、各ピクセルライン(それは、走査方向sdと平行のラインである)内の互い違いにされたグリッドso内にあり、同じ数の1次ピクセルp1があり、および規則的な方法の典型的実現において、1次ピクセルp1の位置が1本のピクセルラインから次まで変化する。このレイアウトの利点は、全部のストライプが、矢印ラインa3で示すように線形運動で進むターゲットによって一連の露光で1次ピクセルp1によって露光されることができることである。したがって、走査方向sdに沿って線形方法で、ターゲット上で像視野43を動かすことで十分であり、および上部の右のコーナー内の3個の1次ピクセルp1に対して矢印ラインで示すように、1次ピクセルの組は走査方向に対して直角な画像の追加の動きを必要とせずに全てのパターンピクセルpxを覆う。
図3Bは、基本パターンピクセル格子内の、すなわち規則的な矩形のグリッド内の、1次ピクセルp1のグリッドの別の可能な配置を示す;この場合、それは基本格子内の3×3間隔を備えた正方形のようなグリッド(『クワッドグリッド』)である。この場合、1次ピクセルp1がターゲット上の全てのパターンピクセル位置pxを覆うことができるように、追加的な横動きが走査方向に沿って画像の移動中になされる。横動きはたとえば、段階状の矢印ラインa4で例示的に例示されるように、偏向手段16によってもたらされることができる。複数のピクセルが異なるピクセルライン内に露光されたあと、動きは、元のピクセルラインへ戻ってシフトする(経過する時間間隔中に遂行される方向sdに沿った直線変位をプラスする)。したがって、横動きの幅は小さくなることができる;幅はビームレットの相互距離のオーダであることが理解されるであろう(小さい数、一般的に2から8掛けるビームレットの幅に等しい;図3Aおよび3Bでは、この数は3である;ターゲット面上でビームレットの幅がピクセルp1の幅y1に同じく等価である)。この変形は、図3Aに示す互い違いにされたレイアウトと比較して、PD装置内のアパーチャ配置の幾何学的レイアウトを簡単にする。
更に注意する価値があることは、実際のパターンでは全てのピクセルが完全な線量で露光されるわけではなく、いくつかのピクセルが、実際のパターンに従って「スイッチオフされる」;任意の1次ピクセルに対して(または同等に、すべてのビームレットに対して)それは、ターゲット上に露光されるかまたは構築されるパターンに依存して、ピクセルが、「スイッチオンされる」かまたは「スイッチオフされる」かのどちらか、1つのピクセル露光サイクルから次まで変化することができるということである。本発明の記述に対して、しかしながら、実際のパターンの実現は無関係である。したがって、以下の記述では、個々の選択されたビームレットがスイッチオフされることができるということは、考慮からはずされる;全てのビームレットが、あたかも露光されるパターンがターゲット領域を完全にカバーするかのように、スイッチオンされた(ターゲット上で活性)ように常に示される。念頭に置かれるべきことは、実際の実現では、少なからぬ数のビームレットがターゲットの照射中にスイッチオフされる必要があるパターンが重畳されるということである。
・PD装置およびDAP
詳細に上で検討された図4Aは、図3Aのピクセルグリッド配置を生成するためのPD系の平面図を示し、同じく上で検討された図5は、1枚のアパーチャアレイプレート201および1枚の偏向アレイプレート(DAP)202を備えたPD装置200の現状技術レイアウトを示す。
同様に、図4Bは図3Bのピクセルグリッド配置を生成するために設計されるPD系の平面図を示す。この場合、アパーチャapは規則的な矩形のグリッドに沿って「直交」アパーチャ場xf内に配置される。図3B内に図示された態様では、アパーチャが3×3グリッドで間隔を置かれた規則的なグリッドをアパーチャ場が実現する、たとえば、そこにおいて、アパーチャ間のオフセットがグリッドのどちらかの方向に沿った公称アパーチャ幅w1の3倍である(それゆえに、隣接したアパーチャ間の自由空間は、2・w1である)。上で概説されたように、図8Aは、直交開口部配置の場合に対するDAPの好ましい実施態様を示す。
・複数DAP
本発明によれば、PD系は複数のDAP、すなわち相互に相補的方法で複数のビームレット上で動作するように構成されるいくつかのDAP(=請求項の偏向アレイ装置)を備えている。より詳しくは、DAPは、それぞれ、アパーチャ場afまたはxfを横断するビームレットの一部に対してだけ、機能性ビーム偏光装置を備えている。更に詳細に、各DAPは、これらがそれぞれのビームレットを偏向させるために活性化されることができるように、それぞれの領域内の開口部の所定のサブセットだけがブランキング開口部の形で構成されるように構成されて偏向アレイ装置が各々ビームレットの対応する組と関連づけられ、各偏向アレイ装置がビームレットのそれぞれ関連する組に属するビームレットだけを選択的に偏向させることが可能であり、異なる偏向アレイ装置と関連するビームレットの組が、相互に異なって、好ましくは相互に分離性である、かつ/または相補的である。
図6Aおよび6Bは、アパーチャアレイプレート301および2枚のDAP312および322を備える本発明に従うPD系300の第1の実施態様を例示する。図6Aは、このPD系300による書込ツール器具の長手方向断面を示す;5本のビームレットだけが、実際の多数のビームレットを代表するために示される。第1のDAP312の平面図の詳細が、図6B内に与えられる(観察方向は照明ビームの方向に沿っており、示されたプレートより上の任意のプレートは電極を見えるようにするために除去されている)。プレート301、312、322は固定相対位置に共にボンディングされるかまたは、好ましくは、出願人の(特許文献8)内に検討されたように、位置決め装置(図示せず)によって保持される。各DAPは、開口部に対する偏向装置の完全集合を備える;しかしながら、活性電極の一部だけがビームレットのブランキングのために使用される。第1のDAP312内に、ビームレットに対して、開口部313が1つ置きで活性電極311によって偏向されるように構成され、活性電極311は対(「接地」)電極310と連動して動作する。したがって、図6A内にAとして記されるビームレットの群の切換えが、そのビームレット偏向装置を用いて第1のDAP312を用いて制御される。DAP312内の他の開口部に対して、電極は使用されないかまたは切り離されさえするので、ビームレットの何の偏向もこれらの『アイドル』開口部内にない。群A内にないビームレットが第2の群を形成し、図6A内にBとして記される;この群Bのビームレットの切換えは、第2のDAP322を通して実行される。第2のDAP322は第1のDAP312に類似した配置で活性および対電極を有するが、活性化可能な偏向電極対の構成は第1のDAPの構成に対して相補的である。群Aビームレットと関連している第2のDAP内の活性電極は、それぞれの開口部を『アイドル』にするために、使用されないかまたは切り離される。たとえば、アイドル開口部の活性電極は静電位の供給源または対電極の静電位の近道から切り離されることができる;別の代替案において、電極は、たとえばDAPの供給ロジック(図示せず)内の、特定のプログラミングのおかげで非活性化されることができる;以下に詳細に検討される他の実施態様では、活性電極はアイドル開口部に対して省略されることができる。各ビームレットはしたがって、群AおよびBの1つと関連し、およびビームレットの切換えは、それぞれ対応するDAP312または322を通して実行される。したがって、DAPは、それぞれの偏向装置を通して個々のビームレットをスイッチオンまたはオフすることによって、ターゲット304に書き込まれるべきパターンを画定することができる。図6Aでは矢印が、対応するビームレットを事実上スイッチオフするために活性化されるDAP311、312内のそれらの活性電極を記す。上で説明されて図6A内に例示されるように、それらのスイッチオフされたビームレットはPD系を確かに通るが、ターゲット304に到達する前に停止プレート303で吸収される。
対(または「接地」)電極310は、好ましくは、ビームレットに対する偏向装置間のクロストークを抑制するために、各開口部の周辺でコンパートメントを形成するグリッドを例えば形成するように構成される。図6Bの平面図から分かるように、開口部313は対電極310によって構成される格子のようなフレームワークによって隔てられる。したがって、XまたはY方向に沿った2つの隣接した開口部の間に対電極壁が存在するように、各開口部313が対電極によって画定されるコンパートメント内に位置する。対電極の静電位に対して電圧を確立する、静電位が活性電極311に印加されるときはいつでも、それぞれの開口部の領域を通して延在する電場が確立する。これは、開口部を横断する任意の電気的荷電粒子に偏向効果を誘発し、上述したようにビームレットの偏向に帰着する。
複数のDAP312、322内のビームレット偏向装置のレイアウトは、一様であるかまたはDAPの間で異なることができる。図6Aおよび6B内に図示された態様の場合、図6Aおよび6B内に見えない回路内に、異なるビームレット群A、Bに対する分散がプログラミングまたは変形によってなされるとはいえ、物理レイアウトは一様である。
図7は、アパーチャアレイプレート701ならびに2枚のDAP712および722を有する本発明に従うPD系700の第2の実施態様を例示する。図7Aは、この実施態様のPD系700を実現する書込みツール器具の長手方向断面を示し、一方、図7Bおよび7CがそれぞれDAP712、722の平面図詳細を示す。PD系700のこの第2の実施態様は、以下に他の点で特定されないところでは第1の実施態様300に対応する。各DAP712、722は、クロストークに対するビームレットを確実にシールドする対電極710、720の完全な実現を備えており、一方、サブセットが互いを補足するように各DAP内に活性電極のサブセットだけが実現される。したがって、第1のDAP712がビームレットの第1の群Aに対する、かつ、第2のDAP722が第2の群Bに対する、切換能力をもたらすように構成される;これらの2つの群A、Bは、好ましくは、相補的でかつ複数のビームレット全体の等しい割合(したがって、この場合相補的半分)を備える。したがって、図7Bに示すように、第1のDAP712内に、ビームレットに対する1つ置きの開口部だけが、たとえば活性電極711として実現される偏向電極を備えおり、それが対電極710と協働して(代わりとして、反対極性の電圧の2本の活性電極710、711が関連する場所に設けられることができる)それぞれのビームレットに対するビームレット偏向装置として働く。したがって、Aとして記される、偏向電極が設けられるビームレットの群の切換えが、そのビームレット偏向装置を用いて第1のDAP712を用いて制御される。残りのビームレットは、Bとして記される第2の群を形成する;この群Bのビームレットの切換えは、(第1のDAP712の活性電極711に対して相補的配置の)活性電極721および対電極720を備える第2のDAP722を通して実行される。各ビームレットは、したがって、群AおよびBの1つと関連づけられ、ならびに、それがスイッチオンされるかまたはオフされるかに依存してターゲット704または停止プレート703のどちらかにビームレットを投射するために、各ビームレットの切換えがそれぞれ、対応するDAP712または722を通して実行される。図7Bおよび7Cでは、シンボルAおよびBは、それぞれ、群AおよびBのビームレットに属する開口部を記し、一方、シンボル0はアイドル開口部を記す。
図8A−Dは、アパーチャアレイプレート(図示せず)に加えて、4枚のDAP412、422、432、442を含むPD系の別の実施態様を例示する。この場合、各DAP内の4番目毎の開口部だけが、活性電極411、421、431、441を備えている;残りの開口部は、アイドルである。対電極410、420、430、440のレイアウトは、全てのDAPに対して同じである。
・異なる方向への偏向、可変偏向分量
複数のDAP内の偏向装置によって遂行されるビームレットの偏向の方向および/または分量は、一様であることができるかまたはDAP毎に変化することができるか、またはDAP内の偏向装置の間で変化することができる。たとえば、図9に示すように、PD系900内のビームレット偏向装置は、反対側の方向にビームレットを偏向させるように動作させることができ、それで、群Aのスイッチオフされたビームの偏向は図9の左に対してであり、一方、群−Bビームレットの偏向は図9の右に対してである。偏向の異なる方向は、偏向される(「スイッチオフされる」)ビームレットを光学系内の異なる領域に、したがって、それらが吸収プレート903内に吸収される異なる領域に進路変更させる。これは、プレート903(またはその他の吸収構成要素)に与えられる照射応力を軽減する。ターゲット904上へ画像形成されるスイッチオンされたビームレットに、これはもちろん何の影響も持たない。異なる方向は、第2のDAP内に活性化された偏向に対するシンボル(−)で図9に示す、それぞれの開口部を横切る、異なる符号の静電電圧を印加することによって実現されることができる。
代わりに、図10A−C内に図示された態様にて図示したように、偏向の異なる方向はビームレット偏向装置の異なる幾何学的方向を通して実現されることができる(その他の点では、この実施態様は図6Aおよび6Bのそれに対応する)。図10Bで(および同様に図10Cで)分かるように、図10Bで1つの開口部から次に下がる時、開口部の偏向手段は交互の方向を有する、すなわち、活性電極771はそれぞれの開口部773の異なる側面に位置する;一連の開口部内で、方向は同じである。対電極770の構成は、一様である。図10B,C内のライン7−7は、図10Aの断面図に対応する切断面を示す。もちろん、当業者は左向きおよび右向き偏向の他の配置、例えばチェッカーボードに従う配置を容易に考案することができる。異なる方向が、図10Bに示すように2つの反対方向に、または2つを超える方向にあることができる。たとえば、方向が各DAPに対して異なる、たとえばプレートの4つの主な横方向(すなわち+X、−X、+Yおよび−Y)の各々にある、4重の構成が4枚のDAPによって構想されることができる。
図11Aから11Dは、アパーチャアレイプレート501、および、活性電極が、それぞれビームレットの半分に対してだけ、かつ偏向の交互の方向で実現される2枚のDAP512、522を有するPD装置500を含む本発明の実施態様を例示する。図11Aは、アパーチャアレイプレート501および2枚のDAP512、522を含むPD装置500の長手方向断面であり、ならびに、図11Bおよび11Cは2枚のDAP512、522の平面図詳細を示す(再び照明ビームの方向に沿った観察方向で、示されたプレートより上の任意のプレートは電極を見えるようにするために省かれている)。図11Dは、図11Bおよび11C内のライン5によって示される断面に沿ったPD系500の詳細断面図を示す;また、図は5本のビームレットだけの範囲を示すように簡単にされる。図11Dで分かるように、偏向装置の方向は2枚のDAP512、522に対して異なる。さらに、図11Bおよび11C内に見られるように、開口部の1本のラインから次まで進む時、方向はさらに交互になる。
各DAP512、522は、対(接地)電極の完全な実現を備えており、それによりクロストークに対するビームレットが確実にシールドされる一方、各DAPにおいてサブセットが互いに補足するように活性電極のサブセットだけが実現される。第1のDAP512がビームレットの群Aに対する、かつ、第2のDAP522が第2の群Bに対する切換能力をもたらすように構成される。
図11B,Cを参照して、さらに本実施態様において、開口部513は対電極510によって構成される格子のようなフレームワークによって隔てられる。したがって、XまたはY方向に沿った2つの隣接した開口部の間に対電極壁が存在するように、各開口部513が対電極によって画定されるコンパートメント内に位置する。更に開口部513は、1つ置きにそれぞれのコンパートメントと共に開口部の隣に位置する活性電極511を備えている。図11C内に示される第2のDAP522の平面図は、活性電極521の分布が異なり、特に相補的であることを除いて図11B内に示される第1のDAP521のそれと基本的に同じである。それ以外は、開口部523の構成および対電極520によって形成される格子のようなフレームワークは、第1のDAP512に適合する。
注意する価値があるのは、それぞれの開口部513、523に関する活性電極511、521の位置が、各DAP内の行毎に交互になっているが、第1および第2のDAPの間で一致するということである。
静電位を変化させる更なる態様において、活性電極に印加される電圧の値が(共通の接地電位またはそれぞれの対電極を参照して)それぞれの電極が活性化される時異なる(絶対)値を有することができる。たとえば、図11B,Cでは開口部の異なるラインの活性電極511、511’、521、521’が、異なる電圧値と関連づけられることができ、そこで使用される個々の値が類似していることができるが、しかし、例えば、『標準』ブランキング電圧から20から30%までの分量で変化させることができる。可変偏向は、たとえば、停止プレート上の異なる領域にスイッチオフされたビームレットの吸収の場所を向けることを提供することができる。電圧の値の変更は、固定されるかまたは時間で可変であることができるか、または画像形成されるパターンに依存して制御されることができる。
他の配置では、電圧は、光軸cxまたはアパーチャ場af、xfを横切る他の幾何学的パラメータから開口部の距離に基づいて、それらの値および/またはそれらの符号に関して、変更されるかまたは調整されることができる。これは、スイッチオフされたビームレットの空間電荷を通して(スイッチオンされたビームレットに対する)粒子光学画像形成誤差を補正するための追加的な調整パラメータを提供する。
図12A−Dは、図11A−Dの実施態様に対する変形であるが4枚のDAP612、622、632、642を備えているものを例示する。DAPの各々において、開口部は3つ置きに活性電極を備えており、したがって、それぞれのDAP上にだけそれらのビームレットに対して、機能するビームレット偏向装置を実現する。ここに示した例では、これはそれぞれの活性電極を備えた1つ置きの行に、1つ置きの開口部だけを有することによって実現される;したがって、各DAPは活性電極が完全にない1つ置きの行の開口部を有する。4枚のDAPは、活性電極(またはさらに一般的にいえば、ビームレット偏向装置)の分布が相補的であるような方法で配置されるので、各ビームレットに対して、正確に1本の活性電極があり、それがDAPの1枚に位置する。特定の一例として、活性電極を備える開口部のパターンが、1つ置きのライン内に(だけ)1つ置きの開口部(だけ)が活性電極を備えており、それは2×2オーダと呼ばれることができる;関連する4枚のDAPの各々内に、2×2オーダの4つの開口部の別の1つが、この種の活性電極を備えている。もちろん、他の複数のDAP組が2×2および1×2に加えて、1×3、1×4、2×3等のような、他のタイプのオーダを使用することができる。
・受動開口部を取り囲む対電極
図13Aおよび13Bは、クロストーク効果を更に低下させるための対電極の更に向上した構成の2枚のDAPを有するPD系の別の実施態様に関係する一組のDAP912、922を例示する。DAP912、922の各々において1つ置きの開口部913、923だけが、機能するビームレット偏向装置を備えており、この場合それぞれの開口部913、923の反対側の側面に位置する2本の電極910+911、920+921によって形成される;前述の電極がそれぞれ、対電極910、920および活性電極911、921として機能する。ビームレット偏向装置を備える開口部の分布は、たとえば、2枚のDAPに対して相補的な2つのパターンによって、チェスボードタイプパターンに従っている。残りのアイドル開口部に対して、隣接した偏向装置からの電場の影響から開口部をシールドするために、少なくとも3つの側面上にそれぞれ対応する開口部を取り囲む電極914、924が設けられる。これらの電極914、924は、一様な静電位、好ましくは対電極の接地電位に適切に保たれる。この構成は、機械的により安定でかつクロストークをより効果的に防止することによって向上した性能を有する電極のレイアウトを提供する。変形において、電極914、924が4つの側面上でそれぞれの開口部を取り囲むことができ、かつ/または対電極910、920と接続されることができる。
図14A−Dは、4枚のDAP91−94を備えた、4枚DAP構成の電極レイアウトを例示する。この場合、開口部943は3つ置きに、それぞれ、対電極および活性電極940、941によって構成されるビームレット偏向装置を備えている;開口部の残り3/4(すなわちアイドル開口部)は、シールド対電極944を用いて再びシールドされる。この種のビームレット偏向装置を備える開口部のパターンは、2×2オーダへの分割に従っており、ここで、関連する4枚のDAPの各々内に、2×2開口部群内の4つの開口部の別の1つが、この種のビームレット偏向装置を備えている。
図6−14内に例示される実施態様において非アイドル開口部が設けられる領域は、1つのPD系に属するDAPの数相互に交錯している。非アイドル開口部が、機能する偏向装置が存在する、および/または構成される開口部であることが想起される。好ましくは、非アイドル開口部がグリッドに沿って配置され、および、非アイドル開口部によってまたがられる領域が実質的に等しいので(すなわち、領域のエッジでの少数の開口部を除いて)、PD装置の異なるDAPのグリッドがアパーチャ場上で相互に交錯している。
・隣接する活性領域を有するDAP
概ね、本発明内で、概ね、非アイドル開口部がまたがる領域のオーバラップの範囲は、アパーチャ場の一部だけに延在することができるか、または、以下の実施態様にて図示するように、何のオーバラップも全くないことができる。
図15は、4枚DAP PD装置の一部であるDAP51を例示する。他の実施態様と同様にかつ特に図5に関して、このDAP51のアパーチャ場に対応する領域dfが、例えば半導体ウエハから生成されるプレートのような装置の図15内に斜線で示されたフレーム内に保持される膜mn上に形成される。領域dfは、図15内にf1(『活性領域』)として示される1つの四半分内にだけ非アイドル開口部を含有する。領域dfの残りの領域f0(『アイドル領域』)は、アイドル開口部だけを含有する。たとえば図6B内に示されるものの様に、活性領域f1内に偏向電極の構成があることができるが、非アイドル偏向装置を形成するために、全ての活性電極311が動作可能なように構成される。アイドル領域f0では全ての開口部がアイドルタイプである;好ましくは、何の活性電極もこの領域内になく、更に、対電極は省略されることができる;加えて、アイドル領域f0内のアイドル開口部のサイズは、ビームレットの通過を容易にするためにより大きくなることができる。
図16は、この実施態様の4枚のDAP51−54のレイアウトを示す。DAPの各々において、この場合に総面積dfの4つの四半分の各々内に、それぞれの活性領域f1、f2、f3、f4が異なって位置する。(4枚のDAPのレイアウトは、鏡面反射または90°での回転で互いに対応する。)まとめると、DAPを横断する粒子ビームの全てビームレットに対して完全なブランキング機能を提供するために、領域f1−f4は全部の領域を包含する。
DAPの膜mn内の領域dfのサイズが確立された構築方法でパターン化されることができるダイ領域dsのサイズd1(すなわちスキャナ場サイズ)と比べて大きい、非アイドル開口部の領域のこの種の配置はとりわけ有利である。回路を製作するダイ領域dsは、一般的に24mm×32mm以下であり、かつ高速切り替え機能を備えた偏向器装置アレイおよびパッケージングのためのバンプを含まなければならない。したがって、ブランキングアレイサイズの使用可能なサイズはスキャナサイズによって限定されることができる。図15および16内に例示されるアプローチは、複数の部分的領域f1−f4上へアパーチャ場の領域dfを分散することによってこの限界を迂回することを可能にする。図15内に示されるDAP51の例を参照して、DAP51が生成されるウエハのダイ場dsの単一領域内に活性領域が形成され、および、ウエハ上の隣接したダイが、アイドル領域f0のより大きな部分を含むこのダイ場dsの外側に位置する部分を形成するために犠牲にされる。活性領域f1内の偏向装置の制御回路のための給電および電子制御ラインを提供するために、DAP51に対する電気ボンディングが、ダイ領域dsの一方または両方の外側エッジに沿ってフレーム内に形成される1つ以上の領域br内に適切に設けられることができる。これらの電気ボンディング領域brは、たとえば、複数の電気ボンディングパッド(図示せず)を含むことができる。
・更なる変形
ここで開示される本発明の実施態様が例示的なだけであり、かつ本発明を限定するように解釈されるべきでないことは当業者に明確である。むしろ、当業者は添付の請求の範囲に記載の本発明の主旨及び範囲内に起こる変更および付加を容易に見いだすであろう。さらに、注目に値することはビームの偏向は+X、−Y、+Y、−Y方向であることができ、したがって、さらに、他の配置が、PD装置に従う荷電粒子投射光学系内のグローバル空間電荷効果の最小化を最適化するように設計されることができるということである。更に、複数のDAPを備えたPD装置が、さらに、全ての偏向電極を含有する、すなわちたとえば図8A内に示される設計の、DAPを用いて設計されることができる。この場合の利点は、全てのDAPが必要とされたビームレット偏向分量を共にもたらすので、1枚のDAP内の電圧が低下されることができることである。しかし、この種の配置が、偏向電極を駆動するCMOS回路に対してより多くの領域を得るために複数のDAPを使用する決定的な利点の1つとして選択される可能性は低い。
11 電子またはイオン源
12 汎用ブランカ
13 集光レンズ系
14 ターゲットまたは基板
16 偏向手段
17 停止プレート
20 アパーチャ
30 静電多電極加速レンズ
31 磁気レンズ
41 ウエハ
42 ストライプ
43 像視野
51−54 DAP
91−94 DAP
100 器具
101 照射系
102 PD系
103 投射系
104 ターゲットステーション
200 PD装置
201 アパーチャアレイプレート
202 偏向アレイプレート(DAP)
300 PD系
301 アパーチャアレイプレート
303 停止プレート
304 ターゲット
310 対(「接地」)電極
311 活性電極 DAP
312 第1のDAP
313 開口部
322 第2のDAP
410、420、430、440 対電極
411、421、431、441 活性電極
412、422、432、442 DAP
500 PD装置
501 アパーチャアレイプレート
510 対電極
511、511’ 活性電極
512 第1のDAP
513 開口部
520 対電極
521、521’ 活性電極
521 第1のDAP
522 第2のDAP
523 開口部
612、622、632、642 DAP
700 PD系
701 アパーチャアレイプレート
703 停止プレート
704 ターゲット
710 対電極
711 活性電極
712 第1のDAP
720 対電極
721 活性電極
722 第2のDAP
770 対電極
771 活性電極
773 開口部
900 PD系
903 吸収プレート
904 ターゲット
910、920 対電極
911、921 活性電極
912、922 DAP
913、923 開口部
914、924 電極
940 対電極
941 活性電極
943 開口部
944 シールド対電極

Claims (10)

  1. 荷電粒子マルチビーム処理または検査器具(100)に用いられるパターン画定装置(102)であって、前記装置が、電気的荷電粒子のビーム(lp)を照射され、かつ複数のアパーチャを通しての前記ビームの通過を可能にし、したがって対応する数のビームレットを形成するように適応されるアパーチャ場(af、xf)を備え、前記ビームレットの各々が、前記パターン画定装置を通してそれぞれのビームレット経路(p0)に沿って前記アパーチャ場(af、xf)を横断し、かつ各ビームレットに対するそれぞれの公称経路まで前記パターン画定装置の下流に延伸し、
    前記パターン画定装置(102、300、500、700、900)が、前記ビームレットの各々が少なくとも2台の偏向アレイ装置を横断するようにスタックされた配置で前記アパーチャ場(af、xf)を横切って配置される、少なくとも2台の偏向アレイ装置(312、322;412、422、432、442;512、522;612、622、632、642;712、722;912、922;91、92、93、94)を含み、
    各偏向アレイ装置が前記アパーチャ場(af、xf)に対応する領域を有し、前記領域が、
    −前記それぞれの偏向アレイ装置を通してのビームレットの通過を可能にする複数のブランキング開口部(313、513、523、913、923、943)、および
    −複数の偏向装置であって、各々が、それぞれのブランキング開口部(313、513、523、913、923、943)と関連づけられ、かつ少なくとも1本の静電電極(310、311、410、411、420、421、430、431、440、441、510、511、520、521、710、711、720、721、770、771、910、911、920、921、940、941)を備える前記偏向装置を備え、前記偏向装置が、選択的に活性化可能でかつ、活性化される時、それらの公称経路(p0、p1)から前記ビームレットを進路変更させるのに十分な分量で前記ビームレットを偏向させるために、前記ビームレットが前記それぞれのブランキング開口部を横断するのに影響を与えるように構成され、
    各偏向アレイ装置(312、322;412、422、432、442;512、522;612、622、632、642;712、722;912、922;91―94)に対して各偏向アレイ装置がそれらを選択的に偏向させることによって前記ビームレットのそれぞれのサブセット(A、B)に属する前記ビームレットだけに働くように構成され、一方、前記それぞれのサブセット(B、A)に属していない前記ビームレットが偏向なしで前記それぞれの偏向アレイ装置を横断することを可能にするように、前記複数の偏向装置が、前記ビームレットのそれぞれのサブセット(A、B)に対応し、
    前記パターン画定装置(102、300、500、700、900)の異なる偏向アレイ装置が、ビームレットの異なるサブセットに働くように構成されており、一方、前記偏向アレイ装置をまとめると、ビームレットの数全体に働くことが可能であることを特徴とするパターン画定装置。
  2. 前記パターン画定装置(102、300、500、700、900)の偏向アレイ装置が、ビームレットの相互に分離したサブセット(A、B)に働くように構成され、前記サブセットが、前記アパーチャ場を横断する各ビームレットが前記偏向アレイ装置の正確に1台と関連づけられるように構成され、それが、したがって、それを選択的に偏向させることによって前記ビームレットに働くように構成されることを特徴とする請求項1に記載のパターン画定装置。
  3. 前記アパーチャ場を横断する各ビームレットが偏向装置の少なくとも1台によって偏向可能であるように、前記パターン画定装置(102、300、500、700、900)の偏向アレイ装置が構成されることを特徴とする請求項1に記載のパターン画定装置。
  4. 前記ビームレットの組が、前記ビームレット経路(p0)の共通の方向に対して垂直な二次元面上へ投射される時、領域の少なくとも一部で、好ましくはアパーチャ場(af、xf)全体の上に、相互に交錯するグリッドに沿って配置されることを特徴とする請求項1−3のうちいずれか一項に記載のパターン画定装置。
  5. 前記ビームレットの組が、前記ビームレット経路(p0)の共通の方向に対して垂直な二次元面上へ投射される時、隣接する領域(f1、f2、f3、f4)内に配置され、その領域が、好ましくはオーバラップせず、かつまとめると、アパーチャ場(ap)全体を包含することを特徴とする請求項1−3のうちいずれか一項に記載のパターン画定装置。
  6. 前記偏向装置が、前記それぞれのブランキング開口部を横断する前記ビームレットに静電場を印加し、かつしたがってそれらのそれぞれの公称経路から前記ビームレットを進路変更させるのに十分な分量で前記ビームレットを偏向させるために構成される静電電極(310、311、410、411、420、421、430、431、440、441、510、511、520、521、710、711、720、721、770、771、910、911、920、921、940、941)を備えることを特徴とする請求項1−5のうちいずれか一項に記載のパターン画定装置。
  7. 前記偏向装置の各々の前記静電電極が、少なくとも1本の偏向器電極(311、411、421、431、441、511、521、711、721、771、911、921、941)および関連する対電極(310、410、420、430、440、510、520、710、720、770、910、920、940)を備え、前記対電極が前記偏向装置に共通の静電位に保持されることを特徴とする請求項6に記載のパターン画定装置。
  8. 前記偏向装置の各々の前記静電電極が、それぞれ、反対側の符号の静電位で供給されるように構成される少なくとも2本の静電偏向器電極を備えることを特徴とする請求項6に記載のパターン画定装置。
  9. 前記偏向装置の前記静電電極に供給される前記静電位が、異なる偏向装置に対して異なる値を有し、前記値が、絶対値、前記静電位の符号および時間の関数のうち少なくとも1つに関して変更されることを特徴とする請求項6−8のうちいずれか一項に記載のパターン画定装置。
  10. 請求項1−9のうちいずれか一項に記載のマルチビームパターン画定装置(102、300、500、700、900)を備える粒子ビーム処理または検査器具(100)。
JP2014142308A 2013-07-17 2014-07-10 複数のブランキングアレイを有するパターン画定装置 Pending JP2015023286A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP13176834 2013-07-17
EP13176834.3 2013-07-17

Publications (1)

Publication Number Publication Date
JP2015023286A true JP2015023286A (ja) 2015-02-02

Family

ID=48793958

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014142308A Pending JP2015023286A (ja) 2013-07-17 2014-07-10 複数のブランキングアレイを有するパターン画定装置

Country Status (3)

Country Link
US (1) US9099277B2 (ja)
EP (1) EP2827358B1 (ja)
JP (1) JP2015023286A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016207925A (ja) * 2015-04-27 2016-12-08 株式会社アドバンテスト 素子、製造方法、および露光装置
JP2017199758A (ja) * 2016-04-26 2017-11-02 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム照射装置、マルチ荷電粒子ビームの照射方法及びマルチ荷電粒子ビームの調整方法
US10224172B2 (en) 2016-11-09 2019-03-05 Nuflare Technology, Inc. Multi-beam optical system adjustment method, and multi-beam exposure apparatus
KR20210117159A (ko) * 2020-03-18 2021-09-28 가부시키가이샤 뉴플레어 테크놀로지 멀티 빔용의 블랭킹 장치 및 멀티 하전 입자 빔 묘화 장치
JP2021185613A (ja) * 2017-08-08 2021-12-09 エーエスエムエル ネザーランズ ビー.ブイ. 荷電粒子遮断要素、このような要素を備えた露光装置、及びこのような露光装置を使用する方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP5816739B1 (ja) * 2014-12-02 2015-11-18 株式会社ニューフレアテクノロジー マルチビームのブランキングアパーチャアレイ装置、及びマルチビームのブランキングアパーチャアレイ装置の製造方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10460905B2 (en) * 2015-09-23 2019-10-29 Kla-Tencor Corporation Backscattered electrons (BSE) imaging using multi-beam tools
JP2017168630A (ja) * 2016-03-16 2017-09-21 株式会社ニューフレアテクノロジー ブランキングプレートの検査方法
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP6975584B2 (ja) * 2017-09-07 2021-12-01 東京エレクトロン株式会社 半導体装置
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
WO2019068666A1 (en) * 2017-10-02 2019-04-11 Asml Netherlands B.V. APPARATUS USING CHARGED PARTICLE BEAMS
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US11469076B2 (en) 2018-06-12 2022-10-11 Asml Netherlands B.V. System and method for scanning a sample using multi-beam inspection apparatus
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1033741A2 (en) * 1999-03-02 2000-09-06 Advantest Corporation Charged-particle beam lithography system
JP2012079475A (ja) * 2010-09-30 2012-04-19 Canon Inc 電極対アレイ板、電極対アレイ板の製造方法、描画装置、および物品の製造方法
JP2012235070A (ja) * 2011-05-09 2012-11-29 Canon Inc 描画装置、および、物品の製造方法
JP2013004216A (ja) * 2011-06-14 2013-01-07 Canon Inc 荷電粒子線レンズ

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1033741A (en) 1911-02-08 1912-07-23 Bona Sims Armored tread for pneumatic tires.
US1420104A (en) 1921-05-10 1922-06-20 Edward W Howe Brush-block-boring machine
US1903005A (en) 1930-11-20 1933-03-28 Gen Motors Corp Oil pump screen
US2187427A (en) 1937-09-11 1940-01-16 Leslie H Middleton Dashboard fuse mounting
US2820109A (en) 1952-03-22 1958-01-14 Cgs Lab Inc Magnetic amplifier
US2920104A (en) 1958-07-01 1960-01-05 Vanderbilt Co R T Stabilized solutions of a dithiocarbamate
DE2302938C3 (de) 1973-01-22 1979-07-12 Polymer-Physik Gmbh & Co Kg, 2844 Lemfoerde Mehrstufiger Beschleuniger für geladene Teilchen mit Hochvakuumisolation
JPH0628231B2 (ja) 1985-07-09 1994-04-13 富士通株式会社 電子ビ−ム露光方法
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
DE69226553T2 (de) 1991-03-13 1998-12-24 Fujitsu Ltd Vorrichtung und Verfahren zur Belichtung mittels Ladungsträgerstrahlen
GB9107207D0 (en) 1991-04-05 1991-05-22 Tycho Technology Ltd Mechanical manipulator
US5369282A (en) 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
JP3206143B2 (ja) 1992-10-20 2001-09-04 富士通株式会社 荷電粒子ビーム露光方法
JP3437306B2 (ja) 1995-02-01 2003-08-18 富士通株式会社 荷電粒子ビーム露光方法及び装置
US5841145A (en) 1995-03-03 1998-11-24 Fujitsu Limited Method of and system for exposing pattern on object by charged particle beam
US6229595B1 (en) 1995-05-12 2001-05-08 The B. F. Goodrich Company Lithography system and method with mask image enlargement
JP3565652B2 (ja) 1996-04-25 2004-09-15 富士通株式会社 荷電粒子ビーム露光装置用透過マスク及びそれを利用した露光装置
US6225637B1 (en) 1996-10-25 2001-05-01 Canon Kabushiki Kaisha Electron beam exposure apparatus
US5876902A (en) 1997-01-28 1999-03-02 Etec Systems, Inc. Raster shaped beam writing strategy system and method for pattern generation
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JP3787417B2 (ja) 1997-06-11 2006-06-21 キヤノン株式会社 電子ビーム露光方法及び電子ビーム露光装置
CZ20002274A3 (cs) 1997-12-17 2001-12-12 International Coatings Limited Způsob tvorby povlaku
US6014200A (en) 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6043496A (en) 1998-03-14 2000-03-28 Lucent Technologies Inc. Method of linewidth monitoring for nanolithography
JP2000056960A (ja) 1998-08-13 2000-02-25 Ricoh Co Ltd ユーザインターフェイス装置
US6252339B1 (en) 1998-09-17 2001-06-26 Nikon Corporation Removable bombardment filament-module for electron beam projection systems
US6111932A (en) 1998-12-14 2000-08-29 Photoelectron Corporation Electron beam multistage accelerator
JP2000260686A (ja) 1999-03-08 2000-09-22 Toshiba Corp 露光方法及び露光装置
WO2001039243A1 (en) 1999-11-23 2001-05-31 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP4585661B2 (ja) 2000-03-31 2010-11-24 キヤノン株式会社 電子光学系アレイ、荷電粒子線露光装置およびデバイス製造方法
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
DE10127836A1 (de) 2001-06-08 2003-01-30 Giesecke & Devrient Gmbh Vorrichtung zur Untersuchung von Dokumenten
US6671975B2 (en) 2001-12-10 2004-01-06 C. William Hennessey Parallel kinematic micromanipulator
DE10161152B4 (de) 2001-12-12 2014-02-13 Medical Intelligence Medizintechnik Gmbh Positionierung des Behandlungsstrahls eines Strahlentherapiesystems mittels eines Hexapoden
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
TW546595B (en) 2002-07-23 2003-08-11 Internet Motion Navigator Corp Six-axis translation-type dynamic simulation device
KR100480609B1 (ko) 2002-08-09 2005-04-06 삼성전자주식회사 전자 빔 리소그래피 방법
US6896037B2 (en) 2002-10-29 2005-05-24 Duramax Marine, Llc Keel cooler with fluid flow diverter
US6767125B2 (en) 2003-01-21 2004-07-27 Red Devil Equipment Company Keyed paint container holder for a paint mixer
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
JP2004282038A (ja) 2003-02-28 2004-10-07 Canon Inc 偏向器、偏向器を製造する方法、偏向器を適用した荷電粒子線露光装置
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
KR101281357B1 (ko) 2003-06-06 2013-07-02 가부시키가이샤 니콘 광학 소자 유지 장치, 경통, 노광 장치, 및 디바이스의제조방법
DE102004025832A1 (de) 2004-05-24 2005-12-22 Carl Zeiss Smt Ag Optikmodul für ein Objektiv
GB2408143B (en) 2003-10-20 2006-11-15 Ims Nanofabrication Gmbh Charged-particle multi-beam exposure apparatus
GB2408383B (en) 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
GB2414111B (en) 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
GB2413694A (en) 2004-04-30 2005-11-02 Ims Nanofabrication Gmbh Particle-beam exposure apparatus
JP4634076B2 (ja) 2004-06-30 2011-02-16 キヤノン株式会社 荷電粒子線露光装置及びデバイス製造方法
JP2006126823A (ja) 2004-09-30 2006-05-18 Fujitsu Ltd 可変矩形型電子ビーム露光装置及びパターン露光・形成方法
JP4460987B2 (ja) 2004-09-30 2010-05-12 株式会社東芝 電子線描画方法および磁気記録媒体の製造方法
DE102004052994C5 (de) 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
US7459247B2 (en) 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006107664A2 (en) 2005-04-01 2006-10-12 Trustees Of Stevens Institute Of Technology Flexible parallel manipulator for nano-, meso-or macro-positioning with multi-degrees of freedom
JP4648087B2 (ja) 2005-05-25 2011-03-09 キヤノン株式会社 偏向器の作製方法、荷電粒子線露光装置、および、デバイス製造方法
US20090256075A1 (en) * 2005-09-06 2009-10-15 Carl Zeiss Smt Ag Charged Particle Inspection Method and Charged Particle System
JP4638327B2 (ja) 2005-10-17 2011-02-23 新日本工機株式会社 パラレルメカニズム装置、パラレルメカニズム装置のキャリブレーション方法、キャリブレーションプログラム、及び記録媒体
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
US20080142728A1 (en) 2006-10-30 2008-06-19 Applied Materials, Inc. Mechanical scanner
JP4932433B2 (ja) 2006-11-02 2012-05-16 株式会社ニューフレアテクノロジー 電子ビーム描画装置及び電子ビーム描画方法
DE102008010123A1 (de) 2007-02-28 2008-09-04 Ims Nanofabrication Ag Vielstrahl-Ablenkarray-Einrichtung für maskenlose Teilchenstrahl-Bearbeitung
NL2001369C2 (nl) 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
JP5491704B2 (ja) 2007-05-14 2014-05-14 イーエムエス ナノファブリカツィオン アーゲー 対向電極アレイ板を有するパターン定義装置
JP4996978B2 (ja) 2007-05-28 2012-08-08 株式会社ニューフレアテクノロジー 描画方法
DE102007034232B4 (de) 2007-07-23 2012-03-01 Bruker Daltonik Gmbh Dreidimensionale Hochfrequenz-Ionenfallen hoher Einfangeffizienz
EP2019415B1 (en) 2007-07-24 2016-05-11 IMS Nanofabrication AG Multi-beam source
CN101933116A (zh) 2008-02-05 2010-12-29 尼尔技术有限责任公司 一种用于进行电子束光刻的方法
JP5743886B2 (ja) 2008-06-04 2015-07-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットを露光するための方法およびシステム
US8227768B2 (en) 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
ATE527678T1 (de) 2008-11-17 2011-10-15 Ims Nanofabrication Ag Verfahren zur maskenlosen teilchenstrahlbelichtung
EP2190003B1 (en) 2008-11-20 2014-10-01 IMS Nanofabrication AG Constant current multi-beam patterning
JP5634052B2 (ja) 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2251893B1 (en) 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
WO2011034428A1 (en) * 2009-09-18 2011-03-24 Mapper Lithography Ip B.V. Charged particle optical system with multiple beams
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8542797B2 (en) 2010-09-24 2013-09-24 Elekta Ab (Publ) Radiotherapy apparatus configured to track a motion of a target region using a combination of a multileaf collimator and a patient support
JP5662756B2 (ja) 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5809419B2 (ja) 2011-02-18 2015-11-10 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
CN202204836U (zh) 2011-07-28 2012-04-25 辽宁省电力有限公司 高压试验设备绝缘支架
JP5383786B2 (ja) 2011-12-27 2014-01-08 キヤノン株式会社 荷電粒子線描画装置および描画方法、それを用いた物品の製造方法
JP6014342B2 (ja) 2012-03-22 2016-10-25 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP2757571B1 (en) 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1033741A2 (en) * 1999-03-02 2000-09-06 Advantest Corporation Charged-particle beam lithography system
JP2000252198A (ja) * 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
JP2012079475A (ja) * 2010-09-30 2012-04-19 Canon Inc 電極対アレイ板、電極対アレイ板の製造方法、描画装置、および物品の製造方法
JP2012235070A (ja) * 2011-05-09 2012-11-29 Canon Inc 描画装置、および、物品の製造方法
JP2013004216A (ja) * 2011-06-14 2013-01-07 Canon Inc 荷電粒子線レンズ
US20140103223A1 (en) * 2011-06-14 2014-04-17 Canon Kabushiki Kaisha Charged particle beam lens

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016207925A (ja) * 2015-04-27 2016-12-08 株式会社アドバンテスト 素子、製造方法、および露光装置
JP2017199758A (ja) * 2016-04-26 2017-11-02 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム照射装置、マルチ荷電粒子ビームの照射方法及びマルチ荷電粒子ビームの調整方法
US9916962B2 (en) 2016-04-26 2018-03-13 Nuflare Technology, Inc. Multi charged particle beam irradiation apparatus, multi charged particle beam irradiation method, and multi charged particle beam adjustment method
US10224172B2 (en) 2016-11-09 2019-03-05 Nuflare Technology, Inc. Multi-beam optical system adjustment method, and multi-beam exposure apparatus
JP2021185613A (ja) * 2017-08-08 2021-12-09 エーエスエムエル ネザーランズ ビー.ブイ. 荷電粒子遮断要素、このような要素を備えた露光装置、及びこのような露光装置を使用する方法
JP7384868B2 (ja) 2017-08-08 2023-11-21 エーエスエムエル ネザーランズ ビー.ブイ. 荷電粒子遮断要素、このような要素を備えた露光装置、及びこのような露光装置を使用する方法
KR20210117159A (ko) * 2020-03-18 2021-09-28 가부시키가이샤 뉴플레어 테크놀로지 멀티 빔용의 블랭킹 장치 및 멀티 하전 입자 빔 묘화 장치
US11355302B2 (en) 2020-03-18 2022-06-07 Nuflare Technology, Inc. Multi-beam blanking device and multi-charged-particle-beam writing apparatus
KR102551087B1 (ko) * 2020-03-18 2023-07-04 가부시키가이샤 뉴플레어 테크놀로지 멀티 빔용의 블랭킹 장치 및 멀티 하전 입자 빔 묘화 장치

Also Published As

Publication number Publication date
EP2827358A1 (en) 2015-01-21
US9099277B2 (en) 2015-08-04
EP2827358B1 (en) 2016-05-18
US20150021493A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
JP2015023286A (ja) 複数のブランキングアレイを有するパターン画定装置
US8546767B2 (en) Pattern definition device with multiple multibeam array
US9799487B2 (en) Bi-directional double-pass multi-beam writing
EP2854154B1 (en) Charged-particle multi-beam apparatus having correction plate
US9053906B2 (en) Method for charged-particle multi-beam exposure
US8378320B2 (en) Method for multi-beam exposure on a target
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
US7714298B2 (en) Pattern definition device having distinct counter-electrode array plate
JP4995261B2 (ja) パターン化ビームの総合変調を持つ粒子ビーム露光装置
US20150311031A1 (en) Multi-Beam Tool for Cutting Patterns
US7763851B2 (en) Particle-beam apparatus with improved wien-type filter
JP7275647B2 (ja) マルチビーム用アパーチャ基板セット及びマルチ荷電粒子ビーム装置
US20230360880A1 (en) Multi-Beam Pattern Definition Device
EP3093869B1 (en) Multi-beam writing using inclined exposure stripes
EP4163949A2 (en) Beam pattern device having beam absorber structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170721

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181002