KR101647768B1 - 타겟을 노출하는 방법 및 시스템 - Google Patents

타겟을 노출하는 방법 및 시스템 Download PDF

Info

Publication number
KR101647768B1
KR101647768B1 KR1020117000139A KR20117000139A KR101647768B1 KR 101647768 B1 KR101647768 B1 KR 101647768B1 KR 1020117000139 A KR1020117000139 A KR 1020117000139A KR 20117000139 A KR20117000139 A KR 20117000139A KR 101647768 B1 KR101647768 B1 KR 101647768B1
Authority
KR
South Korea
Prior art keywords
beamlets
array
target
beamlet
exposed
Prior art date
Application number
KR1020117000139A
Other languages
English (en)
Other versions
KR20110030537A (ko
Inventor
마르코 얀-자코 윌랜드
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20110030537A publication Critical patent/KR20110030537A/ko
Application granted granted Critical
Publication of KR101647768B1 publication Critical patent/KR101647768B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06325Cold-cathode sources
    • H01J2237/06341Field emission
    • H01J2237/0635Multiple source, e.g. comb or array

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)

Abstract

본 발명은 다수의 빔렛들에 의해 타겟을 노출하는 방법에 관한 것이다. 먼저, 다수의 빔렛들이 제공된다. 빔렛들이 어레이로 배열된다. 또한, 노출될 타겟이 제공된다. 추후, 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동이 생성된다. 마지막으로, 다수의 빔렛들이 제 2 방향으로 이동하여, 각각의 빔렛이 타겟상의 다수의 스캔 라인들을 노출한다. 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은, 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가 어레이 내 다수의 빔렛들의 빔렛들 간의 제 1 방향으로의 투사 피치(
Figure 112016033780989-pct00100
)보다 작도록 이루어진다.

Description

타겟을 노출하는 방법 및 시스템{METHOD OF AND SYSTEM FOR EXPOSING A TARGET}
본 발명은 다수의 빔렛들(beamlets)에 의해, 특히 하전된 입자 멀티-빔렛 시스템에 의해 타겟을 노출하는 방법 및 프로세서에 의해 실행될 때 상기 방법들을 수행하기 위한 컴퓨터 판독가능 매체에 관한 것이다.
블랙 및 화이트 기록 전략(strategy), 즉 "온(on)" 및 "오프(off)" 기록 전략을 이용하는 시스템들이 업계에 광범위하게 공지되어 있다. 이들은 예를 들어, 레이저 빔들 또는 하전 입자 빔들을 이용할 수 있으며, 마스크리스(maskless) 시스템 시스템들에서 다이렉트 기록 수단의 사용을 특징으로 할 수 있다. 빔(또는 멀티-빔 시스템들의 빔들)을 변조시킴으로써, 래스터형 가상 그리드(rasterized virtual grid)의 개개의 그리드 셀들은 타겟 상에 원하는 패턴을 기록하기 위해 노출되거나 또는 노출되지 않을 수 있다. 이러한 빔들은 타겟 표면에서의 소위 빔 효과(beam effect)에 의해 특징화될 수 있으며, 이는 흔히 점 확산 함수(point spread function)에 의해 설명된다. 일반적으로 점 확산 함수는 가우스 분포(Gaussian distribution)를 갖는다. 일반적으로, 빔 크기는 빔 에너지의 50%가 존재하는 분포의 크기로써 정의된다.
특정 형태의 하전된 입자 빔 기반 리소그래피 시스템은 본 발명의 소유자에게 양도된 미국 특허 제 6,897,458호에 공지되었으며, 타겟을 노출하기 위해 하전된 입자 빔 컬럼(column)에 발생되는 엄청나게 많은 하전된 입자 빔렛들을 수반한다. 하전된 입자 빔렛들은 변조되는 동안 타겟 상에서 스캔된다. 부가적으로, 타겟은 예를 들어, 빔들의 스캐닝 방향을 가로지르는 방향으로 빔들에 대해 이동할 수 있다. 빔렛들의 변조는 리소그래피 시스템에 제공되는 데이터 패턴을 기반으로 수행된다. 설명되는 특정 시스템에서, 빔렛들을 온 및 오프로 효율적으로 전환하기 위해 빔렛들을 블록킹(blocking) 또는 블랭킹(blanking)함으로써 변조가 수행된다.
이러한 형태의 리소그래피 시스템을 이용하여 타겟을 노출하는 것은 블랭커 광학기(blanker optics)에 의한 각각의 하전된 입자 빔렛의 변조(이를테면, 시간에 따른(timed) "온" 및 "오프" 스위칭 또는 블랭킹) 및 타겟의 상대 이동의 조합에 의해 달성된다. 빔렛들로 기판을 노출하기 위한 공지된 방법은 래스터 스캔 방법이다. 노출 패턴으로 타겟을 정확하게 노출하기 위해, 패턴 데이터가 래스터화된다(rasterized). 타겟은 연속적인 모션으로 이동되는 모터 구동 스테이지 상에 위치된다. 스테이지가 이동함에 따라, 빔은 실질적으로 스테이지 모션에 직교하는 방향으로 스캔된다. 빔렛들이 빔렛 편향 및 스테이지 모션과 동기식으로 변조되도록 시간설정된 시스템에 래스터형 패턴 데이터를 공급함으로써, 패턴 데이터에 의해 표현되는 패턴이 타겟의 표면상에서 노출 패턴으로서 전치될 수 있다(transposed). 래스터형 패턴 데이터는 타겟의 표면상에서 가상 래스터 셀 그리드상의 노출 패턴에 해당한다.
기존의 하전된 입자 빔 기술은 예를 들어, 90nm 및 이보다 높은 임계 치수들을 달성하기 위해, 이미지들의 상대적 코스 패터닝(relatively course patterning)을 위한 리소그래피 시스템들에 적합하다. 그러나 개선된 성능을 위한 증가하는 필요성이 존재한다. 충분한 웨이퍼 수율 이를테면, 시간당 10 내지 60개의 웨이퍼들을 유지하면서, 상당히 작은 임계 치수들, 이를테면 22nm를 달성하는 것이 요구된다.
이를테면, 리소그래피에서 시간당 노출되는 특정 수의 웨이퍼들로 정의되는 특정 수율을 달성하기 위해 요구되는 빔렛들의 전체 전류는, 요구되는 도즈량(dose), 웨이퍼 면적, 및 오버타임 시간, 즉 노출을 위한 위치로 새로운 웨이퍼가 이동하기 위한 시간에 따라 좌우된다. 특히, 이러한 샷(shot) 노이즈 제한 시스템들에서 요구되는 도즈량은 요구되는 피처(feature) 크기 및 균일성 및 빔 에너지에 따라 좌우된다.
전자 빔 시스템들에 대해, 최대 싱글 빔 전류는 스폿(spot) 크기에 의해 결정된다. 양호한 CD 균일성을 달성하기 위해, 요구되는 스폿 크기는 높은 수율을 얻기 위해 요구되는 전류보다 훨씬 못미치게 싱글 빔 전류를 제한할 것이다. 따라서, 다수의 빔렛들(통상적으로 시간당 10개 웨이퍼들의 수율에 대해 10,000개 미만)이 요구된다. 하나의 렌즈를 지나는 전체 전류가 전자들 간의 쿨롱 상호작용들(Coulomb interaction)에 의해 제한됨에 따라, 높은 수율의 시스템에서는 또한 렌즈들의 수가 많을 것을 요구한다.
시스템에서 전류의 증가는 타겟 상에서의 전체 전류의 증가를 야기한다. 그러나, 동시에, 성능을 유지하기 위해서, 스퀘어(square) 임계 치수 당 타겟 표면상에 충돌하는 전자들의 수는 일정하게 유지되어야 한다.
그러나, 작은 스폿 크기를 갖는 빔렛들을 생성하기 위한 시스템 설계는 각각의 빔렛에 의해 타겟에 인가될 수 있는 하전된 입자 전류를 상당히 감소시킨다. 사용되는 하전된 입자 소스의 밝기(brightness)와 상관없이, 이전 요구조건들은 동일한 웨이퍼 수율에서 임계 치수의 감소와 비교할 때 하전된 입자 멀티-빔 시스템에서의 빔렛들의 수 증가가 훨씬 더 선형적이라는 것을 의미한다.
이러한 멀티-빔 시스템을 이용하여 높은 수율을 얻기 위해, 빔렛 기록 전략에는 가능한 효율적으로 원하는 패턴을 노출하는 것이 요구된다. 가상 그리드의 원하는 크기와 비교할 때 빔렛들을 포커싱하는 렌즈들 및 빔렛들의 상대적 크기는 가능한 빔렛들의 배열(arrangement)들을 제한하여, 빔렛들은 렌즈들의 직경보다 충분히 큰 거리만큼 이격된다.
기록 전략은 빔렛들 및 타겟의 과도하게 복잡한 이동을 요구하지 않는 것이 바람직하다. 이와 관련하여, 각각의 빔렛들의 편향의 개별적 제어보다는 모든 빔렛들의 균일한 편향을 제공하는 시스템, 특히 매우 많은 수의 빔렛들을 갖는 시스템이 요구된다. 결과적으로, 한 그룹으로서 빔렛들의 간단한 래스터 스캔은 빔렛들 그룹의 각각의 스캔 마지막에 영역들의 불완전 노출로 인해 바람직하지 않을 수 있다. 빔렛들의 수가 많을수록, 이러한 영역들의 불완전 노출에 대한 효과가 커져 시스템의 활용에 영향을 미칠 것이다. 또한, 멀티-빔렛 시스템에서 각각의 빔렛들 간의 의도치 않은 변동들(variations)에 대한 작용들을 감소시키는 것이 요구된다.
따라서, 개선된 성능을 갖는 다수의 빔렛들에 의해 타겟을 노출하는 방법을 사용하는 것이 바람직할 수 있다.
본 발명의 실시예들은 다수의 빔렛들에 의해 타겟을 노출하는 방법과 관련된다. 부가적으로, 본 발명의 실시예들은 다수의 빔렛들을 사용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템에 관련된다.
일 실시예에서, 본 발명은 다수의 빔렛들에 의해 타겟을 노출하는 방법을 제공하며, 상기 방법은,
- 어레이로 배열되는 다수의 빔렛들을 제공하는 단계;
- 노출될 타겟을 제공하는 단계;
- 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계;
- 각각의 빔렛들이 타겟 상에서 다수의 평행한 스캔 라인들을 노출하도록, 제 2 방향으로 빔렛들을 이동시키는 단계
를 포함하며, 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은, 다수의 빔렛들에 의해 노출되는 인접한 평행 스캔 라인들 사이의 거리가 어레이 내 다수의 빔렛들의 빔렛들 간의 제 1 방향에서의 투사 피치(projection pitch)보다 작도록 하기 위한 것이다.
일 실시예에서, 본 발명은 다수의 빔렛들을 이용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템을 제공하며, 상기 시스템은,
- 빔렛들의 그룹들로 배열되는 다수의 빔렛들에 의해 형성되는 노출 패턴을 제공하기 위한 빔렛 패턴 생성기;
- 타겟의 표면상에 그룹들의 빔렛들을 투사(projecting)하기 위한 투사 렌즈 시스템들의 어레이 ―각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―;
- 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ― 편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―;
- 노출될 타겟을 지지하기 위한 기판 지지 부재;
- 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가 어레이 내 다수의 빔렛들의 빔렛들 간의 제 1 방향에서의 투사 피치보다 작도록, 제 1 방향으로의 기판 지지 부재와 다수의 빔렛들 간의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 배열된 제어 유니트
를 포함한다. 앞서 언급된 방법 또는 시스템을 사용하여 타겟을 노출하는 것은 영역의 전체 커버리지가 다수의 빔렛들에 의해 노출되는 것을 가능하게 한다. 부가적으로, 시스템을 통한 하전된 입자들의 투과율(transmission)은 비교적 높다.
다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리는, 투사 피치를 1 보다 큰 양의 정수로 나눈 것과 같을 수 있다. 양의 정수는 빔렛들의 수 마이너스 1인 팩터와 같을 수 있다. 본 실시예에서, 노출될 영역의 전체 커버리지가 매우 유용한 방식으로 달성된다.
일 실시예에서, 본 발명은 다수의 빔렛들에 의해 타겟을 노출하는 방법을 제공하며, 상기 방법은,
- 어레이로 배열되는 다수의 빔렛들을 제공하는 단계;
- 노출될 타겟을 제공하는 단계;
- 타겟과 다수의 빔렛들 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계;
- 각각의 빔렛들이 타겟 상에 다수의 평행한 스캔 라인들을 노출하도록, 다수의 스캔들의 다수의 빔렛들을 제 2 방향으로 이동시키는 단계
를 포함하며, 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은, 빔렛들의 어레이 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리가 제 1 방향에서의 어레이의 투사 크기보다 작아, 제 2 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들이 제 1 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들과 인터리빙되게 하기 위한 것이다.
일 실시예에서, 본 발명은 다수의 빔렛들을 사용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템을 제공하며, 상기 시스템은,
- 다수의 빔렛들에 의해 형성되는 노출 패턴을 제공하기 위한 빔렛 패턴 생성기 ―상기 다수의 빔렛들은 빔렛들의 그룹들로 배열됨―;
- 타겟의 표면상에 빔렛들의 그룹들을 투사하기 위한 투사 렌즈 시스템들의 어레이― 각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―;
- 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ― 편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―;
- 노출될 타겟을 지지하기 위한 기판 지지 부재;
- 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가 어레이 내 다수의 빔렛들의 빔렛들 간의 제 1 방향에서의 투사 피치(
Figure 112016033780989-pct00001
)보다 작도록, 제 1 방향으로의 기판 지지 부재와 다수의 빔렛들 간의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 배열된 제어 유니트
를 포함한다. 앞서 언급된 방법 또는 시스템을 이용함으로써 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 이동의 조정은 영역의 전체 커버리지가 다수의 빔렛들에 의해 노출되는 것을 가능하게 한다. 부가적으로, 시스템을 통한 하전된 입자들의 투과율은 비교적 높다. 마지막으로 제 1 방향으로의 단계적(stepwise) 이동이 방지될 수 있다.
삭제
빔렛들의 어레이 내 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는
Figure 112016033780989-pct00002
와 같고, 여기서
Figure 112016033780989-pct00003
는 어레이 내 빔렛들 간의 제 1 방향에서의 투사 피치이며,
Figure 112016033780989-pct00004
는 1이 아닌 (N-1)의 팩터이며, N은 어레이 내 빔렛들의 수이다. 앞서 언급된 후속 스캔 라인들 간의 거리를 획득하는 것은 제 1 방향으로의 단계적 이동이 최소화되는 기간 없이 영역의 전체 커버리지가 매우 효율적인 방식으로 노출되는 것을 가능하게 한다.
앞서 언급된 제 1 방향으로의 상대적 이동은 일정한 속도를 가질 수 있다. 또한, 제 2 방향으로의 이동은 반복적인 이동일 수 있다. 반복적 이동은 일정한 주파수를 가질 수 있다.
본 발명의 실시예들에 따라 앞서 언급된 방법들에서, 상기 방법은 타겟 상에 가상 그리드를 한정하는 단계를 더 포함할 수 있으며, 그리드는 각각의 빔렛들에 의해 타겟을 노출하거나 또는 노출하지 않는 위치들을 제공하며, 노출 또는 비-노출은 각각의 개별 빔렛의 블랭킹 또는 비-블랭킹(non blanking)과 관련된다. 가상 그리드는 제 1 이동 방향과 일직선으로 배향되는 제 1 축, 및 이와 횡방향으로 배향되는 제 2 축을 포함할 수 있다. 본 발명의 실시예들에서, 다수의 빔렛들은 그룹들로 나뉘며, 빔렛들의 각각의 그룹은 어레이로 배열되어, 그룹의 빔렛들이 중첩되지 않는다. 결과적으로, 빔렛들의 어레이는 그리드에서 위치들의 어레이에 해당한다.
앞서 언급된 방법들 및 시스템들에서, 어레이 내 빔렛들 간의 제 1 방향에서의 투사 피치는 타겟 상에 투사되는 빔렛 스폿 크기와 같거나 또는 이보다 작을 수 있다. 대안적으로, 타겟 상에 투사되는 빔렛 스폿 크기는 빔렛들의 어레이에 의해 노출되는 인접한 스캔 라인들 간의 거리보다 클 수 있다. 빔렛 스폿 크기와 관련하여 제 1 방향에서의 투사 피치의 작은 크기는 상이한 빔렛들 간의 변동으로부터의 에버리징(averaging)을 강화시킬 수 있다.
앞서 언급된 방법들 및 시스템들의 실시예들에서, 빔렛들의 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있으며, 로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치된다. 로우들의 수는 컬럼들의 수와 같을 수 있다.
마지막으로, 실시예에서, 본 발명은 프로세서에 의해 실행될 때 하전된 입자 멀티-빔렛 시스템의 다수의 빔렛들에 의해 타겟을 노출하는 앞서 언급된 방법들의 실시예를 수행하기 위한 컴퓨터-판독가능 매체를 제공한다.
본 발명의 다양한 양상들은 도면들에 도시되는 실시예들을 참조로 추가로 설명될 것이다:
도 1은 하전된 입자 멀티-빔렛 리소그래피 시스템의 예에 대한 간략화된 개략도이다.
도 2는 도 1의 리소그래피 시스템의 단부(end) 모듈의 간략화된 개략적 측면도이다.
도 3a 및 도 3b는 투사 렌즈에 대한 다수의 빔렛들의 개념을 예시하는 개념도이다.
도 4는 그룹화된 빔렛들을 포함하는 하전된 입자 멀티-빔렛 리소그래피 시스템의 대안적 실시예에 대한 간략화된 개략도이다.
도 5는 서브-빔들로 형성된 빔렛들을 포함하는 하전된 입자 멀티-빔렛 리소그래피 시스템의 실시예에 대한 간략화된 개략도이다.
도 6은 필드들로 나뉜 웨이퍼의 예에 대한 다이어그램이다.
도 7a 및 도 7b는 간략화된 빔렛 블랭커 어레이에 대한 대안적 빔렛 어퍼처 배열들을 나타내는 다이어그램들이다.
도 8은 전형적 폭에 대해 일치하는 크기의 스퀘어 래스터 부분 내 타겟 표면상에 투사되는 빔 스폿 크기를 개략적으로 도시한다.
도 9는 노출될 타겟 표면상에 투사되는 한 그룹의 빔렛들을 투사하는 개념을 개략적으로 도시한다.
도 10은 빔렛 스캔 라인을 도시하는 개략적 다이어그램이다.
도 11은 4개의 가능한 기록 전략들에 대한 다이어그램이다.
도 12a는 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다.
도 12b는 본 발명의 제 1 실시예에 따라 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다.
도 13a-13c는 본 발명의 제 2 실시예에 따라 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다.
도 14는 평행 기록 전략에서 인터리빙되는 빔렛을 도시하는 다이어그램이다.
도 15a-15d는 평행 기록 전략에서 다양한 빔렛을 인터리빙하는 방식들을 나타내는 다이어그램들이다.
도 16은 다양한 배열들에 대한 픽셀 크기 및 그리드 폭에 대한 값들의 예들을 나타내는 표이다.
도 17a-17c는 본 발명의 제 3 실시예에 따라 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다.
도 18은 서로에 대한 투사 렌즈 어레이 및 빔렛 어레이의 특정 배향의 효과를 개략적으로 도시한다.
도 19는 본 발명의 제 4 실시예에 따라 다수의 빔렛들에 의해 타겟을 노출하는 방법을 이용하는 2-차원 어레이를 구성하는 방식을 개략적으로 예시한다.
도 20a-20d는 본 발명의 제 4 실시예에 따라 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다.
도면들을 참조로 그리고 단지 일례로써 제시되는 본 발명의 다양한 실시예들에 대한 설명이 하기에 개시된다.
도 1은 모든 전자 빔렛들에 대한 공통 크로스-오버(common cross-over) 없이 전자 빔 광학 시스템에 기초하는 하전된 입자 멀티-빔렛 리소그래피 시스템의 실시예에 대해 간략화된 개략도를 도시한다. 이러한 리소그래피 시스템들은 예를 들어, 미국특허 제 6,897,458호 및 제 6,958,804호 및 제 7,019,908호 및 제 7,084,414호 및 제 7,129,502호, 그리고 미국 특허 출원 공개번호 제 2007/0064213호 및 제 2008/073588호, 그리고 공동계류중인 미국 특허 출원 일련번호 제 61/031,573호 및 제 61/045,243호 및 제 61/055,839호에 개시되어 있으며, 이들 모두는 본 발명의 소유자에게 양도되었으며 이로써 모두가 전체적으로 인용에 의해 통합된다. 도 1에 도시된 실시예에서, 리소그래피 시스템은 동종의(homogeneous), 확장하는 전자 빔(20)을 생성하기 위한 전자 소스(1)를 포함한다. 빔 에너지는 바람직하게 약 1 내지 10 keV 범위에서 비교적 낮게 유지된다.
전자 소스(1)로부터의 전자 빔(20)은 어퍼처 어레이(4) 상에 충돌하는 시준된(collimated) 전자 빔(21)을 생성하도록 콜리메이터 렌즈(3)를 통과하며, 어퍼처 어레이(4)는 빔의 일부를 블록킹하고 다수의 빔렛들(22)이 어퍼처 어레이(4)를 통과하게 한다. 시스템은 다수의 빔렛들(22), 이를테면 약 10,000개 내지 1,000,000개의 빔렛들을 생성한다.
다수의 전자 빔렛들(22)은 빔렛 블랭커 어레이(6)의 평면에서 전자 빔렛들(22) 각각을 포커싱하는 콘덴서 렌즈 어레이(5)를 통과한다. 이러한 빔렛 블랭커 어레이(6)는 각각이 하나 이상의 전자 빔렛들(22)을 편향시킬 수 있는 다수의 블랭커들을 포함한다.
추후, 전자 빔렛들(22)은 단부 모듈(7)로 진입한다. 바람직하게, 단부 모듈(7)은 다양한 컴포넌트들을 포함하는 삽입형, 교체형 유니트로써 구성된다. 본 실시예에서, 단부 모듈은 빔 스톱 어레이(8), 빔 편향기 어레이(9), 및 투사 렌즈 장치(arrangement)(10)를 포함한다. 단부 모듈(7)은, 다른 기능들 중에서도 특히, 약 25 내지 500배 축소율(demagnification)을 제공할 것이다. 부가적으로, 단부 모듈은 빔렛들(22)을 편향시키도록 배열될 수 있다. 단부 모듈(7)을 떠난(leaving) 후, 빔렛들(22)은 타겟 평면에 위치된 타겟(11)의 표면상에 충돌한다. 리소그래피 애플리케이션들에 대해, 통상 타겟은 레지스트 층 또는 하전된-입자 감지 층이 제공되는 웨이퍼를 포함한다.
단부 모듈(7)에서, 전자 빔렛들(22)은 먼저 빔 스톱 어레이(8)를 통과한다. 대체로 이러한 빔 스톱 어레이(8)는 빔렛들의 개각도(opening angle)를 결정한다. 실시예에서, 빔 스톱 어레이(8)의 어퍼처들은 둥근형으로, 일반적으로 균일한 개각도를 갖는 빔렛들을 야기한다.
빔 스톱 어레이(8)의 통로들은 빔렛 블랭커 어레이(6)의 엘리먼트들과 정렬될 수 있다. 다음 빔렛 블랭커 어레이(6) 및 빔 스톱 어레이(8)는 함께 동작하여 하기 방식으로 빔렛들(22)을 블록킹하거나 또는 통과되게 한다. 빔렛 블랭커 어레이(6)가 빔렛을 편향시킬 경우, 빔렛은 빔 스톱 어레이(8)의 해당 어퍼처를 통과하지 않을 것이다. 대신, 빔렛은 빔 스톱 어레이(8)의 기판에 의해 블록킹될 것이다. 그러나, 빔렛 블랭커 어레이(6)가 빔렛을 편향시키지 않는 경우, 빔렛은 빔 스톱 어레이(8)의 해당 어퍼처를 통과할 것이며, 이후 타겟(11)의 표면상에 스폿(spot)으로서 투사될 것이다. 이러한 방식으로, 개개의 빔렛들(22)이 효과적으로 스위치 온 및 오프될 수 있다.
다음, 빔렛들(22)은 편향되지 않은 빔렛들(22)의 방향과 실질적으로 직교하는 방향으로 각각의 빔렛(22)의 편향을 제공하는 빔 편향기 어레이(9)를 통과한다. 다음, 빔렛들(22)은 투사 렌즈 어레이 장치(10)를 통과하고 노출될 타겟(11), 통상적으로 타겟 평면상에 위치된 웨이퍼 상에 투사된다.
도 2는 빔 스톱 어레이(8), 편향 어레이(9), 및 타겟(11) 상에 전자 빔렛을 투사하는 투사 렌즈 장치(10)를 도시하는 단부 모듈(7)의 실시예를 보다 상세하게 도시한다. 빔렛들(22)은 타겟(11)상에 투사되며, 바람직하게 직경이 약 10 내지 30 나노미터인 기하학적 스폿 크기를 야기한다. 이러한 설계의 투사 렌즈 장치(10)는 약 100 내지 500배 축소율을 제공할 수 있다. 도 2의 실시예에서, 빔렛(22)의 중심부는 먼저 빔 스톱 어레이(8)를 통과한다(빔렛이 빔렛 블랭커 어레이(6)에 의해 편향되지 않는 것으로 가정). 다음, 빔렛은, 빔 편향기 어레이(9)의, 편향 시스템을 형성하는 시퀀스로 배열된 편향기 또는 편향기들의 세트를 통과한다. 빔렛(22)은 추후 투사 렌즈 장치(10)의 전자-광학 시스템을 통과하고 마지막으로 타겟 평면의 타겟(11)에 충돌한다.
도 2에 도시된 단부 모듈의 실시예에서 투사 렌즈 장치(10)는 순서대로 배열되며, 정전 렌즈들의 어레이를 형성하는데 이용되는 3개의 플레이트들(12, 13, 14)을 갖는다. 바람직하게, 플레이트들(12, 13, 14)은 내부에 어퍼처들이 형성되어 있는 플레이트들 또는 기판들을 포함한다. 다른 형상들이 또한 사용될 수 있지만, 바람직하게 어퍼처들은 플레이트를 관통하는 둥근 홀들로써 형성된다. 실시예에서, 플레이트들은 반도체 칩 산업에 잘 알려져 있는 프로세스 단계들을 사용하여 처리되는 실리콘 또는 다른 반도체로 형성된다. 어퍼처들은 편의상 업계에 공지된 리소그래피 및 에칭 기술들을 사용하여 플레이트에 형성될 수 있다.
플레이트들은 전극들을 형성하기 위해 전기적으로 전도성인 코팅으로 코팅될 수 있다. 전도성 자연 산화물을 갖는 금속, 이를테면 몰리브덴이 전극을 위해 이용될 수 있으며, 이는 업계에 잘 알려져 있는 기술들을 사용하여 플레이트 상에 증착된다. 각각의 어퍼처의 위치에 형성되는 정전 렌즈들의 형상을 제어하기 위해 각각의 전극에 전압(electrical voltage)이 인가된다. 각각의 전극은 완성 어레이에 대해 단일 제어 전압에 의해 제어된다. 따라서, 3개의 전극들을 갖게 도 2에 도시된 실시예에서, 모든 렌즈들에 대해 단지 3개의 전압들만이 제공될 것이다.
3개의 제어 전압들(V1, V2, V3)은 다수의 전자 빔렛들(22)을 포커싱하고 축소화하는 균일한 정전 렌즈들의 어레이를 생성한다. 정전 렌즈들의 특징들(characteristics)이 3개의 제어 전압들에 의해 제어되어, 모든 빔렛들에 대한 포커싱 및 축소 양이 이들 3개의 전압을 제어함으로써 제어될 수 있다. 이런 방식으로, 매우 많은 수의 전자 빔렛들을 포커싱하고 축소하기 위해 정전 렌즈들의 전체 어레이를 제어하는데 단일 공통 제어 신호가 이용될 수 있다. 공통 제어 신호가 각각의 플레이트에 제공되거나 또는 2개 이상의 플레이트들 간의 전압차로서 제공될 수 있다. 상이한 투사 렌즈 장치들에 이용되는 플레이트들의 수는 가변적일 수 있고, 공통 제어 신호들의 수 또한 가변적일 수 있다.
전압들(Vl, V2, V3)은 제 2 플레이트(13)와 제 3 플레이트(14) 간의 전압차가 제 1 플레이트(12)와 제 2 플레이트(13) 간의 전압차보다 크게 설정될 수 있다. 이는, 렌즈 개구(opening)에서 플레이트들(13, 14) 사이에 점선의 곡선으로 도 2에 표시된 것처럼, 각각의 투사 렌즈 시스템의 유효 렌즈 평면이 플레이트들(13, 14) 사이에 위치되도록, 플레이트들(13, 14) 간에 강한 렌즈들이 형성되게 한다. 이는 유효 렌즈 평면이 타겟에 보다 가까워지게 하고 투사 렌즈 시스템들이 보다 짧은 초점 길이를 갖는 것을 가능하게 한다. 간명화를 위해, 도 2의 빔렛은 편향기(9)로부터 포커싱되는 것으로 도시되었지만, 이를테면, 광선 추적(traced ray) 예시에서처럼, 빔렛(21)의 포커싱에 대한 보다 정확한 표현은, 렌즈 시스템(10)의 실제 렌즈 평면이 플레이트들(13, 14) 사이에 있음을 나타낼 것이라는 점이 추가로 주목된다.
도 2는 또한, 왼쪽에서 오른쪽으로의 빔렛의 편향으로 도 2에 예시된, 편향 어레이(9)에 의한 Y-방향으로의 빔렛(21)의 편향을 예시한다. 도 2의 실시예에서, 하나 이상의 빔렛들이 통과하는 편향 어레이(9)의 어퍼처가 도시되며, 전극들은 어퍼처의 대향 면들상에 제공되며, 전극들에는 +V 및 -V 전압이 제공된다. 전극들 상에 전위차를 제공하는 것은 어퍼처를 통과하는 빔렛 또는 빔렛들의 편향을 야기시킨다. 전압들(또는 전압들의 부호(sign))을 동적으로 변화시키는 것은 빔렛(들)이 스캐닝 방식으로 스위핑되게 할 수 있다. 유사하게, 편향은 또한, 빔렛들의 전파 방향에 직교하는 상이한 방향으로, 이를테면 지면(paper) 속으로 또는 지면 밖으로의 방향으로 수행될 수 있다.
리소그래피 시스템에서의 특정 수율(즉, 시간당 노출되는 웨이퍼들의 특정 수)을 달성하기 위해 요구되는 빔렛들의 전체 전류는 요구되는 도즈량, 웨이퍼 면적, 및 오버헤드 시간, 즉 노출을 위한 위치로 새로운 웨이퍼를 이동시키는 시간에 따라 좌우된다. 특히, 이러한 샷 노이즈 제한 시스템들에서 요구되는 도즈량은 요구되는 피처 크기 및 균일성 및 빔 에너지에 따라 좌우된다.
전자 빔 리소그래피를 사용하는 레지스트에서 특정(certain) 피처 크기(즉, 임계 치수(CD))를 얻기 위해, 특정 해상도가 요구된다. 이러한 해상도는 빔 크기, 전자들의 레지스트 스캐터링, 및 산 확산(acid diffusion)과 조합되는 2차 전자 평균 자유 경로와 같은 3가지 기여도(contribution)들에 의해 결정된다. 이러한 3가지 기여도들은 전체 스폿 크기, 즉 노출될 타겟상에 투사되는 빔 크기를 결정하기 위해 2차 관계식(quadratic relation)에 부가된다. 이러한 3가지 기여들 중 빔 크기 및 산란은 가속 전압에 따라 좌우된다. 레지스트의 피처를 분해(resolve)하기 위해, 전체 스폿 크기는 CD와 동일한 크기(order of magnitude) 이어야 한다. CD 뿐만 아니라 CD 균일성은 실제 애플리케이션들에 있어 중요하다.
전자 빔 시스템들에 대해, 최대 단일 빔 전류는 스폿 크기에 의해 결정된다. 양호한 CD 균일성을 얻기 위해, 요구되는 스폿 크기는 높은 수율을 얻기 위해 요구되는 전류보다 훨씬 작게 단일 빔 전류를 제한할 것이다. 따라서, 다수의 빔렛들(통상적으로 시간당 10개 웨이퍼의 수율을 위해 10,000개 이상)이 요구된다. 전자 빔 시스템에 대해, 하나의 렌즈에 흐르는 전체 전류는 전자들 간의 쿨롱 상호작용에 의해 제한되어, 제한된 수의 빔렛들이 하나의 렌즈 및/또는 하나의 크로스-오버(cross-over) 포인트를 통해 전달(send)될 수 있다. 결과적으로, 이는 높은 수율 시스템에서 렌즈들의 수가 또한 많을 것이 요구된다는 것을 의미한다.
바람직하게, 많은 수의 저에너지 빔렛들에 대한 매우 조밀한 배열이 달성되어, 다수의 빔렛들이 전형적 웨이퍼 노출 필드의 크기와 크기면에서 필적되는 면적으로 팩킹될 수 있다.
결과적으로, 투사 렌즈의 플레이트들(12, 13, 14)에서 어퍼처들의 피치는 작은 영역에서 가능한 많은 정전 렌즈들을 생성하도록 가능한 작다. 그러나, 어퍼처들의 주어진 보어(bore) 크기에 대한 피치의 감소는, 플레이트가 어퍼처들 간의 작은 거리들로 인해 너무 약해질 때 야기되는 제조 및 구조적 문제점들에 의해, 그리고 인접한 렌즈들의 프린지(fringe) 필드들에 의해 야기되는 렌즈에서 있을 수 있는 수차에 의해 제한된다.
시스템에서 전류를 증가시킴으로써, 타겟 상의 전체 전류 또한 증가되어 샷 노이즈의 전개가 제한된다. 그러나 동시에, 성능을 유지하기 위해, 스퀘어 임계 치수 당(즉, CD 스퀘어의 단위 면적당) 타겟 표면상에 충돌하는 전자들의 수는 일정하게 유지되어야 한다. 스폿 크기는, "점 확산 함수"에 해당하며, 용어에 대한 이해를 더욱 쉽게 하기 위해 본 명세서의 나머지 부분에서는 "점 확산 함수" 대신 사용된다는 것이 주목된다.
감소된 스폿 크기뿐만 아니라 빔렛들의 감소된 점 확산 함수는 증가된 전류에서 충분한 노출 관용도(exposure latitude)를 유지하도록 요구된다. 충분한 노출 관용도는, 통상 인접한 빔렛들의 주변 가우시안 부분들(parts)에 의해 야기되는 노출의 베이스(base) 또는 백그라운드(background) 레벨과 비교할 때 빔렛으로부터 타겟상으로의 비교적 높은 비의 피크 노출 레벨을 요구한다. 그러나, 작은 점 확산 함수를 갖는 빔렛들을 생성하기 위한 시스템 설계는 각각의 빔렛에 의해 타겟에 인가될 수 있는 하전된 입자 전류를 상당히 감소시킨다. 사용되는 하전된 입자 소스의 밝기와 상관없이, 감소된 스폿 크기, 증가된 전류, 및 감소된 점 확산 함수에 대한 이전 요구조건들은, 동일한 웨이퍼 수율에서 임계 치수의 감소와 비교할 때 시스템에서의 빔렛들의 수 증가가 훨씬 더 선형적이라는 것을 의미한다.
이전에 언급된 것처럼, 투사 광학기, 즉 도 1의 단부 모듈(7)이 실제 설계들에서 사용될 수 있는 비교적 작은 영역 내에서 물리적으로 구현될 수 있는 렌즈들의 수는 제한된다. 감소된 CD가 달성될 경우, 공지된 기술을 이용하여 이러한 치수들 내에서 구성될 수 있는 렌즈들의 수는 원하는 웨이퍼 수율을 달성하기 위해 요구되는 빔렛들의 수보다 상당히 작다.
감소된 CD에서 상당한 수율들을 달성하기 위해 앞서 언급된 문제점들에 대한 방안을 제공하는 리소그래피 시스템이 미국 특허 출원 61/045,243호에 개시되었다. 본 출원에서 제시되는 리소그래피 시스템의 실시예가 도 4에 개략적으로 도시된다. 앞서 언급된 출원에 제시되는 리소그래피 시스템의 실시예들은 시스템에서 빔렛들의 수의 불균형적 증가를 허용하면서 시스템의 수차(aberration)를 감소시킨다. 투사 렌즈당 다수의 빔렛들을 사용하는 이러한 주요 방안은 단부 모듈(7)에서 편향기 어레이(9)의 편향 동작으로, 원래의 편향된 빔렛에 대한 가상 포인트가 생성되어, 가상 빔렛이 이미지화된다는 사실의 인식 이후에 발견되었다.
도 3a 및 도 3b는 투사 렌즈 당 다수의 빔렛들을 사용하는 것을 기초로 하여 앞서 언급된 관점(insight)을 예시하기 위한 단부 모듈(7)에서의 빔렛들의 경로에 대한 개략적 다이어그램들이다.
도 3a는 투사 렌즈 시스템당 단일 빔렛을 갖는 시스템을 도시한다. 빔 스톱 어레이(8)의 어퍼처를 통과하는 단일 빔렛(22)이 편향기 어레이(9)에 의해 편향되고 투사 렌즈 장치(10)에 의해 포커싱된다. 편향된 빔렛은 실제 빔렛(22)과는 다른 출처(origin)로부터 경사진 각도로 도달하는 개별 "가상" 빔렛으로 간주될 수 있다. 예를 들어, 빔렛(22)이 왼쪽으로 편향될 때, 이는 빔렛(22)의 실제 출처의 오른쪽 위치로부터 발생되는(originating) 가상 빔렛(22V)으로 간주될 수 있고, 유사하게, 빔렛(22)의 오른쪽으로 편향될 때, 이는 빔렛(22)의 실제 출처의 왼쪽 위치로부터 발생되는 가상 빔렛(22V)으로 간주될 수 있다.
도 3b는 투사 렌즈 시스템당 3개의 빔렛들을 갖는 시스템을 도시하며, 각각의 빔렛은 개별 포인트로부터 발생되며 상이한 각도들에서 투사 렌즈 시스템을 통과한다. 따라서, 본 발명의 관점에 따라, 도 3a의 가상 빔렛들(22V)은 실제 빔렛들(23)로 대체되었다. 수차량(amount of aberration)에 대한 혼란 없이 단일 투사 렌즈 시스템을 통한 다수의 실제 빔렛들의 애플리케이션이 가능한 것으로 나타났다. 특히 이는 리소그래피 시스템에 제시되는 모든 빔렛들이 다수의 투사 렌즈 시스템들에 걸쳐 분포되는 경우 그러하다.
단일의 편향된 빔렛을 사용하는 도 3a와 비교할 때, 투사 렌즈를 통한 전류가 도 3b의 시스템에서 3배 더 크다는 것을 제외하고, 순효과는 동일하다. 편향기 어레이(9) 위의 어퍼처 플레이트(4)는 도시된 것처럼 다수의 어퍼처들(23)을 포함할 수 있는데, 즉 빔 스톱 어레이(8)의 개구와 연관된 단일 렌즈 시스템에 대응하는, 다수의 빔렛들(22)을 수용하도록 패터닝된 개구를 포함할 수 있다. 실제 설계에서, 빔 각도는 도 3a 및 도 3b에 도시된 것보다 훨씬 더 작다는 것이 주목된다. 도 3a 및 도 3b에 도시된 비교적 큰 빔 각도는 단지 본 발명을 명확하게 하기 위해 제시된다.
각각의 투사 렌즈 시스템으로 지향되는 다수의 빔렛들 중 일부 또는 모두가 동작 동안 시간상의 임의의 포인트에서 블랭킹될 수 있기 때문에, 앞서 언급된 원리를 포함하는 시스템은 본 명세서에서 패터닝된 빔렛 시스템으로 언급될 수 있다. 또한, 이러한 패터닝된 빔렛 시스템은 나란히 배열된 다수의 축소된 이미징 시스템들로서 간주될 수 있다.
도 4는 그룹화된 빔렛들을 포함하는 하전된 입자 멀티-빔렛 리소그래피 시스템의 실시예를 예시한다. 본 실시예는 시스템에서 증가된 수의 빔렛들을 수용할 수 있어, 웨이퍼 및/또는 감소된 스폿 크기에서 증가된 전류를 허용한다.
도 4에 도시된 실시예는, 다수의 빔렛들이 단일 투사 렌즈 시스템에 의해 포커싱될 수 있도록 그룹들로 배열된다는 것을 제외하고, 전반적으로 도 1의 시스템에 대해 설명된 것처럼 구성된다. 본 실시예에서, 어퍼처 어레이(4A)는 시준된 빔(21)으로부터 빔렛들(22)을 생성한다. 빔렛들(22)은 제 2 어퍼처 어레이(4B)의 평면에서 콘덴서 렌즈 어레이(5A)에 의해 포커싱된다. 제 2 어퍼처 어레이(4B)는 빔렛 블랭커 어레이(6)로부터 분리되거나 또는 빔렛 블랭커 어레이(6)와 조합될 수 있다.
빔렛들(22)은 그룹들로 배열되며, 제 2 콘덴서 렌즈 어레이(5B)는 빔 스톱 어레이(8)의 해당 어퍼처를 향해 각각의 그룹의 빔렛들을 포커싱한다. 제 2 어퍼처 어레이(4B)와 통합되거나 또는 개별적으로 구성될 수 있는 빔렛 블랭커 어레이(6)는 빔렛 블랭커 어레이(6)의 각각의 어퍼처 위치에 형성된 전극들을 갖는 블랭커 엘리먼트들의 어레이를 포함한다. 각각의 블랭커 엘리먼트는 빔렛들의 그룹 내 빔렛(22)을 편향시키도록 동작한다.
도 4에서는 투사 렌즈 시스템당 3개의 빔렛들이 도시되었지만, 투사 렌즈 시스템당 다른 수의 빔렛들이 또한 사용될 수 있으며, 100개까지의 또는 이를 초과하는 빔렛들의 그룹들이 각각의 투사 렌즈 시스템을 통해 지향될 수 있다. 바람직한 실시예에서, 7×7 어레이 내 49개의 빔렛들의 그룹들이 각각의 투사 렌즈 시스템을 통해 편향된다.
빔렛 개구 각도를 한정하는 빔 스톱 어레이(8)의 어퍼처들은 단일 빔렛에 의해서만 제한되는 것처럼 비교적 작을 수 있다. 보다 큰 어퍼처들은 보다 큰 편향 경로를 요구할 수 있으며, 블랭킹된 빔렛에 대한 단지 부분적 블랭킹에 의해 야기되는 "테일(tail)" 작용들에 더 민감할 수 있으며, 블랭킹 빔렛들에 대해 빔 스톱 어레이(8) 상에서 이용가능한 제한된 공간을 추가로 감소시킬 수 있다.
원칙적으로, 빔렛들의 각각의 그룹이 단일 포인트, 즉 스폿으로 수렴(converged), 즉 지향될 수 있고, 이들은 관련 투사 렌즈 시스템의 유효 렌즈 평면에서, 또는 빔 스톱 어레이(8)의 관련 어퍼처에서 교차 및 크로스-오버된다. 실제로, (비록 도면들에서는 추가로 도시되지 않았지만) 이러한 2개의 포인트들 사이의 어디에서도 수렴이 이루어질 수 있으며, 이는 투사 렌즈의 유효 렌즈 평면에서 빔렛들의 수렴은 도즈량(dose) 에러를 야기시킬 수 있는 반면 빔 스톱 어레이에서 빔렛들의 수렴은 렌즈 에러를 생성하기 때문이다.
하전된 입자 멀티-빔렛 리소그래피 시스템에 대한 이러한 실시예에서, 다수의 빔렛들은 각각의 투사 렌즈 시스템을 통과한다. 하전된 입자 광학기 슬릿은 빔렛들의 규칙적(regular) 어레이로 구성되는 것이 아니라 빔렛들의 그룹들의 규칙적 어레이로 구성된다. 미국 특허 출원 61/045,243호에 개시된 것처럼, 어느 순간에 그룹의 빔들 중 일부는 빔 스톱 어레이(8)의 해당 개구를 지나게 지향되어 타겟 상에 투사될 수 있는 반면 다른 빔렛들은 추가의 양으로 편향된다. 이러한 추가적 편향은 이러한 빔렛들로 하여금, 이들이 타겟에 도달하는 것을 블록킹하여 이전에 개시된 것처럼 블랭킹되거나 "스위치 오프"되도록, 빔 스톱 어레이(8)의 개구를 빗나가게(miss) 한다. 따라서, 빔렛들의 각각의 그룹은 빔 블랭커 어레이(6)에 의해 결정되는 패턴을 노출하며, 각각의 그룹은 패터닝된 단일 빔렛으로 간주될 수 있다.
도 5는 서브-빔들로부터 형성된 빔렛들을 포함하는 하전된 입자 멀티-빔렛 리소그래피 시스템의 실시예에 대한 간략화된 개략도를 예시한다. 도 4의 시스템은 투사 렌즈 시스템당 다수의 빔렛들을 제공하지만, 이는 또한 2개 세트들의 콘덴서 렌즈 어레이들(5A, 5B)을 요구하는 복잡한 시스템을 야기한다. 또한, 상당히 작은 피치에서 비교적 작은 개구들을 포함하기 때문에 콘덴서 렌즈 어레이(5A)의 제조는 어렵다. 도 5의 리소그래피 시스템은, 덜 복잡하며, 컴포넌트들 수의 감소로 인해, 도 4에 예시된 리소그래피 시스템과 비교할 때 감소된 컬럼 길이를 갖는다. 감소된 컬럼 길이로 인해, 보다 작은 진공 챔버가 사용될 수 있다. 이러한 진공 챔버는 덜 비쌀 뿐만 아니라, 단축된 시간 기간에서 펌핑(pumped out)될 수 있는데, 즉 펌핑 시간이 제한된다. 또한, 빔렛들의 경로 길이가 감소되며, 빔렛 드리프트로 인한 오정렬 감소가 이루어진다.
도 5의 시스템은 큰 서브-빔들(25)을 생성하기 위한 어퍼처 어레이(4C)를 포함한다. 서브-빔들은 빔 스톱 어레이(8)의 해당 개구를 향해 그리고 빔 스톱 어레이(8)의 평면에서 대략적으로 서브-빔들을 포커싱하는 콘덴서 렌즈 어레이(5)를 통과한다. 원칙적으로, 각각의 서브-빔은 빔 스톱 어레이(8)의 해당 개구에 또는 해당 투사 렌즈 시스템의 유효 렌즈 평면에 포커싱될 수 있다. 실제로, 이러한 2개의 포인트들 사이 어딘가에 서브-빔들이 포커싱되는 것이 바람직하다. 이는 어퍼처 어레이(4C)가 타겟(11)상에 이미지화되게 한다. 전자-광학 분야의 당업자들에게 공지되어 있는 것처럼, (임의의 실시예들에서) 콘덴서 렌즈 어레이는 단일 콘덴서 렌즈 어레이 또는 콘덴서 렌즈 어레이들의 세트를 포함할 수 있다는 것을 주목해야 한다.
서브-빔들(25)은, 각각의 서브-빔(25)으로부터 빔렛들(23)의 그룹을 생성하는, 각각의 서브-빔의 경로에 다수의 어퍼처들을 포함하는 어퍼처 어레이(4D)에 의해 차단된다. 서브-빔들로부터 형성되는 빔렛들의 그룹들은 또한, 빔 스톱 어레이(8)의 평면에서 대략적으로 포커싱되며 빔렛들의 각각의 그룹은 빔 스톱 어레이(8)의 해당 개구를 향해 지향된다.
이후, 이러한 빔렛들(23)은 빔렛 블랭커 어레이(6)를 통과한다. 블랭킹된 빔렛들은 빔 스톱 어레이(8)에 의해 블록킹되는 반면, 각각의 그룹에 있는 블랭킹되지 않은 빔렛들은 빔 스톱 어레이(8)의 해당 개구를 통과하며 투사 렌즈 장치(10)에 의해 추후 타겟 상에 투사된다. 도 5에 도시된 예에서, 어퍼처 어레이(4D)는 각각의 서브-빔(25)으로부터 3개의 빔렛들(23)의 그룹을 생성한다. 빔 블랭커 어레이(6)에 의해 편향되지 않는 경우, 빔렛들의 그룹은 3개의 빔렛들이 투사 렌즈 시스템(10)에 의해 타겟 상에 투사되도록 해당 개구에서 빔 스톱 어레이(8)를 가격한다. 실제로, 각각의 투사 렌즈 시스템(10)에 대해 훨씬 더 많은 수의 빔렛들이 생성될 수 있다. 실제 실시예에서, 50개의 많은 빔렛들이 단일 투사 렌즈 시스템을 지나게 지향될 수 있으며 이는 200개 이상으로 증가될 수 있다.
도 5에 도시된 것처럼, 빔렛 블랭커 어레이(6)는, 빔렛들의 그룹에 있는 개개의 빔렛들(23)을 블랭킹하기 위해 이들을 특정(certain) 시간들에 편향시킬 수 있다. 이는 도 5에 좌측 서브-빔(25)에 의해 예시되며, 여기서, 중간 빔렛(23)은, 개구에 있는 것이 아니라 개구 부근에 있는 빔 스톱 어레이(8) 상의 위치로 편향되어서, 빔렛이 블랭킹된다. 중간 서브-빔(25)에서, 우측 빔렛(23)은 편향되어 블랭킹되고 우측 서브-빔(25)에서는 어떠한 빔렛들도 편향 및 블랭킹되지 않는다.
도 4 및 도 5에 개략적으로 도시된 것처럼, 제어 유니트(18)는 노출될 타겟(11), 이를테면 웨이퍼를 지지하도록 배열된 기판 지지 부재(member) 또는 스테이지(16)의 이동이 이루어지도록 배열된다. 이러한 이동은 본 명세서에서 다양하게 제 1 방향 또는 X-방향 또는 기계적 스캔 방향으로의 이동으로 지칭된다. X-방향으로의 이동은 다양한 방식들로 달성될 수 있다: 기판 지지 부재의 기계적 이동에 의해 타겟이 이동하거나, 시스템의 나머지(rest)가 이동하거나, 빔렛들이 편향되거나, 또는 상기 기술들의 임의의 조합에 의해 이동한다.
도 1을 참조로 언급된 것처럼, 도 4 및 도 5에 도시된 하전된 입자 멀티-빔렛 리소그래피 시스템에 형성된 패터닝된 빔렛들은 편향기 어레이(9)에 의해 이동된다. 정전 편향기 어레이(9)에 의한 하전된 입자 빔렛들의 편향의 경우, 빔렛들의 이러한 이동은 제 2 방향에서 수행되며, 제 2 방향은 제 1 방향과 상이하다. 제 2 방향은 다양하게 본 명세서에서 Y-방향 또는 편향 스캔 방향으로 지칭된다. 본 발명의 일 실시예에서, 제 1 방향은 실질적으로 제 2 방향에 직교한다. 제 2 방향으로의 빔렛들의 이동은 일반적으로 반복적 이동인데, 즉 빔렛은 특정 거리에 걸친 시작 위치로부터 마지막 위치까지 스캔된 다음 다시 시작 위치로 이동된다는 것이 주목된다. 따라서, 제 2 방향으로의 빔렛들의 이동은 특정 주파수로 수행될 수 있다.
앞서 언급된 것처럼, 리소그래피 애플리케이션들에 대해, 통상적으로 타겟은 하전된-입자 감지 층 또는 레지스트 층이 제공되는 웨이퍼를 포함한다. 현재 300mm 웨이퍼가 업계 규격이다. 통상적으로, 웨이퍼들은 26mm×33mm의 최대 치수를 갖는 고정 크기 필드들로 분할된다. 각각의 필드는 다수의 집적회로들을 생성하도록 처리될 수 있으나(즉, 다수의 칩들에 대한 레이아웃이 단일 필드에 기록될 수 있음), IC들은 필드 보더(field border)를 교차하지 않는다. 26mm×33mm의 최대 크기로, 하나의 표준 웨이퍼 상에서 63개의 필드들이 이용될 수 있다. 보다 작은 필드들도 가능하여 웨이퍼당 보다 많은 수의 필드들이 야기될 것이다. 도 6은 필드들(27)로 나뉜 웨이퍼(26), 및 기록 필드들(28)의 방향을 도시한다. 예를 들어 전체 필드들을 부분 필드들에 기록하고 웨이퍼 경계(boundary)를 교차시킴으로써 부분(불완전) 필드들을 기록하는 것이 가능하다.
리소그래피 머신에 대한 바람직한 실시예에서, 머신은 13,000개의 서브-빔들을 생성하며 각각의 서브-빔은 7×7 어레이로 배열된 49개의 빔들로 분할되어, 637,000개의 빔렛들(즉, 13,000×49)을 야기한다. 이러한 배열이 도 5의 머신에 적용될 때, 어퍼처 어레이(4C)는 13,000개의 홀들을 포함하고 어퍼처 어레이(4D) 및 빔렛 블랭커 어레이(6)는 637,000개의 홀들을 포함하며, 이는 26×26mm 면적(즉, 웨이퍼 상에 투사되는 빔렛들의 완성 어레이의 크기)의 전자-광학(EO) 슬릿을 형성한다. 49개의 빔렛들을 포함하는 각각의 서브-빔은 X-방향으로 각각의 필드에 단일 스트라이프를 기록한다. 26mm의 거리(즉, EO 슬릿의 폭)에 걸쳐 배열되는 13,000개의 서브-빔들은 (실질적으로 기계적 스캔에 직교하는) Y-방향으로 2㎛의 스트라이프 폭 및 X-방향의 필드만큼 긴 스트라이프 길이(이를테면, 도 6의 예에서 33mm)를 야기한다.
웨이퍼는 예를 들어 도 6에 도시된 것처럼, 후방(backward) 및 전방(forward) X-방향 모두에서 리소그래피 머신에 의해 바람직하게 기록 또는 노출된다. (편향기 어레이에 의한) Y-방향에서의 기록 방향은 통상적으로 한 방향이다.
필드의 크기(높이)가 전자-광학(EO) 슬릿의 크기(즉, 웨이퍼 상에 투사되는 빔렛들의 완성 어레이의 크기)보다 작게(이를테면, 26mm의 최대 크기보다 작게) 선택되면, 보다 많은 필드들이 웨이퍼 상에 위치될 수 있으나, 전자 빔렛들 모두가 웨이퍼 상에 기록하는데 이용될 수 있는 것은 아니다. EO 슬릿은 모든 필드들을 기록하기 위해 보다 종종 웨이퍼를 교차하도록 요구할 것이며 전체 수율은 감소될 것이다. 따라서, EO 슬릿은 바람직하게 필드의 크기와 일치하는 크기를 갖는다.
머신이 필드에 패턴을 기록할 때, 임의의 순간에 빔렛 블랭커 어레이는 다음 필드에 진입하고 여기에서 패턴들의 기록을 시작하여, 머신이 동시에 2개의 필드들에서 기록을 행할 수 있다. 필드가 충분히 작은 경우, 머신은 동시에 3개의 필드들을 기록할 수 있다.
단순화된 버전의 빔렛 블랭커 어레이(35)가 도 7a 및 도 7b에 도시되며, 머신은 4×4 어레이로 배열된 16개의 서브-빔들을 가지며, 각각의 서브-빔은 3×3 어레이로 배열된 9개의 빔렛들을 갖는다. 각각의 서브-빔에 대해, 각각의 빔렛 어퍼처에 대해 연관된 블랭커 전극을 갖는 어퍼처들(36)의 그룹이 제공된다. 어퍼처들의 하나의 그룹(37)의 확대도가 도면 우측에 도시된다.
도 7a에서의 블랭커 어퍼처들의 배열은 평행 투사 기록 전략에 적합하게 도시되는 반면, 도 7b에서의 배열은 직교 기록 전략에 적합하게 도시된다. 도 7a에서, 각각의 서브-빔의 빔렛들에 대한 블랭커 어퍼처들은 서로 가깝게 그룹화된다. 도 7b에서, 빔렛들에 대한 블랭커 어퍼처들은 전체 스트라이프 폭(39)에 걸쳐 균일하게 분포되는데, 즉 각각의 빔렛은 기계적 스캔 방향에 실질적으로 직교하는 Y-방향으로 인접 빔렛들로부터(동일한 서브-빔으로부터이든 또는 상이한 서브-빔으로부터이든) 등거리에 위치된다. 이러한 구성이 가능하지만, 적은 수의 어퍼처들에 대해, 빔과 빔렛 전류 간의 비와 관련하여 이러한 배열의 효율성은 매우 낮을 것이다. 효율성에 대한 척도(measure)로는 필 팩터(fill factor)가 있으며, 이는 블랭커 어퍼처들의 총 면적과 하나의 서브-빔에 대한 어퍼처들이 그룹화되는 면적 간의 비이다. 필 팩터는 전류 입력(in)(빔 전류) 및 전류 출력(out)(빔렛 전류들의 합)과 관련하여 특정 그리드 기하학구조(geometry)의 효율성을 평가하는데 유용하다. 빔렛 어퍼처들의 그룹에 대한 면적이 보다 작을 때, 필 팩터는 보다 바람직한 값들로 증가할 것이다.
적은 수의 어퍼처들에 대해 잘(well) 작동하는 기록 전략으로는 "평행 투사(parallel projection)" 기록 전략이 있으며, 여기서 (가장 간단한 형태로) 각각의 빔렛들은 인터리빙되며 전체 스트라이프 폭을 기록한다. 예를 들어, 앞서 언급된 리소그래피 머신에서, 평행 기록 전략에 적합한 어퍼처들의 수는 대략 750,000개 이하일 수 있다. 이후 보다 상세히 설명되는 바와 같이, 평행 기록 전략은 투사된 빔렛들의 시퀀스 방향이 웨이퍼 스테이지의 (기계적) 스캔 방향과 평행인 기록 전략으로 지칭된다.
웨이퍼 상에는 이상적 그리드가 존재하고 전자 빔렛들이 실질적으로 그리드 좌표들 상에 위치될 수 있다고 가정된다. 전자 빔렛들이 최소 피처 크기(CD)의 1/20th 내에 위치될 수 있을 때 허용가능한 노출 패턴이 타겟 상에 생성된다고 가정하면, CD와 동일한 면(side)을 갖는 스퀘어를 기록하기 위해, 20개의 스캔 라인들 및 따라서 20*20=400개의 그리드 포인트들이 요구된다. 따라서, CD가 45nm와 같은 경우, 본 실시예에서 2.25nm 범위 내에서 위치설정(positioning)이 제어될 수 있다. 그레이 기록(gray writing)에 의한 피처들의 위치설정 및 타이밍에 의한 에러들의 위치설정을 위한 교정과 관련된 사항들은 미국 특허 제 6,897,458호에서 확인될 수 있다.
도 8은 전형적으로 요구되는 임계 치수(CD)의 영역을 커버하는 가상 그리드의 부분 내에서 타겟 표면상에 투사되는 하전된 입자 빔렛의 빔렛 크기(30)를 도시한다.
노출 패턴으로 타겟을 노출하기 위해, 패턴 데이터는 타겟의 표면상의 가상 그리드에 대응되게 래스터화될 수 있다. 전형적인 배열에서, 빔렛들이 스테이지 모션과 실질적으로 직교하는 방향으로 스캔되는 동안 타겟이 이동된다. 래스터형 패턴 데이터는 빔렛들이 빔렛 편향 및 타겟 모션과 동기식으로 변조되도록 시간설정된 멀티-빔렛 시스템에 공급되어, 패턴 데이터에 의해 표시된 패턴이 타겟의 표면상에서 노출 패턴으로서 전치될 수 있다. 통상적으로, 가상 그리드는 데카르트 그리드(Cartesian grid)이지만, 다른 형태들의 그리드 또한 가능하다.
사용되는 그리드의 크기는 단일의 하전된 입자 빔렛의 오정렬 또는 의도치 않은 변조가 타겟 상에 노출될 패턴을 방해하는지 여부를 고려함으로써 결정될 수 있다. 라인 또는 객체(object) 폭을 설계하거나 또는 타겟 상에 형성될 피처의 위치설정을 결정하는데 있어 사실상 무한 선택을 갖고자 하는 요망으로 인해, 가능한 작은 그리드를 선택하고자하는 경향이 존재한다. 본 발명에 기초하는 관점에 따라, 후자는 기록시 근접 효과들(proximity effects)을 교정하기 위한 추가적 가능성을 의미한다. 다른 한편으로, 처리되고 시스템으로 전송될 데이터의 양을 제한하기 위해, 특히 다수의 빔렛들을 가지는 멀티-빔 시스템들에서 가능한 큰 그리드를 갖는 것이 요구된다.
앞서 개시된 조건들 간의 밸런스 및 현대(contemporary) 기술 상태의 반영에 따라, 멀티-빔렛 시스템의 일 실시예는 소위 임계 치수(통상적으로 이를테면 45nm) 셀들을 구별한다. 이러한 셀들은 이를테면 30nm 정도에 해당하는 빔 크기를 갖는 하전된 입자 빔렛들에 의해 노출될 수 있다. 셀들은 다수의 그리드 셀들, 이를테면 20×20 그리드 셀들로 분할되어, 빔 크기에 비해 작은 치수, 이를테면 2.25 nm의 치수를 가질 수 있다. 이러한 배열에서, 단일 그리드 셀의 우연한 블랭킹 또는 비블랭킹(not blanking)은 단지 미미한(negligible) 효과만을 갖는다. 다수의 그리드 셀들은 가상 그리드를 형성한다. 가상 그리드 상에서 도 8의 투사 빔렛 크기(30)의 위치는 그리드 셀(31)에 대응한다.
도 6의 빔렛의 투사 빔렛 크기(30)는 이를테면 2.25nm인 단일 그리드 셀(32)의 크기(또한 픽셀 크기로도 불림)보다 훨씬 크기 때문에, 에지 형상은 노출된 패턴의 현상(developing) 이후에는 대체로 볼 수 없다. 또한, 래스터형 패턴에서 사선형(hatched), 즉 울퉁불퉁한(ragged) 에지는 최종 피처 에지의 위치를 효과적으로 이동시킬 수 있으며, 이는 미국 특허 출원 공개 번호 제2008/073588호에 보다 상세히 설명된다. 울퉁불퉁한 에지들에 대한 앞서 언급된 기술을 사용하는 배치(placement)에 대해 가능한 정확도는 빔 크기(30)와 비교할 때 울퉁불퉁한 에지 픽셀 길이의 크기에 따라 좌우된다. 최적화는 픽셀 크기의 1/10 미만의 정확도를 야기할 수 있다.
도 9는 X-방향 또는 Y-방향의 평면상에서 빔렛들(4OA, 4OB, 4OC, 4OD)의 그룹을 투사하는 개념을 개략적으로 도시한다. 빔렛들의 그룹은 노출될 타겟 표면상에 투사되는 어레이로 배열된다. 이러한 개념이 본 발명의 실시예들에 사용된다. 도 9에서, 빔렛들(4OA-40D)의 그룹은 2개의 컬럼 및 2개의 로우로 이루어진 어레이를 포함한다. 또한, 피치로 지칭되는 빔렛들 간의 거리는
Figure 112016033780989-pct00005
와 같다. 빔렛들은 X-방향, 이를테면 기계적 스캔 방향, 및 Y-방향, 이를테면 편향 스캔 방향으로 이동할 수 있다. 이러한 방향들에서의 이동의 조합이 본 발명의 실시예들에 사용될 수 있다.
로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치된다. 도 9에 도시된 예에서, 빔렛들(4OA, 4OB, 4OC, 4OD)에 의해 형성된 컬럼들은 Y-방향에 대해 일정 각도(
Figure 112016033780989-pct00006
)로 위치된다.
빔렛들(40A-40D)은 X-방향에 평행한 평면상에서 Y-방향으로 투사되어, X-방향에 평행한 방향으로 정렬된 빔렛 투사들(41A-41D)(사선의 원으로 도시됨)의 시퀀스를 야기한다. 이러한 투사에서의 피치(즉, X-방향과 평행한 평면상에 투사될 때 빔렛들 간의 거리)는
Figure 112016033780989-pct00007
로 표시되며, 이는 이후 X-방향에서의 투사 피치로 지칭된다. 피치(
Figure 112016033780989-pct00008
)는
Figure 112016033780989-pct00009
의 식으로 계산될 수 있다. 또한, 빔렛들의 그룹에 대한 그리드 폭(
Figure 112016033780989-pct00010
)이 도시된다.
유사하게, 빔렛들(4OA-4OD)은 Y'-방향에 평행한 평면상에서 X-방향으로 투사되어, Y'-방향에 평행한 방향으로 정렬된 빔렛 투사들(42A-42D)(사선의 원으로 도시됨)의 시퀀스를 야기한다. 투사에서의 피치는
Figure 112016033780989-pct00011
로 표시되며, 이는 이후 Y'-방향에서의 투사 피치로 지칭된다.
본 명세서에서, 다수의 빔렛들에 의해 타겟을 노출하는 방법들의 몇 가지 실시예들이 개시될 것이다. 방법들은 2개의 카테고리로 나뉠 수 있다. 투사 피치(
Figure 112016033780989-pct00012
)가 고려되는 제 1 카테고리는 평행 형태의 기록 전략으로 지칭될 것이다. 본 명세서에서 "평행(parallel)"이란 표현은 X-방향(이를테면, 기계적 스캔 방향)에 대해 투사되는 빔렛들의 시퀀스 방향으로 지칭된다. 투사 피치(
Figure 112016033780989-pct00013
)가 고려되는 제 2 카테고리는 직교 형태의 기록 전략으로 지칭될 것이다.
앞서 언급된 것처럼, 제 1 방향(이를테면, 기계적 스캔 방향) 및 제 2 방향(이를테면, 제 2 편향 스캔 방향으로의 다수의 빔렛들의 편향)으로의 타겟에 대한 다수의 빔렛들의 동시적 이동에 의해서 다수의 빔렛들에 의해 요구되는 패턴으로 타겟이 노출된다. 2개의 방향은 같지 않다. 편의상 도면들은 2개의 방향이 직교하는 것으로 도시된다. 그러나, 바람직한 실시예에서 2개의 방향은 (정확히는 아니지만) 실질적으로 직교한다. 이는 Y'-방향을 참조로 도면들에 표시된다. Y'-방향은 Y-방향에 비해 약간 틸트되어, Y'-방향에서의 빔렛들의 이동은 X-방향에서의 타겟 및 빔렛들의 동시적인 상대적 이동에 따라 조정되며, 빔렛들에 대한 스캔 라인의 끝이 그 빔렛에 대한 다음 스캔 라인의 시작부와 X-방향에서 거의 동일한 위치에 있게 된다. 틸트 정도(amount of tilt)는 X-방향에서의 단일 스캔 라인의 노출 동안 Y-방향에서의 이동량에 따라 좌우된다.
본 발명의 실시예들에 대한 논의는 투사 렌즈 시스템 당(즉, 서브-빔당) 2×2 빔렛들의 어레이에 대해 예시된다. 투사 렌즈 시스템당 4개의 빔렛들이 도시되었지만, 또한 투사 렌즈 시스템당 다른 수의 빔렛들이 사용될 수도 있다. 100개까지의 또는 이를 초과하는 빔렛들의 그룹들이 각각의 투사 렌즈 시스템을 통해 지향될 수 있다. 또한, 어레이는 컬럼 수와 로우 수가 같은 것으로 도시되었지만, 본 발명의 실시예들에서는 다른 어레이 구성이 사용될 수 있다. 따라서, 어레이는 n개 로우들×k개 컬럼들을 포함할 수 있으며, n과 k는 서로 다른 정수들이다. 또한, 정사각형 어레이가 도시되었지만, 삼각형, 육각형, 또는 다른 형태의 어레이와 같은 다른 배열이 이용될 수 있다.
바람직한 실시예에서, 빔렛들은 하전된 입자 빔렛들, 바람직하게는 전자 빔렛들이나, 타겟을 노출시키기에 적합한 다른 형태의 빔렛들이 또한 이용될 수 있다.
마지막으로, 도면들은 X-방향 및 Y'-방향에서의 치수들 간의 비에 대한 정확한 표현을 제시하지 않는다는 것이 주목된다. 특히, Y'-방향에서의 스캔 라인들은, 특히 스캔 라인의 마지막에서 발생하는 효과들과 관련하여 명료성을 강화시키기 위해 단축되었다.
도 10은 빔렛의 스캔 라인에 대한 개략적 다이어그램을 도시한다. 빔 편향기 어레이는 평행인 모든 빔렛들에 대해 삼각형 형상의 편향 신호를 생성한다. 도 10의 개략적 다이어그램에 도시된 것처럼, 편향 신호는 스캔 단계(phase)(A에서 B) 및 플라이-백(fly-back) 단계(B에서 C)를 포함한다. 스캔 단계 동안, 편향 신호는 Y' 방향으로 (스위치 온일 때) 빔렛을 서서히 이동시키며 빔렛 블랭커 어레이는 빔렛 제어 신호들에 따라 빔렛을 스위치 온 및 오프시킬 것이다. 스캔 단계 이후 플라이-백 단계가 시작된다. 플라이-백 단계 동안, 빔렛은 스위치 오프되고 편향 신호는 다음 스캔 단계가 시작될 위치로 빔렛을 신속하게 이동시킨다.
스캔 라인은 스캔 단계 동안 웨이퍼의 표면상에서의 빔렛 경로이다. 앞서 언급된 것처럼, 특정 실시예들에서, 특정한 척도들이 없다면, 스캔 라인은 웨이퍼 상에서 Y-방향을 따라 정확하게 기록되는 것이 아니라, 마찬가지로 작은 X-방향 컴포넌트와 약간 편향(skewed)될 것이고, 이는 X-방향에서의 연속적인 스테이지 이동으로 인한 것이다. 이러한 에러는 스테이지 이동과 매칭되도록 작은 X-방향 컴포넌트를 편향 필드에 부가함으로써 교정될 수 있다. 이러한 X-방향 컴포넌트는 통상적으로 작으며, 이는 스테이지 이동이 Y-방향 편향 스캔 속도와 비교할 때 느리기 때문이다(통상적 x:y 상대 속도 비는 1:1000일 수 있다). 그러나, 이러한 X-방향 컴포넌트의 효과는 패터닝된 빔들(이를테면, 각각의 서브-빔에 대한 다수의 빔렛들)에 따라 시스템들에서 크게 강화된다. 첫째, 편향 속도는 패터닝된 빔(서브-빔) 당 빔렛들의 수에 비례하여 감소될 수 있다. 둘째, (예를 들어, 도 7a 및 도 7b에 도시된 것처럼) 빔렛들의 어레이의 기울기로 인해, 웨이퍼 상의 스캔 라인들에서의 편향(skew)은 상이한 빔렛들에 의해 구성된 스캔 라인들 간의 거리 변화를 야기할 것이다. 충분히 큰 편향(skew)은 서로에 대해 스캔 라인들의 위치가 오버랩핑되거나 변경되는 것을 야기할 수 있다.
스캔 라인(도 10 우측 참조)은 시작 오버스캔 섹션, 패턴 섹션, 및 마지막 오버스캔 섹션의 3가지 섹션들로 나뉜다. 빔렛들은 스트라이프의 폭에 대해 Y'-방향을 따라 편향된다. 통상적으로, 빔렛들이 편향되는 거리는 기록될 실제 스트라이프보다 넓다. 오버스캔은 빔렛이 기록되는 위치들을 시프팅(shifting) 및 스케일링(scaling)하기 위한 공간을 제공한다. 오버스캔은 한쪽 면이 과잉(surplus)인 것이다. 2㎛의 스트라이프 폭 및 0.5㎛(또는 25%)의 오버스캔의 경우, 이는 3㎛의 스캔 라인 길이를 야기한다. 오버스캔 섹션들 동안, 빔렛들은 통상적으로 스위치 오프된다.
도 10(좌측)에서, 스캔 라인은 단지 하나의 빔렛이 스트라이프를 기록하는 상황에 대해 도시된다. 편향 사이클 동안 빔렛의 경로는 A-B-C이다. AB는 스캔 단계 동안의 스캔 라인 이동인 반면, BC는 빔렛이 스위치 오프되는 동안의 플라이-백이다. 스트라이프 보더들은 D 및 E로 표시된다. 도 10의 우측에서, 오버스캔 및 패턴 섹션들이 식별된다. 스캔 라인 상에서 빔렛을 스위칭하기 위해 빔렛 블랭커 어레이에 의해 수신되는 빔렛 제어 신호의 비트들에 대한 전체 세트는 스캔 라인 비트 프레임이라 불린다.
전체 스캔 라인 동안, 빔렛들은 리소그래피 시스템에 의해 제어된다. 오버스캔 섹션에서, 빔렛들은 스위치 오프될 것이다. 패턴 섹션에서, 빔렛들은 웨이퍼 필드에 기록되게 요구되는 피처들에 따라 스위치된다. 오버스캔 섹션 및 패턴 섹션 모두에 대한 스캔 라인 비트 프레임의 비트들은 빔렛 블랭커 어레이로 전송될 데이터를 나타낸다. 오버스캔 섹션에서의 비트들/픽셀들은 무용(useless)하며 데이터 경로의 대역폭을 소모하는 것으로 여겨진다. 그러나, 오버스캔 섹션의 비트/픽셀들은 (패턴 시프트 및 패턴 스케일링과 같은) 교정을 위한 룸을 제공하고, 알고리즘들을 스티칭하기 위한 룸을 제공하고, 기록 전략들이 사용될 때 빔렛들에 대한 블랭커 홀들의 y-위치들에서의 차들을 위한 룸을 제공하며, 여기서 모든 빔렛들은 전체 스트라이프 폭(평행 투사)을 기록한다. 빔렛에 대한 고정된 비트 레이트(fixed bit rate)가 빔렛들 및 특정 픽셀 크기를 제어하는 신호들을 제어한다고 가정하면, 스캔 라인은 스캔 라인 비트 프레임으로 지칭되는 고정된 길이 비트 프레임에 맵핑될 수 있다.
바람직한 실시예에서, 각각의 서브-빔은 필드의 하나의 스트라이프를 기록하기 위해 49개 빔렛들로 분할된다. 스트라이프를 기록하기 위한 다수의 상이한 기록 전력들이 제공된다. 빔렛 기록 전략은 스트라이프를 기록하기 위해 빔들이 배열되는 방식을 정의한다. 방식은 적층, 인터리빙, 또는 오버랩핑의 조합들일 수 있다. 도 11에서, 4개의 빔렛들을 이용하여 스트라이프를 기록하는데 있어 가능한 인터리빙 방식들에 대한 몇 가지 예들이 도시된다. 하나의 스트라이프의 폭은 도면 우측에 도시된다. 이러한 예들은 빔렛들이 실시간으로 기록되는 방법을 도시하는 것이 아니라, 기록이 마무리될 때 빔렛이 스트라이프의 어떤 부분을 기록했는지를 도시한다.
도 11의 예 A는 빔렛들을 적층하는 것을 도시한다. 각각의 모든 빔렛은 그 자신의 서브스트라이프를 기록한다. 본 구성에 대해, 각각의 빔렛은 플라이 백 이전에 작은 수의 비트들만을 기록한다. 편향 신호의 주파수는 높고 그의 진폭은 낮다. 이러한 기록 전략은 그룹 폭(GW)(서브-빔 그룹에서의 빔렛들의 수(N)와 투사 피치(
Figure 112016033780989-pct00014
)를 곱한 것과 같음)이 스트라이프 폭(직교 투사)과 같도록, 그룹에 있는 빔렛들이 배열되는 경우에 적합하다. 직교 투사는 기록 전략들의 패밀리이다. 직교 투사의 기본 형태에 대해, 모든 빔렛들은 작은 서브스트라이프들을 기록하며, 서브스트라이프의 폭은 스트라이프 폭의 프랙션(fraction)이다. 통상적으로 블랭커 홀들의 그리드의 크기는 스트라이프 폭과 관련된다.
예 B에서, 빔렛들은 전체 스트라이프 폭에 걸쳐 인터리빙된다. 편향 신호의 주파수는 낮고 그의 진폭은 크다. 인터리빙 스캔 라인들에 맞는 기록 전략은 평행 투사 기록 전략이다. 평행 투사는 기록 전략들의 패밀리로, 여기서 모든 빔렛들은 인터리빙된 방식으로 전체 스트라이프 폭을 기록한다. 블랭커 어퍼처들의 그리드는 스트라이프 폭과 반드시 관련되는 것은 아니라는 것이 주목된다.
특히, 그룹에서 비교적 작은 수의 빔렛들에 대해, 이러한 전략은 보다 작은 그룹 크기 및 개선된 필 비(fill ratio)를 허용한다. 작은 수의 빔렛들로 인해, 웨이퍼 상에서 그룹의 크기는 합리적인 필 팩터로 인해 스트라이프보다 상당히 작다. 이러한 기록 전략(평행 투사)에 대해, 특정 빔렛 피치 및 그룹에 있는 특정 수의 빔렛들에 대해 구현되는 일련의 픽셀 크기들이 계산될 수 있다. 픽셀 크기는 임의의 값이 아니다. 최악의 경우 빔렛 블랭커 어퍼처와 스트라이프의 중심부 간의 오프셋(offset)을 보상하기 위해 스캔 라인 비트 프레임에 여분의 비트들이 부가될 수 있다.
예 C는 인터리빙 및 적층의 조합이다. 예 D에 대해, 연속하는 인터리빙된 층들은 벽돌 담(brick wall)처럼 오버랩핑된다. 예 C와 비교할 때, 이러한 구성은 빔렛들 간에 보다 나은 에버리징을 제공할 것이다. 스트라이프 경계에서, 스트라이프 경계들에 걸쳐 기록될 빔렛들이 제공된다.
도 12a 및 도 12b는, 노출 패턴이 각각의 투사 렌즈 시스템에 의해 커버될 전체 스캔 폭에 걸쳐 각각의 빔렛의 편향에 의해 달성되는, 다수의 빔렛들에 의해 타겟을 노출하는 개략적 방법들을 예시한다. 예를 들어, 13,000개의 투사 렌즈 시스템들을 갖는 시스템을 사용하여 26mm의 폭으로 노출될 필드에 대해, 투사 렌즈 시스템들의 배열은 2 미크론의 전체 스캔 폭을 야기할 수 있다.
본 예에서, 타겟은 X-방향으로 평면상에 투사되는 어레이 내 빔렛들의 투사 피치(즉,
Figure 112016033780989-pct00134
)를 고려하는 기록 전략을 이용함으로써 노출된다. 인접한 스캔 라인들 간의 거리는 X-방향에서의 투사 피치와 같다.
도 12a에서, 타겟의 노출된 영역의 부분은 수직(vertical) 스캔 라인들을 따르는 4개의 빔렛들에 의해 노출되는 것으로 도시된다. 제 1 빔렛, 이를테면 도 9의 빔렛(40A)에 의해 노출되는 영역은 그레이 패턴을 갖는 스캔 라인들에 의해 개략적으로 도시된다. 제 2 빔렛, 이를테면 도 9의 빔렛(40B)에 의해 노출되는 영역은 제 1 사선형(hatched) 패턴, 즉 왼쪽 아래로 경사지게 사선이 그어진 스캔 라인들에 의해 개략적으로 도시된다. 제 3 빔렛, 이를테면 도 9의 빔렛(40C)에 의해 노출된 영역은 제 2 사선형 패턴, 즉 오른쪽 아래로 경사지게 사선이 그어진 스캔 라인들에 의해 개략적으로 도시된다. 제 4 빔렛, 이를테면 도 9의 빔렛(40D)에 의해 노출된 영역은 제 3 사선형 패턴, 즉 교차되게 사선이 그어진 패턴을 갖는 스캔 라인들에 의해 개략적으로 도시된다.
도 12a에 도시된 예에서, 원하는 그리드 셀 크기(즉, 원하는 빔렛 위치설정 해상도)는 X-방향에서의 빔렛들의 투사 피치보다 작다. 간단한 방식으로 Y'-방향으로 빔렛을 편향시키는 동안 X-방향으로 타겟에 대한 빔렛들의 어레이를 이동시킴으로써 타겟을 노출하는 것이 타겟의 전체 커버리지를 제공하지 않을 것임을 쉽게 알 수 있다. 따라서, 전체 커버리지를 제공하기 위해서는, 상이한 기록 전략이 요구된다.
도 12b에서, 평행 형태의 기록 전략을 사용하는 대안적인(alternative) 기록 전략을 이용함으로써 영역이 완전히 노출된다. 이런 방법에서, X-방향으로의 상대적 이동 및 Y'-방향으로의 다수의 빔렛들의 이동은 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가
Figure 112016033780989-pct00016
와 같아지도록 수행되며, 여기서
Figure 112016033780989-pct00017
이다. 이러한 식에서,
Figure 112016033780989-pct00018
는 X-방향에 평행한 방향에서의 어레이 내 다수의 빔렛들의 빔렛들 간의 투사 피치이며,
Figure 112016033780989-pct00019
은 (N-1)의 팩터이며 여기서 N은 어레이 내 빔렛들의 수이다.
도 12b에 개략적으로 도시된 실시예에서, 4개의 빔렛들이 사용된다. 따라서, 다수의 빔렛들과 타겟 간의 상대적 이동은 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가
Figure 112016033780989-pct00020
의 1/3과 같아지도록 수행된다. 어레이 내 빔렛들의 수가 100개인, 이를테면 10×10 빔렛들의 어레이인 경우에, 본 방법에 따라 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리는 각각 99, 33, 11, 9 및 3인
Figure 112016033780989-pct00021
에 대해
Figure 112016033780989-pct00022
의 1/99, 1/33, 1/11, 1/9 또는 1/3과 같을 수 있다.
또한, 본 실시예에서 타겟과 빔렛들 간의 상대적 이동은 단계적 방식으로 수행된다. 기계적 스캔 방향의 이동은, 빔렛들이 또 다른 빔렛에 의해 이미 노출된 영역에 도달하기 이전에 3개의 스캔 라인들을 노출하도록 수행된다. 이러한 이동은 제 2 빔렛에 대해 도 12b의 바닥부에서 작은 화살표에 의해 개략적으로 도시된다. 제 3 스캔 라인이 끝난 후, 빔렛들은 큰 거리에 걸쳐 전이되어(translated), 제 4 빔렛에 의해 노출되는 마지막 스캔 라인 직후에 제 1 빔렛이 위치설정된다. 이러한 전이는 제 2 빔렛에 대해 큰 화살표에 의해 개략적으로 도시된다. 전이 이후, 3개의 스캔 라인들에 대한 새로운 시퀀스가 시작된다. 이러한 기록 전략의 단계적 이동은 빔렛들의 일정한 편향 패턴에 의해 그리고 X-방향으로 타겟의 이동 속도를 가변시킴으로써, 또는 타겟의 일정한(steady) 이동 및 빔렛들의 편향 패턴을 가변시킴으로써, 또는 이 둘의 조합에 의해 달성될 수 있다.
도 12a에 도시된 노출을 얻기 위해 사용되는 기록 전략에 비해 도 12b에 도시된 노출을 얻기 위해 이용되는 기록 전략의 장점은 타겟의 전체 영역이 커버된다는 점이다. 그러나, 기계적 스캔 방향, 즉 타겟의 의도된 기계적 이동 방향으로의 이동은 불규칙한데, 즉 시스템의 편향기(9)가 불규칙한 크기의 스텝들로 이미 노출된 스캔 라인들 위로 점프하는 것이 요구된다. 특히 큰 거리들에 걸친 전이와 관련하여, 편향기는 비교적 상당한 셋팅 시간을 요구할 수 있고, 이로 인해 시스템의 오버헤드 시간이 소모된다. 또한, 이러한 시스템 및 기록 방법은 위치설정 에러들에 민감할 수 있어, 소위 스티칭(stitching) 에러들을 방지하기 위해 정착 시간들을 고려하고 그리고/또는 위치설정 제어를 수행하는 것이 필요하다. 기록 전략의 단점은 인접한 스캔 라인들의 특정 부분이 동일 빔렛에 의해 노출(스캔)된다는 점이다. 결과적으로, 개개의 빔렛들 간의 세기 또는 밝기에서의 변동들은 타겟 상의 노출 패턴의 원치 않는 변동들을 야기할 수 있다. 따라서, 인접한 스캔 라인들이 어레이 내 모든 빔렛들에 의해 노출되는 도 8a의 기록 전략에 의해 달성되는 에버리징 효과는 감소된다. 빔렛 크기가 동일 빔렛에 의해 노출되는 인접한 스캔 라인들의 폭보다 상당히 큰 경우 이러한 단점은 감소될 수 있다.
도 13a-13c는 타겟을 노출하는 방법의 또 다른 실시예를 개략적으로 예시한다. 방법은 도 12a를 참조로 제시되는 방법의 단점들, 및 도 12b를 참조로 제시되는 방법의 잠재적 문제점들을 겪지 않고 타겟의 완전 노출을 가능하게 한다. 도 13a-13c에 예시된 방법은 평행 형태의 기록 전략이다.
이 방법에서, 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은 다수의 빔렛들내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리가
Figure 112016033780989-pct00023
와 같아지도록 조정되며, 여기서
Figure 112016033780989-pct00024
이다.
도 13a에서는, 빔렛들의 2×2 어레이 내 각각의 빔렛에 의한 제 1 스캔 라인의 노출 결과가 개략적으로 도시된다. 도 13b에서, 타겟의 노출은 각각의 빔렛이 제 2 스캔 라인의 노출된 부분을 갖도록 진행된다. 도 13c에서, 타겟의 전체 커버리지의 영역이 얻어지도록 추가로 노출이 진행된다. 이러한 방법에서, 단일 스캔 라인의 노출 동안 X-방향으로의 단일 스캔 라인 폭의 상대적 이동을 갖는 대신, 단일 스캔 라인의 노출 동안 X-방향으로의 상대적 이동은
Figure 112016033780989-pct00025
와 같으며, 여기서
Figure 112016033780989-pct00026
이다.
도 13a-13c에서, (2×2 어레이에서) 4개의 빔렛들은 본 방법을 예시하는데 이용된다. 따라서, 다수의 빔렛들 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는 도 13b 및 도 13c에 개략적으로 도시된 것처럼
Figure 112016033780989-pct00027
과 같다. 어레이 내 빔렛들의 수가 100개인, 이를테면 10×10 어레이의 빔렛들의 경우, 본 방법에 따라 다수의 빔렛들 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는 각각 99, 33, 11, 9 및 3인
Figure 112016033780989-pct00028
에 대해
Figure 112016033780989-pct00029
,
Figure 112016033780989-pct00030
,
Figure 112016033780989-pct00031
, 또는
Figure 112016033780989-pct00032
과 같다.
도 13a-13c에서 사용되는 방법은,
Figure 112016033780989-pct00135
과 동일한 폭, 즉 도 13c에서의 점선들(dashed-dotted lines) 사이의 폭에 대해 X-방향을 따라 노출되는 타겟의 전체 커버리지를 제공한다. 영역
Figure 112016033780989-pct00034
의 바깥쪽 영역은 멀티-빔렛 시스템, 이를테면 도 4 또는 도 5에 개략적으로 도시된 하전된 입자 멀티-빔렛 시스템의 하나 이상의 다른 투사 렌즈 시스템들에 의해 노출될 수 있다. 도 13c에서 볼 수 있듯이,
Figure 112016033780989-pct00136
Figure 112016033780989-pct00137
로 라벨링된 각각의 스캔 라인의 부분은 전체 커버리지의 영역의 범위 밖이다. 빔렛들이 전체 커버리지의 영역 밖으로 편향되는 시간 동안, 통상적으로 이들은 사용되지 않고(즉, 블랭킹되거나 블록킹됨), 멀티-빔렛 시스템의 활용성이 덜 효율적이게 된다. 전체 커버리지 길이(
Figure 112016033780989-pct00037
)가 "단부 영역(end area)" 길이들(
Figure 112016033780989-pct00038
Figure 112016033780989-pct00039
)보다 훨씬 큰 경우, 이러한 효과는 약해진다.
도 13c의 기록 전략은 이전의 기록 전략의 단계적 이동을 방지한다. 인접한 스캔 라인들이 상이한 빔렛들에 의해 노출되어, 빔렛 크기가 스캔 라인 폭 보다 큰 경우 빔렛들 간의 변동들로부터의 에버리징의 장점을 제공한다. 도시된 예에서, 4개의 빔렛들 모두는 인접한 4개의 스캔 라인들을 통해 스캔되며,
Figure 112016033780989-pct00040
에버리징 효과를 야기한다.
이전에 언급된 것처럼, 타겟 상에 노출될 패턴에 대한 패턴 데이터는 통상적으로 가상 그리드에 대해 래스터화된다. 타겟 상의 패턴을 노출하기 위해, 각각의 빔렛은 스위치 온 및 오프되거나 그렇지 않은 경우 다수의 빔렛들에 의해 노출될 스캔 라인들의 시퀀스가 타겟 상에 원하는 패턴을 형성하는 방식으로 변조될 필요가 있다.
앞서 언급된 방법들과 관련하여, 멀티-빔 시스템의 단일 투사 렌즈 시스템에 의해 노출되는 패턴은
Figure 112016033780989-pct00041
의 전체 폭을 스캔 라인들로 나눈다. 다음 스캔 라인들이 빔렛들에 할당된다. 따라서, 사용되는 기록 전략에 따라, 상이한 스캔 라인들이 상이한 빔렛들에 할당될 수 있다.
도 16은 패터닝된 빔당 빔렛들의 수(N), 어레이 틸트 각도(
Figure 112016033780989-pct00042
), 투사 피치(
Figure 112016033780989-pct00043
) 및 K 팩터에 따른 픽셀 크기 및 그리드 폭에 대한 표를 도시한다. 생성되어 리소그래피 머신으로 전송될 필요가 있는 제어 데이터의 양을 감소시키고 머신의 수율을 증가시키기 위해 큰 픽셀 크기가 요구된다. 그러나, 픽셀들의 크기는 원하는 CD 및 레지스트 특성에 의해 제한된다. 도 16에서, X-방향에서 3.5nm의 최적의 픽셀 크기(
Figure 112016033780989-pct00044
)가 가정되며 좌측에서 4번째 컬럼은 투사 피치 및 최적의 픽셀 크기에 기초하여 K의 계산된 값들(즉, 투사 피치(
Figure 112016033780989-pct00046
)를 3.5nm의 픽셀 크기(
Figure 112016033780989-pct00138
)로 나눈 것)을 도시한다. (앞서 설명된 것처럼) 패터닝된 빔당 제시된 빔렛들의 수를 허용할 수 있는 가장 근접한 K 값은 좌측에서 5번째 컬럼에 도시된다. 6번째 및 7번째 컬럼들은 나노미터 단위의 픽셀 크기(
Figure 112016033780989-pct00047
) 및 폭(
Figure 112016033780989-pct00048
)을 도시하며, 이는 패터닝된 빔당 빔렛들의 수, 어레이 틸트 각도, 투사 피치 및 K 팩터의 각각의 조합에 대해 발생될 수 있다.
높은 K는 (스테이지 이동에 비해) 빠른 빔렛 편향 스캔 속도를 나타내며, X-방향에서의 작은 픽셀을 야기한다. 빔렛 제어 스위칭 신호가 일정한 데이터 레이트로 빔렛 블랭커 어레이에 공급되면, 픽셀은 빔렛 편향 스캔 속도의 상대적 증가로 Y-방향에서 더 커질 것이며, 이에 픽셀 형상은 X-방향에서 감소되고 Y-방향에서 커져, 대략 정사각형에서 직사각형으로 변할 것이다. 픽셀들은 빔렛 편향 스캔 속도를 변화시킴으로써 Y-방향에서의 임의의 크기를 추정할 수 있지만, 앞서 개시된 것처럼 빔렛들의 인터리빙으로 인해, 단지 X-방향에서는 오직 특정 크기들만을 추정할 수 있다.
리소그래피 머신의 바람직한 실시예에서, 머신은 각각의 빔렛들을 조절하기 위한 설비들을 생략함으로써 간략화되었다. 웨이퍼에 부과되는 하전된 전하 도즈량은 빔렛 편향 스캔 속도를 증가시킴으로써 낮아질 수 있다. 이는 빔렛 전류가 변경되지 않더라도 도즈량을 낮춘다. 결과적으로, 픽셀들은 Y-방향으로 세장형이 될 것이다. 유사하게, 도즈량은 빔렛 편향 스캔 속도를 감소시킴으로써 증가될 수 있다.
도 17a-17c는 다수의 빔렛들에 의해 타겟을 노출하는 방법의 또 다른 실시예를 개략적으로 도시한다. 이 방법은 직교 형태의 기록 전략에 적합하다. 본 방법에서, Y'-방향으로 빔렛들의 그룹이 편향되는 동안, 타겟은 X-방향으로의 상대적 이동에 의해 어레이 내 빔렛들의 그룹에 의해 노출되어, 각각의 빔렛은 Y' 방향으로 편향 스캔 길이(
Figure 112016033780989-pct00049
)를 따라 타겟을 노출하게 된다. 빔렛들 간의 Y'-방향에서의 투사 피치(
Figure 112016033780989-pct00050
)는 빔렛들의 그룹에서 각각의 빔렛의 편향 스캔 길이(
Figure 112016033780989-pct00051
)와 같거나 또는 이보다 작다.
도 17a에서, 편향 스캔 길이(
Figure 112016033780989-pct00052
)를 갖는 제 1 스캔 라인이 개략적으로 도시된다. 도 17b에서, 타겟의 노출은 각각이 빔렛이 노출된 6개의 스캔 라인을 갖도록 진행된다. 도 17c에서, 노출은 길이(
Figure 112016033780989-pct00053
)를 갖는 영역의 전체 커버리지가 위치(
Figure 112016033780989-pct00054
)에서 시작하여 얻어지도록 노출이 추가로 진행된다. 쉽게 볼 수 있듯이, 모든 빔렛들은 길이(
Figure 112016033780989-pct00055
) 내에서 타겟을 노출시키기 위해 완전히 이용될 것이다. Y'-방향에서 특정 길이, 예를 들어 도 13c에서의
Figure 112016033780989-pct00056
및 도 17c의
Figure 112016033780989-pct00057
의 노출에 대해, 빔렛 당 편향 길이는 도 12a, 도 12b, 또는 도 13a-13c의 방법의 경우보다 도 17c의 방법의 경우 더 짧다. 또한, 빔렛 편향 길이(
Figure 112016033780989-pct00058
)는 바람직하게 스티칭 에러들 및 이와 유사한 것을 방지하도록 제어된다.
도 17c의 기록 전략은 도 13c에 도시된 "단부 영역들"의 부분 커버리지(L2 및 L3)를 없애, 멀티-빔렛 시스템의 효율성이 증가시킨다. 그러나, 도 17c의 기록 전략은 동일 빔렛 에버리징 효과를 달성하지 못한다.
앞서 언급된 것처럼, 일반적으로 패턴 데이터는 가상 그리드에 대해 래스터화된다. 타겟상에 원하는 패턴을 노출하기 위해, 각각의 빔렛은 스위치 온 및 오프되거나 그렇지 않은 경우 다수의 빔렛들에 의해 노출되는 스캔 라인들의 시퀀스가 타겟상에 원하는 패턴을 형성하는 방식으로 변조될 필요가 있다.
도 17a-17c를 참조로 개시된 방법과 관련하여, 멀티-빔 시스템, 이를테면 도 4 및 도 5에 개략적으로 도시된 하전된 입자 멀티-빔 시스템들의 단일 투사 렌즈 시스템에 의해 노출되는 패턴은
Figure 112016033780989-pct00059
의 길이를 갖는 블록들로 나뉜다. 각각의 블록 내에서, 데이터는 스캔 라인들로 나뉠 수 있다. 다음 각각의 블록은 빔렛들에 할당된다.
도 18은 서로에 대해 투사 렌즈 어레이 및 빔렛 어레이의 특정 배향, 즉 다수의 빔렛들의 그룹들의 배향, 및 빔렛들에 대한 각각의 개별 그룹내의 빔렛들의 배향의 효과를 예시하는 개략도이다. 도시된 구성은 사실상 4개의 어퍼처들의 그룹들에 제공되는 본 발명에 따른 시스템의 어퍼처 어레이 엘리먼트의 부분을 표현하며, 단일 렌즈 시스템을 통하는 해당 수의 투사들을 구현한다. 표시된 것처럼 설정시, 어퍼처들의 각각의 그룹은 4개의 빔렛들을 포함하며, 그룹들 간의, 즉, 그룹들의 어퍼처들 간의 가장 짧은 거리는 그룹내의 어퍼처들의 피치보다 크다. 이러한 설정시,
Figure 112016033780989-pct00060
의 어퍼처들의 그룹들이 사용되며, 각각의 그룹은
Figure 112016033780989-pct00061
투사 렌즈들을 향해 빔렛들을 통과시킨다.
빔렛들의 배향 및 투사 렌즈들은, 기계적 스캔 방향으로의 타겟에 대한 다수의 빔렛들 및 투사 렌즈 어레이의 상대적 이동 동안, 타겟의 전체 표면 영역이 편향 스캔 방향, 일반적으로는 기계적 스캔 방향에 실질적으로 직교하는 방향으로의 빔렛들의 편향에 의해 노출될 수 있도록 구성된다.
도 18에서, 기계적 이동 방향에 대한 투사 렌즈 어레이의 배향은 빔렛 어레이의 배향과 상이하다. 본 명세서에서 표시된 X-방향은 타겟 캐리어의 이동에 대해 표시된 것인 반면, X-방향과 직교하는 Y-방향은 편향기(9)의 편향 동작에 의해 야기되는 타겟 상에서의 빔렛들에 대한 효과적인 스캔닝 방향을 표시한다.
도 19는 도 13의 타겟 노출 방법에 이용하기 위한 2-차원 어레이 내 빔렛들의 배치를 결정하기 위한 방식을 개략적으로 예시한다. 이 방법에서, 대각선의 개념은 노출 패턴을 갖는 타겟의 표면 영역을 노출하는데 이용된다. 이러한 개념으로, 제 1 방향(이를테면, 기계적 이동 방향)으로의 상대적 이동 및 제 2 방향(이를테면, 편향 스캔 방향)으로의 다수의 빔렛들의 이동은 어레이 내 빔렛들이 대각선 패턴의 인접한 스캔 라인들을 스캔하도록 이루어진다. 이론상, 빔렛들의 그룹은 이러한 기록 전략을 수행하기 위해 대각선으로 형성된 어레이로 배열될 수 있다. 실제로, 투사 렌즈 시스템들의 크기는 대각선을 따른 빔렛들의 배열이 불가능하도록 인접한 스캔 라인들 간에 원하는 거리(즉, 그리드 셀 크기)보다 훨씬 클 수 있다.
도 19에서는, 이러한 기록 전략이 대각선에 대해 동작할 뿐만 아니라, 적절한 2차원의 빔렛들의 어레이를 이용할 때도 적용될 수 있다는 것이 개략적으로 도시된다. 4개 빔렛들의 대각선(도 19에서 좌측 다이어그램)에서 시작하여, 기계적 스캔 방향을 따른 빔렛들의 재배열(도 19에서 중간 다이어그램에 도시됨)은 적절한 빔렛들의
Figure 112016033780989-pct00062
어레이(도 19에서 우측 다이어그램)를 유도한다.
빔렛들이 Y'-방향에서 투사 피치(
Figure 112016033780989-pct00063
)에 있고 X-방향에서 하나의 스캔 라인 간격만큼 이격되도록 대각선이 정렬된다(하나의 스캔 라인 간격은 타겟상에 노출되는 인접한 스캔 라인들 간의 거리이다). 다음, 빔렛들을 재정렬함으로써 빔렛들의
Figure 112016033780989-pct00064
어레이가 구성된다. 어레이 내 각각의 빔렛은 대각선의 빔렛들처럼 Y'-방향에서 동일한 투사 피치(
Figure 112016033780989-pct00065
)에 위치된다. 그러나, X-방향에 평행한 방향에서, 각각의 빔렛은 전체 수의 빔렛 간격들에 의해 대각선으로부터 변위된다(하나의 빔렛 간격은 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리이다). 어레이 내 빔렛들의 X-방향으로의 배치는 생성되는 어레이에서, 각각의 빔렛이 적어도 2개의 다른 빔렛들로부터 대략 등거리에 있도록 선택된다. 생성되는 빔렛 어레이는 가능한 정사각형, 육각형 또는 삼각형 어레이에 근사한 것이 바람직하다.
도 20a-20d는 도 19에 도시된 것처럼 구성된 2-차원
Figure 112016033780989-pct00066
어레이를 사용하여 다수의 빔렛들에 의해 타겟을 노출하는 방법을 개략적으로 예시한다. 도 20a에서는, 빔렛들의
Figure 112016033780989-pct00139
어레이 내 각각의 빔렛에 의한 제 1 스캔 라인의 부분에 대한 노출의 결과가 개략적으로 도시된다. 도 20b에서는, 각각의 빔렛이 제 2 스캔 라인의 부분을 노출하도록 타겟의 노출이 진행되며, 도 20c에서는, 9개의 스캔 라인들이 각각의 빔렛에 의해 노출되었다. 도 20d에서, 도면의 우측 상에 도시된 타겟의 전체 커버리지의 영역이 얻어지도록 노출이 추가로 진행되었다. 도 20a-20d로부터, 대각선 기록 전략이 적용될 수 있다는 것을 알 수 있을 것이다. 도 20d에서 볼 수 있듯이, 전체 커버리지의 영역에서, 어레이 내 빔렛들은 대각선 패턴으로 인접한 스캔 라인들을 스캔한다.
또한, 하기에서는 이러한 기록 전략에 대해 인식될 것이다. 스캔 라인들의 적어도 일부는 어레이의 하나 이상의 빔렛에 의해 스캔되어, 도시된 예에서, 스캔 라인들의 일부는 어레이의 제 1 빔렛에 의해 스캔 라인 거리의 제 1 부분에 걸쳐 그리고 어레이의 제 2 빔렛에 의해 스캔 라인 간격의 제 2 부분에 걸쳐 스캔될 수 있다는 것을 볼 수 있다.
도시된 실시예에서, 빔렛들은 2개의 로우 및 2개의 컬럼의 어레이로 배열되며, X-방향으로의 상대적 이동 및 Y' 방향으로의 빔렛들의 이동은 어레이 내 각각의 빔렛이 제 2 스캔 라인마다 스캔되도록 이루어진다. 또한, 스캔 라인들의 일부는 도 13d의 우측 상에서 볼 수 있듯이, 2개의 빔렛들에 의해 스캔되며, 여기서 4개의 모든 빔렛들은 타겟의 전체 커버리지를 제공하도록 타겟을 노출한다. 빔렛들의 어레이가 R개의 로우 및 C개의 컬럼의 빔렛들을 포함한다면, X-방향 및 Y'-방향으로의 이동들 간의 관계는 어레이 내 각각의 빔렛이
Figure 112016033780989-pct00068
스캔 라인마다 노출되고, (즉, 타겟의 전체 커버리지가 달성되는 영역에서) 스캔 라인들의 일부는 C개의 빔렛들에 의해 스캔되도록 구성된다. 또한, 어레이의 모든 빔렛들이 M개의 인접한 스캔 라인들 상에서 스캔되는 경우, 어레이의 각각의 빔렛은 각각
Figure 112016033780989-pct00069
스캔 라인상에 스캔된다. 이는 도 20d의 예에서 볼 수 있으며, 여기서 4개의 모든 빔렛들은 타겟의 전체 커버리지가 달성되는 영역에서 2개의 인접한 스캔 라인들 상에서 스캔되며, 어레이의 각각의 빔렛은 제 2 스캔 라인마다 스캔된다.
도 20d의 기록 전략은 몇 가지 장점을 갖는다. 도 13c의 기록 전략과 비교할 때, "단부 영역들"의 부분 커버리지가 감소되었다. 이러한 단부 영역들의 길이는, 도 13c에서처럼 빔렛의 전체 어레이의 투사 피치 대신, Y'-방향에서의 2개의 빔렛들 간의 투사 피치(
Figure 112016033780989-pct00070
)와 같다. 도 20d의 기록 전략 이외에, 빔렛들의 에버리징이 달성된다. 도 20d에 도시된 예에서, 전체 커버리지의 영역에
Figure 112016033780989-pct00071
에버리징이 제공된다(즉, 인접한 스캔 라인들을 교대로 노출하기 위해 2개의 빔렛들이 이용된다). 동일한 기록 전략은 3x 에버리징, 4x 또는 이를 초과하는 에버리징을 달성하기 위해 빔렛들의 상이한 크기 어레이와 함께 이용될 수 있다.
앞서 언급된 방법들, 즉 도 12b 및 도 13a-13c를 참조로 개시된 방법들의 실시예들에서,
Figure 112016033780989-pct00072
는 타겟상에 투사되는 빔렛 스폿 크기와 같거나 또는 이보다 작을 수 있다. 대안적으로, 타겟상에 투사되는 빔렛 스폿 크기는 다수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리보다 클 수 있다. 다수의 빔렛들을 형성하는 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있으며, 로우들 및 컬럼들 중 적어도 하나는 기계적 스캔 방향 및 편향 스캔 방향에 대해 90°가 아닌 각도로 위치된다. 대안적으로 또는 부가적으로, 다수의 빔렛들을 형성하는 어레이는, 제 1 방향에 평행한 방향에서의 어레이 내 빔렛들의 각각의 쌍 간의 투사 피치가 같도록, 제 1 방향에 대해 일정 각도에 위치될 수 있다. 로우들의 수는 컬럼들의 수와 같을 수 있다.
본 발명의 실시예들에서, 빔렛들은 하전된 입자 빔렛들, 바람직하게는 전자 빔렛들이다.
제어 유니트, 이를테면 제어 유니트(18)는 앞서 언급된 방법들의 실시예들의 실행이 가능하도록 다수의 빔렛들과 타겟 사이의 상대적 이동을 조정하도록 배열될 수 있다.
제어 유니트, 이를테면 제어 유니트(18)는 프로세서를 포함하는 컴퓨터 어셈블리, 및 프로세서에 연결되는 메모리 형태로 구현될 수 있다는 것을 이해해야 한다. 메모리는 메모리 엘리먼트들, 이를테면 하드 디스크, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 전기적으로 소거가능한 프로그램가능 판독 전용 메모리(EEPROM) 등을 포함할 수 있다. 프로세서는 컴퓨터 판독가능 매체로부터 데이터를 판독하도록 배열된 판독 유니트와 연결될 수 있다. 컴퓨터 판독가능 매체는 프로세서에 의해 실행될 때, 하전된 입자 멀티-빔렛 시스템에서 다수의 빔렛들에 의해 타겟을 노출하는 앞서 언급된 방법들의 실시예를 수행하도록 배열될 수 있다.
본 발명의 추가의 양상들은 직교 형태의 기록 전략들과 관련된다. 일 실시예에서, 다수의 빔렛들에 의해 타겟을 노출하는 방법은, 어레이로 배열되는 다수의 빔렛들을 제공하는 단계; 노출될 타겟을 제공하는 각각의 그룹에 대한 공통 수렴 포인트를 향해 빔렛들의 그룹들을 수렴시키는 단계; 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계; 빔렛들의 그룹 내 각각의 빔렛이 제 2 방향으로 편향 스캔 길이를 따라 타겟을 노출하도록, 제 1 방향과 실질적으로 직교하는 제 2 방향으로 빔렛들의 각각의 그룹을 편향시키는 단계를 포함하며, 어레이 내 빔렛들의 그룹 내의 빔렛들 간의 제 2 방향에서의 투사 피치(
Figure 112016033780989-pct00073
)는 빔렛들의 그룹 내의 각각의 빔렛의 편향 스캔 길이와 같거나 이보다 작다.
방법은 하기의 추가적 특징들 중 하나 이상을 포함할 수 있다. 투사 피치(
Figure 112016033780989-pct00074
)는 타겟상에 투사되는 빔렛 스폿 크기와 같거나 또는 이보다 작을 수 있다. 빔렛들의 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있고, 로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치된다. 빔렛들이 다수의 어레이들이 제공될 수 있다.
제 1 방향은 제 2 방향과 실질적으로 직교할 수 있다. 빔렛들의 어레이는 단일의 패터닝된 빔렛을 형성하며, 단일의 패터닝된 빔렛은 미리결정된 치수를 가지는 그리드 셀들을 갖는 래스터형 그리드에 따라 패터닝된다.
추가적 양상에서, 본 발명은, 프로세서에 의해 실행될 때, 앞서 개시된 것처럼 직교 형태의 하전된 입자 멀티-빔렛 시스템의 다수의 빔렛들에 의해 타겟을 노출하는 방법의 실시예를 수행하기 위한 컴퓨터 판독가능 매체를 포함할 수 있다.
또한, 본 발명은 다수의 빔렛들을 사용하여 타겟을 패터닝하기 위한 하전된 입자 멀티-빔렛 시스템을 포함하며, 이 시스템은, 빔렛들의 그룹들로 배열되는 다수의 빔렛들에 의해 형성되는 방사(radiation) 패턴을 제공하기 위한 빔렛 패턴 생성기; 타겟의 표면상에 빔렛들의 그룹들을 투사하기 위한 투사 렌즈 시스템들의 어레이 ―각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―; 빔렛들의 그룹 내의 각각의 빔렛이 제 2 방향으로 변조 스캔 폭을 따라 타겟을 노출하도록, 제 1 방향과 실질적으로 직교하는 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ―편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―; 및 노출될 타겟을 지지하기 위한 기판 지지 부재를 포함하며, 어레이 내 빔렛들의 그룹 내의 빔렛들 간의 제 2 방향에서의 투사 피치(
Figure 112016033780989-pct00075
)는 빔렛들의 그룹 내의 각각의 빔렛의 편향 스캔 폭과 같거나 이보다 작고, 어레이 내 빔렛들의 그룹내의 빔렛들 간의 제 2 방향에서의 투사 피치(
Figure 112016033780989-pct00076
)는 빔렛들의 그룹 내의 각각의 빔렛의 편향 스캔 폭과 같거나 또는 이보다 작다.
하전된 입자 멀티-빔렛 시스템은 하기의 추가 특징들 중 하나 이상을 포함할 수 있다. 투사 피치(
Figure 112016033780989-pct00077
)는 타겟상에 투사되는 빔렛 스폿 크기와 같거나 또는 이보다 작을 수 있다. 빔렛 패턴 생성기는, 하전된 입자 빔을 생성하기 위한 적어도 하나의 하전된 입자 소스; 생성된 빔으로부터의 서브-빔들 또는 개별 빔렛들을 한정하는 어퍼처 어레이; 각각의 그룹에 대한 공통 수렴 포인트를 향해 빔렛들의 그룹들을 수렴시키기 위한 빔렛 조정기(manipulator); 및 빔렛들의 그룹들 내 빔렛들을 제어가능하게 블랭킹하기 위한 빔렛 블랭커를 포함할 수 있다. 빔렛들의 각각의 그룹에 대한 공통 수렴 포인트는 투사 렌즈 시스템들 중 하나에 해당하는 포인트일 수 있다. 빔렛들의 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있으며, 로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치된다. 다수의 빔렛들은 다수의 어레이들로 배열될 수 있다. 제 1 방향은 제 2 방향과 실질적으로 직교할 수 있다. 다수의 빔렛들은 단일의 패터닝된 빔렛을 형성할 수 있으며, 단일의 패터닝된 빔렛은 미리결정된 치수를 가지는 그리드 셀들을 갖는 래스터형 그리드에 따라 패터닝된다.
본 발명의 추가의 양상들은 대각선 형태 기록 전략에 관한 것이다. 실시예에서, 다수의 빔렛들에 의해 타겟을 노출하는 방법은, N개의 빔렛들의 어레이로 배열되는 다수의 빔렛들을 제공하는 단계; 노출될 타겟을 제공하는 단계; 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계; 빔렛들이 타겟상의 다수의 스캔 라인들을 노출하도록, 제 2 방향으로 다수의 빔렛들을 이동시키는 단계를 포함하며, 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은 어레이 내 빔렛들이 대각선 패턴으로 인접한 스캔 라인들을 노출하도록 이루어진다.
방법은 하기의 추가적 특징들 중 하나 이상을 포함할 수 있다. 각각의 스캔 라인은 스캔 라인 거리에 걸쳐 제 2 방향으로 스캔될 수 있고, 스캔 라인들 중 적어도 일부는 어레이 중 하나 이상의 빔렛에 의해 스캔된다. 스캔 라인들 중 적어도 일부는 어레이의 제 1 빔렛에 의해 스캔 라인 거리의 제 1 부분에 걸쳐 그리고 어레이의 제 2 빔렛에 의해 스캔 라인 거리의 제 2 부분에 걸쳐 스캔될 수 있다. 어레이의 N개의 빔렛들 모두는 M개의 인접한 스캔 라인들 상에서 스캔될 수 있고, 어레이의 각각의 빔렛은 각각 M번째 스캔 라인 상에서 스캔된다.
대각선 형태의 기록 전략의 또 다른 실시예에서, 다수의 빔렛들에 의해 타겟을 노출하는 방법은, 빔렛들의 R개의 로우 및 C개의 컬럼의 어레이로 배열되는 다수의 빔렛들을 제공하는 단계; 노출될 타겟을 제공하는 단계; 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계; 빔렛들이 타겟상의 다수의 스캔 라인들을 노출하도록, 제 2 방향으로 다수의 빔렛들을 이동시키는 단계를 포함하며, 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 다수의 빔렛들의 이동은 어레이 내 각각의 빔렛이 R번째 스캔 라인 마다 스캔되고, 스캔 라인들의 일부가 C개의 빔렛들을 스캔되도록 이루어진다.
방법은 하기의 추가 특징들 중 하나 이상을 포함할 수 있다. 다수의 빔렛들은 어레이 내 빔렛들 간의 제 2 방향에서의 투사 피치(
Figure 112016033780989-pct00078
)의 적어도 대략적으로 R배의 거리에 걸쳐 제 2 방향으로 이동될 수 있다. 각각의 스캔 라인은 스캔 라인 거리에 걸쳐 제 2 방향으로 스캔될 수 있고, 어레이 내 각각의 빔렛은 대략적으로 스캔 라인 거리의 1/C과 같은 스캔 라인의 부분을 스캔한다. 각각의 스캔 라인은 빔렛들의 어레이의 C개의 빔렛들에 의해 스캔될 수 있다.
대각선 형태의 기록 전략의 또 다른 실시예에서, 다수의 빔렛들에 의해 타겟을 노출하는 방법은, 어레이로 배열되는 다수의 빔렛들을 제공하는 단계; 노출될 타겟을 제공하는 단계; 다수의 빔렛들과 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계; 및 빔렛들이 타겟상의 다수의 스캔 라인들을 노출하도록, 제 2 방향으로 다수의 빔렛들을 이동시키는 단계를 포함하며, 인접한 스캔 라인들은 스캔 라인 간격만큼 이격되며 동일 빔렛에 의해 노출되는 후속 스캔 라인들은 빔렛 간격만큼 이격되며, 어레이 내 각각의 빔렛은 대각선으로부터 제 1 방향과 평행한 방향으로 전체 수의 빔렛 간격들로 그리고 제 2 방향에서 투사 피치(
Figure 112016033780989-pct00079
)에 위치되어, 각각의 빔렛은 적어도 2개의 다른 빔렛들로부터 대략 등거리이며, 대각선은 제 1 방향으로는 하나의 스캔 라인 간격만큼 그리고 제 2 방향으로는 투사 피치(
Figure 112016033780989-pct00080
)만큼 이격된 포인트들과 정렬된다.
앞서 개시된 것처럼, 대각선 형태의 기록 전략의 실시예들은 하기의 특징들 중 하나 이상을 포함할 수 있다. 어레이의 빔렛들 간의 제 1 방향에서의 투사 피치(
Figure 112016033780989-pct00081
)는 타겟상에 투사되는 빔렛 스폿 크기와 같거나 또는 이보다 작을 수 있다. 빔렛들의 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있으며, 로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치된다. 빔렛들의 다수의 어레이들이 제공될 수 있다. 제 1 방향은 제 2 방향과 실질적으로 직교할 수 있다. 빔렛들의 어레이는 단일 패턴 빔렛을 형성할 수 있으며, 단일 패턴 빔렛은 미리결정된 치수를 가지는 그리드 셀들을 갖는 래스터형 그리드에 따라 패터닝된다.
삭제
추가 양상에서, 본 발명은, 프로세서에 의해 실행될 때, 앞서 개시된 것처럼 대각선 형태의 하전된 입자 멀티-빔렛 시스템의 다수의 빔렛들에 의해 타겟을 노출하는 방법의 실시예를 수행하기 위한 컴퓨터 판독가능 매체를 포함할 수 있다.
또한 본 발명은 다수의 빔렛들을 사용하여 타겟을 패터닝하기 위한 하전된 입자 멀티-빔렛 시스템을 포함한다. 하전된 입자 멀티-빔렛 시스템은, N개의 빔렛들의 어레이로 배열되는 다수의 빔렛들에 의해 형성되는 방사상 패턴을 제공하기 위한 빔렛 패턴 생성기; 타겟의 표면상에 다수의 빔렛들을 투사하기 위한 투사 렌즈 시스템들의 어레이 ―각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―; 빔렛들의 그룹 내의 각각의 빔렛이 제 2 방향으로 변조 스캔 폭을 따라 타겟을 노출하도록, 제 1 방향과 실질적으로 직교하는 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ―편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―; 노출될 타겟을 지지하기 위한 기판 지지 부재; 및 어레이 내 빔렛들이 대각선 패턴으로 인접한 스캔 라인들을 스캔하도록, 기판 지지 부재와 다수의 빔렛들 사이에서 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 배열된 제어 유니트를 포함한다.
하전된 입자 멀티-빔렛 시스템은 하기의 추가 특징들 중 하나 이상을 포함할 수 있다. 제어 유니트는 추가로, 스캔 라인 거리에 걸쳐 제 2 방향으로의 스캐닝을 제어하고, 스캔 라인들 중 적어도 일부가 어레이의 하나 이상의 빔렛에 의해 스캔되게 제어하도록 배열될 수 있다. 제어 유니트는 추가로, 스캔 라인들 중 적어도 일부가 어레이의 제 1 빔렛에 의해 스캔 라인 거리의 제 1 부분에 걸쳐 그리고 어레이의 제 2 빔렛에 의해 스캔 라인 거리의 제 2 부분에 걸쳐 스캔되게 제어하도록 배열될 수 있다. 어레이의 N개의 빔렛들 모두는 M개의 인접한 스캔 라인들 상에서 스캔될 수 있고, 어레이의 각각의 빔렛은 각각 M번째 스캔 라인상에서 스캔된다.
또 다른 실시예에서, 다수의 빔렛들을 이용하는 타겟을 패터닝하기 위한 하전된 입자 멀티-빔렛 시스템은, 빔렛들의 그룹들로 배열되는 다수의 빔렛들에 의해 형성되는 방사상 패턴을 제공하기 위한 빔렛 패턴 생성기 ―빔렛들의 그룹은 빔렛들의 R개 로우들 및 C개 컬럼들의 어레이로 배열됨―; 타겟의 표면상에 빔렛들의 그룹들을 투사하기 위한 투사 렌즈 시스템들의 어레이 ― 각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―; 빔렛들의 그룹내의 각각의 빔렛이 제 2 방향으로 변조 스캔 폭을 따라 타겟을 노출하도록, 실질적으로 제 1 방향과 직교하는 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ― 편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―; 노출될 타겟을 지지하기 위한 기판 지지 부재; 및 어레이 내 각각의 빔렛이 R번째 스캔 라인마다 스캔되고 스캔 라인들의 일부가 C개 빔렛들에 의해 스캔되도록, 기판 지지 부재와 다수의 빔렛들 사이에서 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 배열되는 제어 유니트를 포함한다.
하전된 입자 멀티-빔렛 시스템은 하기의 추가적 특징들 중 하나 이상을 포함할 수 있다. 제어 유니트는 추가로, 어레이 내 빔렛들 간의 제 2 방향으로의 투사 피치(
Figure 112016033780989-pct00082
)의 적어도 대략 R배의 거리에 걸쳐 제 2 방향으로 다수의 빔렛들을 이동시키도록 배열될 수 있다. 제어 유니트는 추가로, 각각의 스캔 라인이 스캔 라인 거리에 걸쳐 제 2 방향으로 스캔되고 어레이 내 각각의 빔렛이 스캔 라인 거리의 대략 1/C와 같은 스캔 라인의 부분을 스캔하도록, 기판 지지 부재와 다수의 빔렛들 사이에서 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 추가로 배열될 수 있다. 각각의 스캔 라인은 빔렛들의 어레이의 C개 빔렛들에 의해 스캔될 수 있다.
또 다른 실시예에서, 다수의 빔렛들을 이용하여 타겟을 패터닝하기 위한 하전된 입자 멀티-빔렛 시스템은, 하전된 입자 빔을 생성하기 위한 하전된 입자 소스; 생성된 빔으로부터 빔렛들의 그룹들을 한정하기 위한 빔렛 어퍼처 어레이; 제 2 방향으로 빔렛들의 그룹을 편향시키기 위한 편향기 어레이 ―편향기 어레이는 다수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들을 편향시키도록 배열됨―; 타겟의 표면상에 빔렛들의 그룹들을 투사하기 위한 투사 렌즈 시스템들의 어레이 ―각각의 투사 렌즈 시스템은 빔렛들의 그룹과 대응됨―; 노출될 기판을 지지하기 위한 기판 지지체; 및 빔렛들이 타겟상의 다수의 스캔 라인들을 노출하도록, 기판 지지체와 다수의 빔렛들 사이에서 제 1 방향으로의 상대적 이동 및 제 2 방향으로의 빔렛들의 그룹의 이동을 조정하도록 배열된 제어 유니트를 포함하며, 인접한 스캔 라인들은 스캔 라인 간격만큼 이격되며 동일 빔렛에 의해 노출되는 후속 스캔 라인들은 빔렛 간격만큼 이격되며, 타겟상에 투사되는 빔렛들의 각각의 그룹은 어레이로 배열되며, 어레이 내 각각의 빔렛은 대각선으로부터 제 1 방향과 평행한 방향으로 전체 수의 빔렛 간격들로 그리고 제 2 방향으로 투사 피치(
Figure 112016033780989-pct00083
)에 위치되어, 각각의 빔렛은 적어도 2개의 다른 빔렛들로부터 대략 등거리이며, 대각선은 제 1 방향으로 하나의 스캔 라인 간격만큼 그리고 제 2 방향으로 투사 피치(
Figure 112016033780989-pct00084
)만큼 이격되는 포인트들과 정렬된다.
앞서 개시된 것처럼 하전된 입자 멀티-빔렛 시스템의 실시예들은 하기의 특징들 중 하나 이상을 포함할 수 있다. 투사 피치(
Figure 112016033780989-pct00085
)는 타겟상에 투사되는 빔렛 스폿 크기와 같거나 이보다 작을 수 있다. 빔렛 패턴 생성기는, 하전된 입자 빔을 생성하기 위한 적어도 하나의 하전된 입자 소스; 생성된 빔으로부터 개별 빔렛들 또는 서브-빔들을 한정하는 어퍼처 어레이; 각각의 그룹에 대한 공통 수렴 포인트를 향해 빔렛들의 그룹들을 수렴시키기 위한 빔렛 조정기; 및 빔렛들의 그룹들 내의 빔렛들을 제어가능하게 블랭킹하기 위한 빔렛 블랭커를 포함한다. 빔렛들의 각각의 그룹에 대한 공통 수렴 포인트는 투사 렌즈 시스템들 중 하나에 대응하는 포인트일 수 있다. 빔렛들의 어레이는 다수의 로우들 및 다수의 컬럼들을 포함할 수 있으며, 로우들 및 컬럼들 중 적어도 하나는 제 1 방향 및 제 2 방향에 대해 90°가 아닌 각도로 위치되며, 다수의 빔렛들은 다수의 어레이들로 배열된다. 제 1 방향은 실질적으로 제 2 방향과 직교할 수 있다. 다수의 빔렛들은 단일 패턴 빔렛을 형성할 수 있으며, 단일 패턴 빔렛은 미리결정된 치수를 가지는 그리드 셀들을 갖는 래스터형 그리드에 따라 패터닝된다.
본 발명은 앞서 개시된 특정 실시예들을 참조로 개시되었다. 이러한 실시예들은 본 발명의 사상 및 범주를 이탈하지 않고 당업자들에게 잘 알려진 다양한 변형들 및 대안적 형태들로 구현될 수 있다는 것이 인식될 것이다. 따라서, 특정 실시예들이 개시되었지만, 이들은 단지 하기 첨부되는 청구항들에 정의되는 본 발명의 범주에 대한 단지 예들이며 이를 제한하는 것은 아니다.

Claims (37)

  1. 복수의 하전된 입자 빔렛들(beamlets)에 의해 타겟을 노출하는 방법으로서,
    - 2차원 어레이로 배열되는 복수의 빔렛들을 제공하는 단계;
    - 노출될 타겟을 제공하는 단계;
    - 상기 복수의 빔렛들과 상기 타겟 사이에서 제 1 방향으로의 상대적 이동(relative movement)을 생성하는 단계;
    - 각각의 빔렛이 상기 타겟 상에서 복수의 평행한 스캔 라인들을 노출하도록, 상기 제 1 방향으로의 상대적 이동과 동시에 제 2 방향으로 상기 복수의 빔렛들을 편향시키는 단계
    를 포함하며, 상기 제 1 방향으로의 상대적 이동 및 상기 제 2 방향으로의 상기 복수의 빔렛들의 편향은, 인접한 평행 스캔 라인들이 상이한 빔렛들에 의해 노출되도록, 그리고 상기 복수의 빔렛들에 의해 노출되는 인접한 평행 스캔 라인들 간의 거리가 상기 어레이 내 상기 복수의 빔렛들의 2개의 인접한 빔렛들 간의 상기 제 1 방향에서의 투사 피치(
    Figure 112016033780989-pct00086
    )와 동일하거나 더 작고 상기 어레이 내 상기 복수의 빔렛들의 2개의 인접한 빔렛들 간의 피치(Pb)보다 작도록 이루어지는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  2. 제 1 항에 있어서,
    상기 복수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리는 상기 투사 피치(
    Figure 112016033780989-pct00140
    )를 K로 나눈 것과 같고, K는 1보다 큰 양의 정수인, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 방향으로의 상대적 이동 및 상기 제 2 방향으로의 상기 복수의 빔렛들의 편향은 추가로, 상기 빔렛들의 어레이 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리가 상기 제 1 방향에서의 상기 어레이의 투사 크기보다 작도록 이루어지는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  4. 제 3 항에 있어서,
    상기 빔렛들의 어레이 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는
    Figure 112016033780989-pct00088
    와 같으며,
    Figure 112016033780989-pct00089
    은 1이 아닌 (N-1)의 팩터이며, N은 상기 어레이 내 빔렛들의 수인, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  5. 복수의 하전된 입자 빔렛들에 의해 타겟을 노출하는 방법으로서,
    - 2차원 어레이로 배열되는 복수의 빔렛들을 제공하는 단계;
    - 노출될 타겟을 제공하는 단계;
    - 상기 복수의 빔렛들과 상기 타겟 사이에서 제 1 방향으로의 상대적 이동을 생성하는 단계;
    - 각각의 빔렛이 상기 타겟 상에서 복수의 평행한 스캔 라인들을 노출하도록, 상기 제 1 방향으로의 상대적 이동과 동시에 제 2 방향으로 복수의 스캔들의 상기 복수의 빔렛들을 제 2 방향으로 편향시키는 단계
    를 포함하며, 상기 제 1 방향으로의 상대적 이동 및 상기 제 2 방향으로의 상기 복수의 빔렛들의 편향은, 상기 빔렛들의 어레이 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리가 상기 제 1 방향에서의 상기 어레이의 투사 크기보다 작아, 제 2 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들이 제 1 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들과 인터리빙되도록 이루어지는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  6. 제 5 항에 있어서,
    상기 빔렛들의 어레이내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는
    Figure 112016033780989-pct00090
    와 같으며, Pproj,X는 상기 어레이의 빔렛들 간의 제 1 방향에서의 투사 피치이고,
    Figure 112016033780989-pct00091
    은 1이 아닌 (N-1)의 팩터이며, N은 상기 어레이 내 빔렛들의 수인, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  7. 제 1 항 또는 제 5 항에 있어서,
    상기 제 1 방향으로의 상대적 이동은 일정한 속도를 갖는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  8. 제 1 항 또는 제 5 항에 있어서,
    상기 방법은 상기 타겟 상에 가상 그리드를 한정하는(defining) 단계를 더 포함하며, 상기 그리드는 각각의 빔렛들에 의해 상기 타겟을 노출하는 또는 노출하지 않는 위치들을 제공하며, 상기 복수의 빔렛들은 그룹들로 나뉘며, 빔렛들의 각각의 그룹은 상기 그룹의 빔렛들이 오버랩되지 않도록 어레이로 배열되며, 이로써 상기 빔렛들의 어레이가 상기 그리드의 위치들의 어레이와 대응하게 되는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  9. 제 1 항에 있어서,
    상기 빔렛들의 어레이는 복수의 로우(row)들 및 복수의 컬럼(column)들을 포함하며, 상기 로우들 및 컬럼들 중 적어도 하나는 상기 제 1 방향 및 상기 제 2 방향에 대해 90°가 아닌 각도로 위치되는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  10. 제 1 항 또는 제 5 항에 있어서,
    상기 빔렛들의 어레이는 단일 패턴 빔렛을 형성하며, 상기 단일 패턴 빔렛은 미리결정된 치수를 가지는 그리드 셀들을 갖는 래스터형 그리드(rasterized grid)에 따라 패터닝되는, 복수의 하전된 빔렛들에 의해 타겟을 노출하는 방법.
  11. 프로세서에 의해 실행될 때, 제 1 항, 제 2 항, 제 5 항, 제 6 항 또는 제 9 항에 따른 하전된 입자 멀티-빔렛 시스템에서 복수의 빔렛들에 의해 타겟을 노출하는 방법을 실행하기 위한 컴퓨터 판독가능 매체.
  12. 복수의 빔렛들을 이용하여 타겟(11)을 노출하기 위한 하전된 입자 멀티-빔렛 시스템으로서,
    - 빔렛들(23)의 그룹들로 배열되는 복수의 빔렛들(22)에 의해 형성되는 노출 패턴을 제공하기 위한 빔렛 패턴 생성기(1,4,5,6,8; 1,4A,4B,5A,5B,6,8; 1,4C,4D,5,6,8);
    - 상기 타겟(11)의 표면 상에 상기 빔렛들(23)의 그룹들을 투사하기 위한 투사 렌즈 시스템들(10)의 어레이 ― 각각의 투사 렌즈 시스템은 빔렛들(23)의 그룹과 대응됨 ―;
    - 제 2 방향으로 빔렛들(23)의 그룹을 편향시키기 위한 편향기 어레이(9) ― 상기 편향기 어레이(9)는 복수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들(23)을 편향시키도록 배열됨―;
    - 노출될 상기 타겟(11)을 지지하기 위한 기판 지지 부재(16);
    - 인접한 평행 스캔 라인들이 상이한 빔렛들에 의해 노출되도록 그리고 상기 복수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리가 상기 어레이 내 상기 복수의 빔렛들의 2개의 인접한 빔렛들(22) 간의 제 1 방향에서의 투사 피치(
    Figure 112016033780989-pct00093
    )와 동일하거나 더 작고 상기 어레이 내 상기 복수의 빔렛들의 2개의 인접한 빔렛들 간의 피치(Pb)보다 작도록, 상기 제 1 방향으로의 상기 기판 지지 부재(16)와 상기 복수의 빔렛들 간의 상대적 이동 및 상기 제 1 방향으로의 상대적 이동과 동시에, 상기 제 2 방향으로의 상기 빔렛들(23)의 그룹의 편향을 조정하도록 배열되는 제어 유니트(18)
    를 포함하는, 복수의 빔렛들을 이용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템.
  13. 제 12 항에 있어서,
    상기 복수의 빔렛들에 의해 노출되는 인접한 스캔 라인들 간의 거리는 상기 투사 피치(
    Figure 112016033780989-pct00141
    )를 K로 나눈 것과 같고, K는 1보다 큰 양의 정수인, 복수의 빔렛들을 이용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 복수의 빔렛들 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리는 상기 제 1 방향에서의 상기 어레이의 투사 크기보다 작은, 복수의 빔렛들을 이용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템.
  15. 복수의 빔렛들을 이용하여 타겟(11)을 노출하기 위한 하전된 입자 멀티-빔렛 시스템으로서,
    - 빔렛들(23)의 그룹들로 배열되는 복수의 빔렛들(22)에 의해 형성되는 노출 패턴을 제공하기 위한 빔렛 패턴 생성기(1,4,5,6,8 ;1,4A,4B,5A,5B,6,8; 1,4C,4D,5,6,8);
    - 상기 타겟(11)의 표면 상에 상기 빔렛들(23)의 그룹들을 투사하기 위한 투사 렌즈 시스템들(10)의 어레이 ― 각각의 투사 렌즈 시스템은 빔렛들(23)의 그룹과 대응됨―;
    - 제 2 방향으로 빔렛들(23)의 그룹을 편향시키기 위한 편향기 어레이(9) ― 상기 편향기 어레이(9)는 복수의 편향기들을 포함하며, 각각의 편향기는 해당 그룹의 빔렛들(23)을 편향시키도록 배열됨―;
    - 노출될 상기 타겟(11)을 지지하기 위한 기판 지지 부재(16);
    - 상기 빔렛들의 어레이 내의 동일 빔렛에 의해 노출되는 후속 스캔 라인들 간의 거리가 제 1 방향에서의 어레이의 투사 크기보다 작아 제 2 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들이 제 1 스캔으로부터의 하나 이상의 빔렛들의 스캔 라인들과 인터리빙되도록, 상기 제 1 방향으로의 상기 기판 지지 부재(16)와 상기 복수의 빔렛들 간의 상대적 이동 및 상기 제 2 방향으로의 상기 빔렛들(23)의 그룹의 편향을 조정하도록 배열되는 제어 유니트(18)
    를 포함하는, 복수의 빔렛들을 이용하여 타겟을 노출하기 위한 하전된 입자 멀티-빔렛 시스템.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
KR1020117000139A 2008-06-04 2009-06-04 타겟을 노출하는 방법 및 시스템 KR101647768B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US5859608P 2008-06-04 2008-06-04
US61/058,596 2008-06-04
US17976109P 2009-05-20 2009-05-20
US61/179,761 2009-05-20

Publications (2)

Publication Number Publication Date
KR20110030537A KR20110030537A (ko) 2011-03-23
KR101647768B1 true KR101647768B1 (ko) 2016-08-11

Family

ID=41076766

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000139A KR101647768B1 (ko) 2008-06-04 2009-06-04 타겟을 노출하는 방법 및 시스템

Country Status (6)

Country Link
US (2) US8502174B2 (ko)
EP (1) EP2297766B1 (ko)
JP (1) JP5743886B2 (ko)
KR (1) KR101647768B1 (ko)
CN (1) CN102113083B (ko)
WO (1) WO2009147202A1 (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
CN102460633B (zh) 2009-05-20 2014-12-17 迈普尔平版印刷Ip有限公司 用于光刻系统的图案数据转换器
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8378319B2 (en) 2010-03-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for generating direct-write pattern
JP5988537B2 (ja) * 2010-06-10 2016-09-07 株式会社ニコン 荷電粒子線露光装置及びデバイス製造方法
US8884255B2 (en) 2010-11-13 2014-11-11 Mapper Lithography Ip B.V. Data path for lithography apparatus
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
US8610082B2 (en) * 2011-03-25 2013-12-17 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
JP5951753B2 (ja) 2011-04-22 2016-07-13 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィ機のクラスタのためのネットワークアーキテクチャおよびプロトコル
NL2007604C2 (en) * 2011-10-14 2013-05-01 Mapper Lithography Ip Bv Charged particle system comprising a manipulator device for manipulation of one or more charged particle beams.
TWI486723B (zh) 2011-04-28 2015-06-01 Mapper Lithography Ip Bv 在微影系統中處理基板的方法
JP2013069813A (ja) 2011-09-21 2013-04-18 Canon Inc 描画装置、および、物品の製造方法
TW201330705A (zh) 2011-09-28 2013-07-16 Mapper Lithography Ip Bv 電漿產生器
DE102012000650A1 (de) * 2012-01-16 2013-07-18 Carl Zeiss Microscopy Gmbh Verfahren und vorrichtung zum abrastern einer oberfläche eines objekts mit einem teilchenstrahl
JP6087506B2 (ja) * 2012-01-31 2017-03-01 キヤノン株式会社 描画方法及び物品の製造方法
US9665014B2 (en) 2012-03-08 2017-05-30 Mapper Lithography Ip B.V. Charged particle lithography system with alignment sensor and beam measurement sensor
US10586625B2 (en) 2012-05-14 2020-03-10 Asml Netherlands B.V. Vacuum chamber arrangement for charged particle beam generator
US9653261B2 (en) 2012-05-14 2017-05-16 Mapper Lithography Ip B.V. Charged particle lithography system and beam generator
US11348756B2 (en) 2012-05-14 2022-05-31 Asml Netherlands B.V. Aberration correction in charged particle system
US8987689B2 (en) * 2012-05-31 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient scan for E-beam lithography
JP6087570B2 (ja) 2012-10-15 2017-03-01 キヤノン株式会社 描画装置、および物品の製造方法
EP2757571B1 (en) 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
US8912489B2 (en) * 2013-03-04 2014-12-16 Globalfoundries Inc. Defect removal process
JP6195349B2 (ja) * 2013-04-26 2017-09-13 キヤノン株式会社 描画装置、描画方法、および物品の製造方法
US9257260B2 (en) * 2013-04-27 2016-02-09 Kla-Tencor Corporation Method and system for adaptively scanning a sample during electron beam inspection
NL2010760C2 (en) * 2013-05-03 2014-11-04 Mapper Lithography Ip Bv Beam grid layout.
JP6230295B2 (ja) * 2013-06-26 2017-11-15 キヤノン株式会社 描画装置及び物品の製造方法
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US9922801B2 (en) 2013-08-23 2018-03-20 Mapper Lithography Ip B.V. Drying apparatus for use in a lithography system
CN107507750B (zh) 2013-11-14 2020-02-07 Asml荷兰有限公司 多电极堆叠布置
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
EP3218926A2 (en) 2014-11-14 2017-09-20 Mapper Lithography IP B.V. Load lock system and method for transferring substrates in a lithography system
US9484188B2 (en) 2015-03-11 2016-11-01 Mapper Lithography Ip B.V. Individual beam pattern placement verification in multiple beam lithography
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) * 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
EP3093869B1 (en) * 2015-05-12 2018-10-03 IMS Nanofabrication GmbH Multi-beam writing using inclined exposure stripes
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10460905B2 (en) 2015-09-23 2019-10-29 Kla-Tencor Corporation Backscattered electrons (BSE) imaging using multi-beam tools
JP6684586B2 (ja) * 2015-12-22 2020-04-22 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム装置
US10096450B2 (en) 2015-12-28 2018-10-09 Mapper Lithography Ip B.V. Control system and method for lithography apparatus
JP2017139339A (ja) * 2016-02-04 2017-08-10 株式会社アドバンテスト 露光装置
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6781582B2 (ja) * 2016-07-25 2020-11-04 株式会社ニューフレアテクノロジー 電子ビーム検査装置及び電子ビーム検査方法
WO2018063325A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Aperture array rotation to enhance ebeam process margin
JP7078632B2 (ja) 2017-01-09 2022-05-31 ティーイーエル エピオン インコーポレイテッド 補償位置特定処理装置および方法
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP6854215B2 (ja) * 2017-08-02 2021-04-07 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
NL2022156B1 (en) 2018-12-10 2020-07-02 Asml Netherlands Bv Plasma source control circuit
JP2022514827A (ja) * 2018-12-31 2022-02-16 エーエスエムエル ネザーランズ ビー.ブイ. サンプルをスキャンするための荷電粒子ビームシステム
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11869746B2 (en) * 2019-07-25 2024-01-09 Nuflare Technology, Inc. Multi-beam writing method and multi-beam writing apparatus
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
DE102020115183A1 (de) 2020-06-08 2021-12-09 Carl Zeiss Multisem Gmbh Teilchenstrahlsystem mit Multiquellensystem
CN112417646B (zh) * 2020-10-20 2023-11-17 湖南华曙高科技股份有限公司 基于奇数多激光器的扫描路径规划方法、装置以及三维物体制造设备
CN113296371A (zh) * 2021-05-20 2021-08-24 京东方科技集团股份有限公司 一种曝光方法、装置及电子设备
EP4102535A1 (en) * 2021-06-08 2022-12-14 ASML Netherlands B.V. Charged particle apparatus and method
EP4352773A1 (en) * 2021-06-08 2024-04-17 ASML Netherlands B.V. Charged particle apparatus and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2751717B2 (ja) * 1991-03-13 1998-05-18 富士通株式会社 荷電粒子ビーム露光方法及び荷電粒子ビーム露光装置
EP0508151B1 (en) * 1991-03-13 1998-08-12 Fujitsu Limited Charged particle beam exposure system and charged particle beam exposure method
US5386221A (en) * 1992-11-02 1995-01-31 Etec Systems, Inc. Laser pattern generation apparatus
US5528048A (en) * 1994-03-15 1996-06-18 Fujitsu Limited Charged particle beam exposure system and method
US6133986A (en) * 1996-02-28 2000-10-17 Johnson; Kenneth C. Microlens scanner for microlithography and wide-field confocal microscopy
JP3927620B2 (ja) * 1996-06-12 2007-06-13 キヤノン株式会社 電子ビーム露光方法及びそれを用いたデバイス製造方法
JP3728015B2 (ja) * 1996-06-12 2005-12-21 キヤノン株式会社 電子ビーム露光システム及びそれを用いたデバイス製造方法
US6107636A (en) * 1997-02-07 2000-08-22 Canon Kabushiki Kaisha Electron beam exposure apparatus and its control method
SE509062C2 (sv) * 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
JP4077933B2 (ja) * 1998-06-24 2008-04-23 キヤノン株式会社 マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
JP3836614B2 (ja) * 1998-12-28 2006-10-25 日本電子株式会社 描画装置
US6537738B1 (en) 2000-08-08 2003-03-25 Ball Semiconductor, Inc. System and method for making smooth diagonal components with a digital photolithography system
EP1446702A2 (en) * 2001-11-07 2004-08-18 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
TW521320B (en) * 2001-12-10 2003-02-21 Via Tech Inc Device and method for substrate exposure
SE0104238D0 (sv) 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US6768125B2 (en) * 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
JP4017935B2 (ja) * 2002-07-30 2007-12-05 株式会社日立ハイテクノロジーズ マルチビーム型電子線描画方法及び装置
EP3671804A1 (en) * 2002-10-30 2020-06-24 ASML Netherlands B.V. Electron beam exposure system
US7106417B1 (en) * 2003-03-21 2006-09-12 Silicon Light Machines Corporation Tiling of modulator arrays
ATE381728T1 (de) * 2003-07-30 2008-01-15 Mapper Lithography Ip Bv Modulator-schaltkreise
JP4508743B2 (ja) 2004-03-31 2010-07-21 日立ビアメカニクス株式会社 パターン露光方法およびパターン露光装置
GB2414111B (en) * 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
CN101019203B (zh) * 2004-05-17 2010-12-22 迈普尔平版印刷Ip有限公司 带电粒子束曝光系统
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
JP2007003861A (ja) 2005-06-24 2007-01-11 Fujifilm Holdings Corp 露光方法および装置
US8890095B2 (en) * 2005-07-25 2014-11-18 Mapper Lithography Ip B.V. Reliability in a maskless lithography system
JP2007216641A (ja) * 2006-02-20 2007-08-30 Seiko Epson Corp 印刷方法
JP2010247519A (ja) * 2009-03-24 2010-11-04 Seiko Epson Corp 印刷装置

Also Published As

Publication number Publication date
US20140042334A1 (en) 2014-02-13
CN102113083A (zh) 2011-06-29
US8502174B2 (en) 2013-08-06
CN102113083B (zh) 2016-04-06
KR20110030537A (ko) 2011-03-23
WO2009147202A1 (en) 2009-12-10
US20110073782A1 (en) 2011-03-31
JP5743886B2 (ja) 2015-07-01
JP2011523786A (ja) 2011-08-18
EP2297766B1 (en) 2016-09-07
EP2297766A1 (en) 2011-03-23
US8859983B2 (en) 2014-10-14

Similar Documents

Publication Publication Date Title
KR101647768B1 (ko) 타겟을 노출하는 방법 및 시스템
US8598544B2 (en) Method of generating a two-level pattern for lithographic processing and pattern generator using the same
US9934943B2 (en) Beam grid layout
EP0895651B1 (en) Raster shaped beam writing method for pattern generation
US9053906B2 (en) Method for charged-particle multi-beam exposure
JP6147528B2 (ja) マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
US6274290B1 (en) Raster scan gaussian beam writing strategy and method for pattern generation
US9001387B2 (en) Drawing apparatus, data processing method, and method of manufacturing article that transform partially overlapping regions using different transformation rules
TWI460548B (zh) 用於曝光靶材之方法和系統

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant