KR20020081328A - 저온 결합 방법 및 결합된 구조 - Google Patents

저온 결합 방법 및 결합된 구조 Download PDF

Info

Publication number
KR20020081328A
KR20020081328A KR1020027010561A KR20027010561A KR20020081328A KR 20020081328 A KR20020081328 A KR 20020081328A KR 1020027010561 A KR1020027010561 A KR 1020027010561A KR 20027010561 A KR20027010561 A KR 20027010561A KR 20020081328 A KR20020081328 A KR 20020081328A
Authority
KR
South Korea
Prior art keywords
bonding
forming
wafer
bond
etching
Prior art date
Application number
KR1020027010561A
Other languages
English (en)
Inventor
킨-이 통
가이우스 길만 주니어. 파운틴
폴 엠. 엔퀴스트
Original Assignee
집트로닉스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24009686&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20020081328(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 집트로닉스, 인크. filed Critical 집트로닉스, 인크.
Publication of KR20020081328A publication Critical patent/KR20020081328A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/75Apparatus for connecting with bump connectors or layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8301Cleaning the layer connector, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • H01L2224/83031Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • H01L2224/8309Vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • H01L2224/83095Temperature settings
    • H01L2224/83099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83909Post-treatment of the layer connector or bonding area
    • H01L2224/83948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01061Promethium [Pm]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01084Polonium [Po]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01093Neptunium [Np]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/012Bonding, e.g. electrostatic for strain gauges
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/974Substrate surface preparation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • Y10T156/1002Methods of surface bonding and/or assembly therefor with permanent bending or reshaping or surface deformation of self sustaining lamina
    • Y10T156/1043Subsequent to assembly

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

저온 또는 실온에서의 결합 방법은 세정 또는 에칭에 의한 표면 세정 및 활성화 단계를 포함한다. 상기 방법은 또한 계면 중합의 부산물을 제거하여 역 중합 반응을 방지함으로써, 실리콘, 질화규소 및 SiO2와 같은 재료를 실온에서 화학 결합시키는 단계를 포함할 수도 있다. 결합시킬 표면들은 고도의 평활도 및 평면도로 폴리싱한다(2). VSE 단계는 반응성 이온 에칭 또는 습식 에칭을 이용하여 결합시킬 표면들을 약하게 에칭시킬 수 있다(3). VSE 공정에 의해 표면 조도 및 평면도는 저하되지 않으며 높아질 수 있다. 에칭된 표면을 수산화암모늄 또는 플루오르화암모늄과 같은 용액에서 세정하여 표면 위의 원하는 결합 종의 형성을 촉진시킬 수 있다(4).

Description

저온 결합 방법 및 결합된 구조 {Method For Low Temperature Bonding And Bonded Structure}
직접 실온 결합은 일반적으로 약한 반데르발스 또는 수소 결합을 생성한다. 약한 결합을 공유 결합과 같이 보다 강한 화학 결합으로 전환시키는 데는 보통 아닐링이 필요하다. 애노드 처리 및 용융을 비롯한 다른 웨이퍼 결합 기술은 후속 제조 공정 및(또는) 원하는 용도에 충분한 결합 강도를 얻기 위해 보통 승온 상태에서 전압, 압력 및(또는) 아닐링을 가할 필요가 있다. 전압, 압력 또는 열을 가할 필요가 있다는 것은 웨이퍼 결합 기술을 상당히 제약하게 되는데, 그 이유는 이 파라미터들이 웨이퍼 결합되는 재료에 손상을 주고, 내부 응력을 일으키고, 결합되는 디바이스 또는 재료 내에 바람직하지 못한 변화를 줄 수 있기 때문이다. 저온에서 강한 결합을 얻는 것은 가공된 디바이스 웨이퍼를 비롯하여 열적으로 부정합되거나 열적으로 민감한 웨이퍼를 결합시키는 데도 중요하다.
초고진공(UHV) 결합은 저온 또는 실온에서의 강한 결합을 얻는 방법 중의 하나이다. 하지만, 여전히 결합 웨이퍼를 고온에서, 예를 들면 실리콘의 경우 >600℃에서, GaAs의 경우 500℃에서 예비 아닐링을 한 후에 저온 또는 실온으로 온도를 낮추어 결합을 시켜야 한다. 또한, 일반적으로 UHV 방법은 흔히 사용되는 재료, 예를 들면 SiO2에는 효과가 없다. 또한 비싸기도 하고 비효율적이다.
접착층을 사용하여 디바이스 웨이퍼를 여러가지 기판에 결합시키고 디바이스층들을 저온에서 트랜스퍼할 수도 있다. 하지만, 접착층의 열적 화학적 불안정성, 계면 버블, 응력 및 접착층의 불균질성으로 인해 넓게 활용되지는 못하고 있다. 따라서, 접착제, 외부 압력 또는 전기장을 가하지 않고 주위 조건에서 웨이퍼를 결합시킴으로써 실온에서의 강한 결합을 얻는 것이 매우 바람직하다.
저진공 결합이 UHV 결합에 비해 보다 편리한 대안으로 연구되어 왔지만, 결합된 맨(bare) 실리콘 웨이퍼 쌍을 이용한 벌크 실리콘 파괴 에너지에 필적하는 결합 에너지는 약 150℃에서 아닐링 한 후라야 얻어진다. 산화물로 덮여진 실리콘 웨이퍼 쌍의 경우, 높은 결합 에너지를 얻는 데 약 300℃에서의 아닐링이 필요하다. 지금까지는 실온에서의 저진공 결합을 이용하여 결합 재료에서의 높은 결합 에너지를 얻을 수 없었다.
주위 조건에서의 결합 전에 가스 플라즈마 처리를 하면 저온 또는 실온에서의 결합 실리콘 쌍의 결합 에너지가 높아지는 것으로 알려져 있다. 예를 들면, 선(G.L. Sun), 통(Q.Y.Tong) 등의 문헌[J. de Physique, 49(C4), 79 (1988)]; 고에츠(G.G. Goetz)의 문헌[Proc. of 1st Intl. Symp. on Semicond. Wafer Bonding: Science, Technol. and Applications, The Electrochem. Soc., 92-7, 65 (1992)]; 파렌스(S. Farrens) 등의 문헌[J. Electroch. Soc., 142, 3950 (1995)] 및 아미르페이즈(Amirffeiz) 등의 문헌[Abstracts of 5th Intl. Symp. on Semi. Wafer Bonding: Science, Tech. and Appl., The Electrochemical Society, 99-2, Abstract No. 963 (1999)] 참조. 이들 처리는 저온 또는 실온에서 얻을 수 있는 결합 에너지를 증가시키지만, 평면형 실리콘 웨이퍼 또는 공정 중 웨이퍼 위에 산화물이 성장하게 되는 플라즈마 공정을 이용한 실리콘 웨이퍼에서만 입증되었다. 또한, 이들 처리는 표면을 대전시키거나 손상을 줌으로써 결합 에너지를 증가시키는 데만 사용되었다. 또한, 이들 처리는 증착된 유전체 또는 다른 재료에 사용되거나 적용가능함을 보인 적이 없었다.
평면형 실리콘 및 성장 산화물 표면 뿐만 아니라 증착된 재료 및 평면화된 증착 재료가 있는 비평면형 표면에도 적용가능한 방법으로 저온 또는 실온 결합을 얻으면 가공된 반도체 웨이퍼를 비롯한 일반적 재료도 제조 목적에 주는 손상을 최소화하면서 결합될 수 있을 것이다. 본 명세서에서는 에칭 및 화학 결합에 기초한 그런 방법을 설명한다.
본 발명은 실온에서의 재료의 결합에 관한 것이다. 특히, 활성화된 표면을 갖는 가공된 반도체 재료, 예를 들면 집적 회로 또는 기판을 결합시켜 후속 제조 공정 및(또는) 원하는 용도에 적합한 높은 결합 강도를 달성하는 것에 관한 것이다.
발명의 요약
본 발명의 목적은 저온 또는 실온에서 재료를 결합하는 방법을 제공하는 것이다.
본 발명의 또다른 목적은 결합 표면을 세정 및 활성화시킴으로써 재료를 결합하여 실온 부근에서 화학 결합 형성을 증진시키는 것이다.
본 발명의 또다른 목적은 가공된 디바이스 또는 집적 회로 웨이퍼와 같은 임의의 고체 상태 재료 또는 열적으로 민감하거나 부정합된 재료를 실온에서 또는 그 부근에서 결합하는 결합 방법을 제공하는 것이다.
본 발명의 또다른 목적은, 실온에서, 가공된 디바이스 또는 상이한 형태의 디바이스 또는 상이한 기술의 집적 회로 웨이퍼를 결합하고 디바이스 또는 회로의 층을 트랜스퍼하는 결합 방법을 제공하는 것이다.
본 발명의 또다른 목적은 아닐링을 할 필요없이 필요한 결합 강도를 얻는 직접 웨이퍼 결합 방법을 가능케 하는 것이다.
본 발명의 또다른 목적은 비평면형 표면 및 증착된 재료가 있는 재료들을 비롯한 여러가지 재료들을 평면화 및 결합할 수 있는 방법을 제공하는 것이다.
이들 및 다른 목적들은 제 1 및 제 2 결합 표면을 형성하는 단계, 제 1 및 제 2 결합 표면을 에칭하는 단계, 및 상기 에칭 단계 후 제 1 및 제 2 결합 표면을 실온에서 함께 결합시키는 단계를 갖는 결합 방법에 의해 달성된다. 에칭 단계는 상기 에칭 후 제 1 및 제 2 결합 표면의 각 표면 조도가 에칭 전의 각 표면 조도와 실질적으로 동일하도록 제 1 및 제 2 결합 표면을 에칭하는 단계를 포함할 수 있다. 표면 조도는 0.1 내지 3.0 nm의 범위일 수 있다.
결합 표면은 산화규소, 질화규소 또는 유전성 중합체와 같은 증착된 절연 재료의 표면일 수 있다. 웨이퍼의 표면 또는 웨이퍼 위에 증착된 재료를 이용한 실리콘 웨이퍼는 함께 결합시킬 수 있다. 웨이퍼 안에는 디바이스 또는 집적 회로가 형성되어 있을 수 있다. 함께 결합된 웨이퍼 내의 디바이스 및 회로는 상호연결될 수 있다. 웨이퍼는 그 위에 재료가 증착되어 결합 표면을 형성하는 비평면형 표면 또는 불규칙한 표면 토폴로지를 가질 수 있다.
결합 표면 중 1 이상을 형성하는 단계는 비평면형 표면 위에 폴리싱 가능한 재료를 증착시키는 단계를 포함할 수 있다. 상기 폴리싱 가능한 재료의 증착 단계는 산화규소, 질화규소 또는 유전성 중합체 중 하나를 증착하는 것을 포함할 수 있다. 결합 표면은 화학-기계적 폴리싱과 같은 방법을 이용하여 폴리싱할 수 있다. 표면을 에칭한 후에 폴리싱을 할 수도 있다.
에칭 단계는 제 1 및 제 2 결합 표면을 활성화시키는 단계 및 제 1 및 제 2 결합 표면 위에 선택된 결합 기를 형성하는 단계를 포함할 수도 있다. 실온 부근에서 화학 결합을 형성할 수 있는 결합 기를 형성할 수도 있고, 결합된 기들이 결합 표면의 계면으로부터 확산 또는 해리되도록 할 수 있는 화학 결합을 결합 표면 사이에 형성할 수도 있다. 화학 결합은 상기 결합 그룹을 확산 또는 해리시킴으로써 결합 표면 사이에 결합 강도를 증가시킬 수 있다.
상기 에칭 단계 후에, 결합 표면을 용액 중에 침지시켜 원하는 종으로 종료되는 결합 표면을 형성할 수 있다. 이 종은 실란올기, NH2기, 플루오르기 및 HF기 중 1 이상을 포함할 수 있다. 또한, 원하는 원자 및 원하는 분자 중 하나의 단층을 결합 표면 위에 형성할 수 있다. 표면의 종료 단계는 상기 약간의 에칭 후 암모니아 기재 용액 중에서 상기 결합 재료를 세정하는 단계를 포함할 수 있다. 암모니아 기재 용액은 수산화암모늄 또는 플루오르화암모늄일 수 있다.
상기 방법은 결합 표면을 산소, 아르곤, NH3및 CF4RIE 플라즈마 공정 중 하나에 노출시키는 단계를 포함할 수 있다. 이산화규소를 증착시켜 결합 표면을 형성하고, RIE 공정을 이용하여 에칭할 수 있다.
에칭 공정은 결합 표면 근처에 결점 또는 손상된 영역을 만들 수 있다. 결점 또는 손상된 영역은 결합 부산물을 확산 또는 해리를 통해 제거하는 데 도움을 줄 수 있다.
상기 방법은 또한 제 1 및 제 2 결합 표면을 형성하는 단계, 결합 표면들을 에칭하는 단계, 실온 부근에서 화학 결합을 형성시킬 수 있는 종으로 결합 표면들을 종료시키는 단계, 및 실온 부근에서 결합 표면들을 결합시키는 단계를 포함하거나, 각각 0.1 내지 3 nm의 표면 조도를 갖는 결합 표면들을 형성하는 단계, 상기 표면 조도를 유지하면서 결합 표면으로부터 재료를 제거하는 단계, 및 500 mJ/m2이상, 1000 mJ/m2이상, 또는 2000 mJ/m2이상의 결합 강도로 실온에서 결합 표면들을 직접 결합시키는 단계를 포함할 수 있다.
본 발명의 목적은 또한 제 1의 에칭된 결합 표면을 갖는 제 1 재료, 및 실온에서 500 내지 2000 mJ/m2이상의 결합 강도로 제 1 결합 표면에 직접 결합된 제 2의 에칭된 결합 표면을 갖는 제 2 재료를 갖는 결합된 디바이스에 의해 달성될 수도 있다. 결합 표면들은 원하는 결합 종으로 활성화 및 종료를 시킬 수 있으며, 원하는 종에는 상기 결합 표면 위의 원하는 원자 및 원하는 분자 중 하나 또는 실란올기, NH2기, 플루오르기 및 HF기 중 1 이상의 단층이 포함될 수 있다. 결합 표면들은 각각 상기 제 1 및 제 2 결합 표면 각각에 인접하게 위치한 결점 영역을 가질 수 있다.
제 1 재료는 안에 디바이스가 형성된 제 1 반도체 웨이퍼의 표면을 포함할 수 있고, 제 2 재료는 안에 디바이스가 형성된 제 2 반도체 웨이퍼의 표면을 포함할 수 있다. 웨이퍼 안의 디바이스는 서로 연결되어 있을 수 있으며, 상기 웨이퍼들은 상이한 기술로 제조된 것일 수 있다. 웨이퍼 안에는 집적 회로가 형성되어 있을 수 있으며, 웨이퍼 내의 디바이스 또는 회로는 서로 연결되어 있을 수 있다.
상기 제 1 및 제 2 웨이퍼 중 하나는 상기 제 1 및 제 2 웨이퍼 중의 상기 하나의 기판의 실질적 일부분을 제거한 후의 디바이스 영역일 수 있다. 웨이퍼는 불규칙한 표면 토폴로지를 가질 수 있다.
제 1 재료는 전기 디바이스를 포함하고 제 1 비평면형 표면을 갖는 제 1 웨이퍼를 포함할 수 있고, 제 1 결합 표면은 상기 제 1 비평면형 표면 위에 폴리싱되고 에칭된 증착 산화물 층을 포함할 수 있다. 제 2 재료는 전기 디바이스를 포함하고 제 2 비평면형 표면을 갖는 제 2 웨이퍼를 포함할 수 있고, 제 2 결합 표면은 제 2 비평면형 표면 위에 폴리싱되고, 평면화되고 약간 에칭된 증착 산화물 층을 포함할 수 있다.
제 1 재료는 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 1 표면을 갖는 제 1 웨이퍼를 포함할 수 있고, 제 1 결합 표면은 상기 제 1 표면 위에 폴리싱되고 평면화되고 약간 에칭된 증착 산화물 층을 포함할 수 있다. 제 2 재료는 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 2 표면을 갖는 제 2 웨이퍼를 포함할 수 있고, 제 2 결합 표면은 제 2 표면 위에 폴리싱되고, 평면화되고 약간 에칭된 증착 산화물 층을 포함할 수 있다.
본 발명에 따른 결합된 디바이스는 또한 제 1의 원하는 결합 종으로 종료된 제 1의 에칭되고 활성화된 결합 표면을 갖는 제 1 재료, 및 실온에서 제 1 결합 표면에 결합된 제 2의 원하는 결합 종으로 종료된 제 2의 에칭되고 활성화된 결합 표면을 갖는 제 2 재료를 포함할 수 있다.
도면의 간단한 설명
본 발명 및 그에 부수한 많은 장점들은 첨부 도면과 관련하여 하기 상세한 설명을 참조하면 보다 완전하게 이해할 수 있다.
도 1은 본 발명에 따른 방법의 흐름도이고;
도 2는 본 발명에 따른 방법의 예의 흐름도이고;
도 3(a)-3(e)는 본 발명에 따른 방법의 제 1 구체예를 예시하는 도식도이고;
도 4는 산화규소를 이용한 본 발명에 따른 결합을 예시하는 도식도이고;
도 5는 실리콘을 이용한 본 발명에 따른 결합을 예시하는 도식도이고;
도 6A 및 6B는 실온 결합 에너지 대 저장 시간의 그래프이고;
도 7은 본 발명에 사용된 결합 정착물의 도식도이고;
도 8은 결합 전에 희석된 HF에 의해 아주 약간 에칭된 증착 산화물로 덮힌 실리콘 웨이퍼의 결합 계면 근처의 SIMS(2차 이온 질량 분광법)에 의한 플루오르 농도 프로필이다.
바람직한 구체예의 설명
도 1 및 도 3(a)-3(e)를 참조하여 본 발명에 따른 방법의 제 1 구체예를 설명할 것이다. 웨이퍼(30), 바람직하게는 가공된 반도체 디바이스 웨이퍼, 더욱 바람직하게는 가공된 실리콘 디바이스 웨이퍼는 가공된 디바이스를 갖는 디바이스층(31)을 포함한다. 디바이스층(31)은 다수의 층들을 포함할 수 있고 웨이퍼(30)의 표면 영역을 포함할 수 있다. 층(31)의 표면 토폴로지는 보통 비평면형이다. 층(31)은 또한 활성 디바이스, 상호연결, 절연 등과 같은 임의 수의 층들을 포함하는 가공된 집적 회로를 나타낼 수 있다.
집적 회로는 완전히 가공된 것이거나, 부분적으로만 가공하여 나머지 가공은 결합 공정 후에 수행할 수 있다. 결합 후의 공정에는 완전 또는 부분적 기판 제거 또는 상호연결을 위한 결합된 웨이퍼들 사이의 길 형성이 포함될 수 있다.
층(31) 위에 결합층을 형성한다(도 1, 단계 1). 결합층(32)은 저온에서 증착 또는 형성될 수 있는 임의의 고체 재료 또는 혼합 재료일 수 있다. 층(32)는 화학 증착(CVD), 플라즈마 강화 CVD(PECVD), 스퍼터링 또는 증발을 이용하여 형성된 절연체, 예를 들면 SiO2, 질화규소, 무정형 실리콘일 수 있다. 다른 재료, 예를 들면 중합체, 반도체 또는 소결된 재료도 사용할 수 있다. 층(32)의 두께는층(31)의 표면 토폴로지보다 커야 한다.
도 1의 단계 2에 나타낸 바와 같이,층(32)의 표면(33)을 평면화 및 평활화한다. 예시를 위해 도 3(a)에서 표면(33)의 조도/평면도를 과장하여 나타내었다. 이 단계는 화학-기계 폴리싱을 이용하여 달성할 수 있다. 표면(33)은 조도가 바람직하게는 약 3 nm 이하, 더욱 바람직하게는 약 0.1 nm 이하가 되도록, 실질적으로 평면이 되도록 폴리싱한다. 표면 조도 값은 보통 제곱 평균의 제곱근(RMS) 값으로 나타낸다. 또한, 표면 조도를 평균값으로 나타낼 수도 있는데, 이것은 RMS 값과 거의 같다. 폴리싱 후, 표면(33)을 세척 및 건조하여 폴리싱 단계에서 나온 임의의 잔류물을 제거한다. 이어서 폴리싱된 표면(33)을 용액으로 세정하는 것이 바람직하다.
평면도 및(또는) 표면 조도를 개선하기 위하여 결합 표면을 폴리싱하기 전에 에칭을 할 수도 있다. 에칭은, 예를 들면 표준 포토리소그래피 기술을 이용하여 결합 표면 위의 높은 지점들을 선택적으로 에칭함으로써 제거하는 것이 효과적일 수 있다. 예를 들면, HF를 함유하는 용액을 사용하는 경우, 질화규소층을 에칭 정지면으로 작용할 수 있는 이산화규소 결합층(32) 안에 묻을 수 있다. 에칭 정지 재료를 사용하여 균일성, 재생성, 및 생산성을 개선할 수 있다.
도 3(b)는 폴리싱/평면화 및 세정 단계 후 상부면(34)을 갖는 층(32)를 도시하고 있다. 이어서 표면(34)는 활성화 과정(도 1, 단계 3)을 거친다. 이 활성화 과정은 에칭 과정, 바람직하게는 매우 약한 에칭(VSE) 과정이다. VSE란 용어는 매우 약하게 에칭된 표면의 제곱 평균 제곱근 마이크로-조도(RMS)가 거의 에칭을 하지 않은 값, 보통 < 0.5 nm, 바람직하게는 0.1 nm 내지 3 nm의 상태라는 것을 의미한다. 최적의 제거되는 재료량은 제거에 사용되는 재료 및 방법에 좌우된다. 보통의 제거량은 옹스트롬에서 수 나노미터까지 변한다. 더 많은 재료를 제거할 수도 있다. VSE는 또한 처리된 표면 위의 결합의 절단을 포함하고, 유의한 재료의 제거 없이 일어날 수 있다. VSE는 예를 들어 표면에 전하를 걸거나 표면층을 손상시켜 표면을 단순히 변경하는 것과는 구별된다. 본 발명에 따른 방법의 제 1 예에서, VSE 과정은 특정 시간 동안 특정 전력 수준에서 가스 또는 혼합 가스(예: 산소, 아르곤, 질소, CF4, NH3) 플라즈마 처리를 하는 것으로 이루어진다(도 3). 플라즈마 공정의 전력 및 지속 시간은 원하는 결합 에너지를 얻는 데 사용되는 재료에 따라 변할 것이다. 예들을 아래에 나타내지만, 일반적으로, 전력 및 지속 시간은 실험적으로 결정된다.
플라즈마 공정은 상이한 방식으로 수행할 수 있다. 반응성 이온 에칭(RIE) 방식 및 플라즈마 방식 뿐만 아니라 유도적으로 커플링된 플라즈마 방식 모두 사용할 수 있다. 스퍼터링도 사용할 수 있다. RIE 및 플라즈마 방식에서의 데이타 및 예들을 아래에 나타내었다.
VSE 공정은 물리적 스퍼터링 및(또는) 화학 반응을 통해 표면을 매우 약하게 에칭하며, 바람직하게는 결합 표면의 표면 조도를 떨어뜨리지 않도록 제어한다. 표면 조도는 VSE 및 에칭되는 재료에 따라 개선될 수도 있다. 표면(34)을 과도하게 에칭하지 않는 거의 모든 가스 또는 가스 혼합물을 본 발명에 따른 실온 결합방법에 사용할 수 있다.
VSE는 표면을 세정하고 웨이퍼 표면 위의 산화물의 결합을 끊는 데 도움을 준다. 따라서, VSE 공정은 표면 활성화를 크게 높일 수 있다. VSE의 적절한 설계에 의하여 VSE 중에 원하는 결합 종을 사용하여 표면(34)을 종료시킬 수 있다. 별법으로, 후-VSE 공정 중에 원하는 종료 종으로 표면을 활성화 및 종료시키는 후-VSE 처리를 할 수 있다.
원하는 종이 표면(34) 원자층에 임시 결합을 더 형성하고, 이 표면이 도 3(d)에 나타낸 바와 같이 동일하거나 또다른 결합 종(36)에 의해 종료되는 표면과 합쳐질 수 있는 후속 시간까지 원자층을 효과적으로 종료시키는 것이 바람직하다. 표면 위의 원하는 종이 저온 또는 실온에서 표면 (34) 및 (36) 사이에 화학 결합이 가능할 정도로 충분히 가까운 상태에 있을 때 서로 더 반응하는 것이 바람직하며, 이것은 확산 또는 해리에 의해서 및 반응한 원하는 종이 결합 계면으로부터 확산되어 나감으로써 증진된다.
후-VSE 공정은 결합 표면(34)이 원하는 종으로 종료되도록 하는 표면 반응을 일으키도록 선택된 화학 물질을 함유하는 용액 중에 침지시키는 것으로 이루어지는 것이 바람직하다. 침지 과정은 VSE 공정 직후에 하는 것이 바람직하다. 후-VSE 공정은 VSE 공정을 수행하는 장치와 동일한 장치에서 수행할 수 있다. 이것은 VSE 및 후-VSE 모두 건식 과정, 즉 플라즈마, RIE, ICP, 스퍼터링 등이거나, 습식 과정, 즉 용액 침지이면 가장 쉽게 이루어진다. 원하는 종은 원자 또는 분자의 단층 또는 여러개의 단층으로 이루어지는 것이 바람직하다.
후-VSE 공정은 또한 플라즈마, RIE, 또는 적절한 가스 화학을 도입하여 표면을 원하는 종으로 종료시키는 다른 건식 공정으로 이루어질 수 있다. 후-VSE 공정은 제 2 VSE 공정일 수도 있다. 종료 공정은 또한 표면의 오염물을 VSE 없이 제거하는 세정 공정을 포함할 수 있다. 이 경우, 이어서 상기한 후-VSE 공정과 유사한 후-세정 공정을 통해 원하는 표면 종료를 한다.
이어서 세정 또는 VSE 공정에 의해 활성화된 표면 결합이 충분히 약하게 표면에 재구성되고 결합 전에 유사한 표면과의 후속 결합이 화학 결합을 형성할 수 있을 정도로 충분히 깨끗하게 남아있으면, 후-VSE 공정 또는 후-세정 공정은 원하는 종으로 표면을 종료시킬 필요가 있거나 없을 수 있다.
웨이퍼는 임의로 세정하고 이어서 건조한다. 2개의 웨이퍼를 (필요하다면) 정렬하고 합쳐서 결합 계면을 형성하여 결합시킨다. 도 3(d)에 나타낸 바와 같이, 제 2 웨이퍼(35)를 도 3(c)에 나타낸 방식으로 가공하여 결합 표면(36)을 제조한다. 2개의 웨이퍼를 예를 들어 상업적으로 입수가능한 웨이퍼 결합 장치(도시하지 않음)로 합쳐서 결합 계면(37)을 일으킨다(도 3(e)).
이어서 결합 계면의 어느 지점에서 자발적 결합이 보통 일어나고 웨이퍼 전체에 걸쳐 전파된다. 초기 결합이 전파되기 시작하면서, 표면 (34) 및 (36)이 충분히 가까이 있는 경우 화학 결합을 가져오는 중합과 같은 반응이 상기 표면들을 종료시키는 데 사용되는 종들 사이에 일어난다. 결합 에너지는 쐐기(wedge)를 삽입하여 부분적으로 탈결합된 결합 계면에서 분리된 표면들 중 하나의 특이적 표면 에너지로 정의된다. 이어서 반응 부산물이 결합 계면으로부터 웨이퍼 모서리로 확산되거나 통상 주변 재료 중에 있는 웨이퍼에 의해 흡수된다. 부산물은 또한 확산되거나 웨이퍼에 의해 흡수되는 다른 부산물로 전환될 수도 있다. 공유 결합 및(또는) 이온 결합의 양은 결합 강도를 더 증가시키는 전환된 종을 제거함으로써 증가시킬 수 있다.
도 4(a)-4(e)는 산화규소로 전환된 평면형 Si 웨이퍼의 경우 표면 상태 및 공유 결합을 형성하는 결합 전파를 나타낸다. Si 웨이퍼(40) 위에 SiO2층(41)이 형성되고, 이것은 폴리싱되고 평면화 되었다. 층(41)의 표면(42)을 VSE 처리시켜 활성화된 표면을 만든다(도 4(a)). 제 2 웨이퍼(44) 위에 제 2의 SiO2층(45)이 형성되고, 표면(46)을 VSE 처리하여 표면(46)을 활성화시킨다(도 4(b)). 원하는 종을 표면(46) 위에서 종료시키고 도 4(c)에서 선(43)으로 나타내었다. VSE 공정 및 후-VSE 공정 중 하나 또는 둘다를 이용하여 표면(46)을 적절히 종료시킨다. 도시하지는 않았지만, 표면(42)을 후-VSE 공정을 이용하여 종료시킬 수도 있다. 웨이퍼(44)를 웨이퍼(40)과 합치고(도 4(d)), 결합(46)이 형성되기 시작한다. 도 4(e)에 나타낸 바와 같이, 결합이 전파되고 부산물이 제거되며(화살표 47로 표시) 화학 결합(예: 공유 결합)이 형성된다.
RIE 공정 직후의 결합은 에칭된 웨이퍼를 즉시 제자리 결합시킬 수 있는 특수한 결합 정착물을 이용할 수 있다. 정착물의 도식도를 도 7에 나타내었다. 플라즈마 챔버(75) 안에 결합될 2개의 웨이퍼(70)가 RF 전극(76 및 77) 위에 배치된다. RF 전력을 이동성 진공 RF 전력 피드스루(74)를 통해 전극에 가하고 적절한가스 또는 가스 혼합물을 가스 피드스루(73)를 통해 투입함으로써 플라즈마가 영역(79) 안에 형성된다. 성분(71)은 기계 작동기(도시하지 않음)가 후퇴성 스페이서(72)를 후퇴시키기 위한 진공 피드스루이다. 챔버(75)는 펌프(도시하지 않음) 및 챔버 입구(78)을 통해 원하는 진공 수준까지 아래로 펌핑된다. 상기한 바와 같이, 후-VSE 공정 또는 후-세정 공정도 건식 공정인 경우, VSE 및 후-VSE 또는 후-세정을 챔버(75) 안에서 수행할 수 있다.
VSE 공정을 수행하기 위한 플라즈마 처리 후, 기계적 스페이서(72)를 기계적 작동기에 의해 후퇴시키고 웨이퍼(70)를 안으로 이동시켜 접촉시킴으로써 결합 공정을 시작한다. 이어서 결합된 웨이퍼를 챔버로부터 주변으로 또는 또다른 진공 챔버(도시하지 않음) 안으로 이동시키고 원하는 기간 동안 저장하여 결합이 웨이퍼 핸들링 시스템(도시하지 않음)에 의해 전파되도록 한다.
결합 층들의 재료는 중합 반응의 부산물이 쉽게 제거될 수 있도록 개방 구조를 갖는 것이 바람직하다. 대향하는 결합 표면들 위의 결합 종은 실온에서 반응하여 강한 화학 결합을 형성할 수 있어야 한다. 결합 에너지는 웨이퍼가 상이한 열 팽창 계수를 갖는 경우 후속 가공 또는 조작과 관련된 후속 열 처리 후에 웨이퍼 사이에 미끄러짐이 거의 없도록 충분히 높다. 미끄러짐이 없다는 것은 후속 가공 또는 조작 후 검사시 웨이퍼 휨이 없다는 것으로 입증된다.
높은 결합 에너지를 달성하기 위하여, 웨이퍼 중 하나 이상은 가능한 얇은 것이 바람직하다. 얇은 웨이퍼는 완벽한 평면화 및 평활화가 부족한 것을 수용할 수 있을 정도로 잘 휘기 때문이다. 약 10 mm 내지 10 ㎛의 두께로 얇게 하는 것이효과적이다.
결합된 웨이퍼는 결합 후 주위 조건 또는 저온이나 실온에서 저장하여 사용하는 재료 및 종에 따라 특정 시간 동안 종 또는 전환된 종을 제거하는 것이 바람직하다. 24 시간이 보통 바람직하다. 저장 시간은 사용한 플라즈마 공정의 유형에 따라 다르다. Ar 플라즈마와 같은 특정 플라즈마 공정을 사용하는 경우, 화학 결합을 보다 빨리 몇분 내에 얻을 수 있다. 예를 들면, Ar 플라즈마에 의해 에칭한 후 NH4OH에 담근 증착 산화물의 경우, 결합 직후에 585 mJ/m2결합이 얻어졌고, 8 시간 후에는 800 mJ/m2이상이 관찰되었다.
결합 중에 결합된 웨이퍼를 아닐링하면 결합 강도를 증가시킬 수 있다. 아닐링 온도는 200℃ 미만이어야 하고 보통 75-100℃ 범위일 수 있다. 결합된 웨이퍼를 진공 하에 저장하면 결합 표면으로부터 잔류 가스를 제거하는 것을 용이하게 하지만, 항상 필요한 것은 아니다.
상기 과정 모두는 실온 또는 그 부근에서 할 수 있다. 웨이퍼는 충분한 강도로 결합시켜 후속 가공 조작(랩핑, 폴리싱, 기판 제거, 화학 에칭, 리소그래피, 마스킹 등)을 할 수 있도록 한다. 약 500-2000 mJ/m2이상의 결합 에너지를 얻을 수 있다(도 6A 참조).
이 시점(도 3(e))에서, 예를 들어 랩핑 및 에칭 백(etch back)에 의해 웨이퍼(35)의 기판의 일부 또는 전부를 제거할 수 있다. 따라서 웨이퍼(35)의 디바이스층이 웨이퍼(30) 위로 트랜스퍼된다. 2개의 층의 디바이스를 서로 연결할 수 있다. 추가의 디바이스 또는 회로 층들을 결합 및 서로 연결하여 다층 구조를 형성할 수 있다. 상이한 형태의 웨이퍼, 디바이스 또는 회로를 결합할 수 있을 뿐만 아니라, 상이한 기술로 제조된 것들도 결합할 수 있다(예를 들면, CMOS와 바이폴라 또는 III-V HBT와 Si CMOS). 가열 스프레더, 대용 기판, 안테나, 와이어링 층, 미리 제조된 다층 인터콘넥트 등과 같은 다른 요소 또는 재료도 결합시켜 원하는 대로 상이한 형태의 회로 또는 시스템을 제조할 수 있다.
도 2에 나타낸 예에서, PECVD SiO2를 디바이스를 포함하는 Si 웨이퍼 위에 증착시킨다. 플라즈마(예를 들면, 아르곤, 산소 또는 CF4) 처리 후의 표면(34)는 플라즈마 시스템 및 공기 중에 수분이 있으므로 Si-OH기에 의해 주로 종료된다. 플라즈마 처리 후, 웨이퍼를 수산화암모늄(NH4OH), NH4F 또는 HF와 같은 용액에 즉시 침지시켜 예를 들면 10 내지 120 초 동안 둔다. 웨이퍼를 NH4OH 용액에 침지시킨 후, 많은 Si-OH기들이 하기 치환 반응에 따라 Si-NH2기들로 치환된다:
2Si-OH + 2NH4OH →2Si-NH2+ 4HOH
별법으로, 많은 Si-F기들이 NH4F 또는 HF 침지 후 PECVD SiO2표면 위에서 종료된다.
결합 표면에 걸쳐 수소 결합된 Si-NH2:Si-OH기 또는 Si-NH2:Si-NH2기는 실온에서 중합되어 Si-O-Si 또는 Si-N-N-Si(또는 Si-N-Si) 공유 결합을 형성할 수 있다:
Si-NH2+ Si-OH →Si-O-Si + NH3
Si-NH2+ Si-NH2→Si-N-N-Si + 2H2
별볍으로, HF 또는 NH4F 침지된 산화물 표면은 Si-OH기 외에 Si-F기들로 종료된다. HF 또는 NH4F 용액은 산화규소를 강하게 에칭하기 때문에, 그 농도를 적절히 낮은 수준으로 조절하여야 하고, 침지 시간은 충분히 짧아야 한다. 이것은 후-VSE 공정이 제 2 VSE 공정이 되는 예이다. 수소 결합된 Si-HF 또는 Si-OH기들 사이의 중합 반응으로 인해 결합 계면에 걸에 공유 결합이 형성된다:
Si-HF + Si-HF →Si-F-F-Si + H2
Si-F + Si-OH →Si-O-Si + HF
도 8은 실온 결합 전에 0.05% HF에 침지시킨 결합된 가열 산화물로 덮힌 실리콘 웨이퍼의 플루오르 농도 프로필을 보여준다. 결합 계면에서 플루오르 농도 피크를 분명히 볼 수 있다. 이것은 원하는 종이 결합 계면에 위치하는 상기한 화학 공정의 증거를 제공한다.
반응 (2)는 약 500℃의 비교적 높은 온도에서만 가역 반응이기 때문에, 형성된 실록산 결합은 저온에서 NH3에 의해 공격당해선 안된다. H2분자는 작고 산화물 중의 물 분자보다 약 50배 더 빨리 확산한다고 알려져 있다. 예를 들면 수 nm의 적절한 두께를 갖는 표면 근처의 손상된 층이 존재하면 NH3, 및 이 층에서의 반응 (2), (3), (4) 및(또는) (5)에서의 HF 및 수소의 확산 또는 해리가 용이해진다. 세 반응으로 인해 NH3또는 H2를 확산시킬 수 있는 저장 시간 후 실온에서 SiO2/SiO2결합된 쌍의 결합 에너지가 더 높아진다.
도 2에 나타낸 예에서, 플라즈마 처리가 결합 표면 부근의 산화물 층에 손상되거나 결점이 있는 영역을 만들 수 있다. 이 영역은 몇개의 단층에 이른다. 손상되거나 결점이 있는 영역이 있으면 결합 부산물의 제거에 도움이 된다. 부산물은 높은 강도의 결합이 형성되지 못하게 하여 결합 공정을 방해하기 때문에 결합 부산물을 효율적으로 제거하면 결합 강도를 개선하는 데 도움이 된다.
재료의 많은 상이한 표면들을 평활화 및(또는) 평면화한 후 세정 공정을 하여 본 발명에 따른 결합의 준비를 할 수 있다. 이 재료들은 충분한 평면도, 표면 평활도, 및 부동화(세정 포함), 및(또는) VSE, 활성화 및 종료로 표면들을 짝지음으로써 실온에서 결합시킬 수 있다. 무정형 및 소결된 재료, 비평면형 집적 회로, 및 실리콘 웨이퍼가 그러한 재료의 예이다. 단결정 반도체 또는 절연 표면, 예를 들면 SiO2 또는 Si 표면에는 또한 원하는 표면 조도, 평면도 및 세정도가 제공될수 있다. 표면을 고진공 또는 초고진공 하에 두면 오염 및 원자 개조가 충분히 없는 표면을 얻는 것을 단순화하여 본 발명에 따른 강한 결합을 얻을 수 있다. InP, GaAs, SiC, 사파이어 등과 같은 다른 반도체 또는 절연 재료들도 사용할 수 있다. 또한, PECVD SiO2는 저온에서 많은 종류의 재료 위에 증착될 수 있기 때문에, 많은 상이한 조합의 재료들을 저온에서 본 발명에 따라 결합시킬 수 있다. VSE, 표면 활성화 및 종료를 할 수 있는 적절한 공정 및 화학 반응이 있기만 하다면 다른 재료들도 증착될 수 있다.
예를 들면, 상기 방법은 결합 재료로 질화규소를 사용하는 경우에도 사용할 수 있다. 질화규소를 질화규소에, 또는 이산화규소 및 실리콘에 결합시킬 수 있다. 산화규소는 실리콘에 결합될 수도 있다. 질화알루미늄 및 다이아몬드와 같은 탄소를 비롯한 다른 종류의 유전성 재료들도 함께 결합시킬 수 있다.
상기 방법은 디바이스나 회로가 없는 평면형 웨이퍼 및 디바이스 및 회로가 있는 하나의 웨이퍼에 적용할 수 있다. 평면형 웨이퍼는 결합층, 예를 들면 PECVD 산화물 또는 무정형 실리콘으로 코팅하고, 이어서 상기한 바와 같이 가공하여 2개의 웨이퍼를 결합시킬 수 있다. 평면형 웨이퍼는 충분한 평활도 및 평면도를 갖고 적절한 결합 재료가 있으면 결합층으로 코팅할 필요가 없을 수 있다.
이해할 수 있는 바와 같이, 결합 공정은 임의수의 웨이퍼, 재료 또는 기능성 요소로 반복할 수 있다. 예를 들면, 2개의 디바이스 또는 IC 웨이퍼를 접합한 후, 노출된 기판 중 하나를 제거하여 디바이스의 층 하나 이상을 트랜스퍼시키거나 IC의 활성 영역만 트랜스퍼 시킬 수 있다.
본 발명에 따른 결합은 상이한 종류의 재료들을 접합시키는 데 적용할 수 있다. 예를 들면, 실리콘 웨이퍼를 또다른 실리콘 웨이퍼에 결합시키거나 산화된 실리콘 웨이퍼에 결합시킬 수 있다. 맨(bare) 실리콘 웨이퍼 및 산화물로 덮힌 웨이퍼를 HF, NH4F 및(또는) NH4OH에 침지시키고 건조 후 결합시킨다. 침지 시간은 얇은 산화물로 덮힌 실리콘 웨이퍼의 경우 약 20분 미만이 되어야 하는데, NH4OH 용액이 산화규소를 에칭하기 때문이다. HF 및 NH4F가 산화물을 강하게 에칭하기 때문에, 매우 묽은, 바람직하게는 0.01-0.2% 범위의 용액을 실리콘 웨이퍼의 침지에 사용해야 한다.
건조 후, 실리콘 웨이퍼 및 산화물로 덮힌 웨이퍼를 주위 조건, 실온에서 결합시킨다. 반응 (2), (3), (4) 및(또는) (5)가 2개의 웨이퍼 사이의 결합 계면에서 일어난다. 플라즈마 처리된 웨이퍼를 NH4OH 용액 대신 탈이온수에 침지시킬 수도 있다.
날 실리콘 웨이퍼, 즉 그대로의(native) 산화물을 갖는 것, 또는 상기한 바와 같이 표면 위에 산화물 층이 형성된 실리콘 웨이퍼를 이용하여 실리콘 결합을 할 수 있다. 산소 플라즈마 처리 중에, 그대로의 산화물이 날 실리콘 웨이퍼 위에 형성되면 스퍼터 에칭을 하고, 실리콘 표면 위에 형성된 산화물 층을 에칭한다. 최종 표면은 활성화된(그대로의 또는 형성된) 산화물이다. 탈이온수 중에서 세정하는 경우, 활성화된 산화물 표면은 주로 Si-OH기로 종료시킨다. 산소 플라즈마중 산화물의 성장이 보통의 그대로의 산화물 층에서보다 더 적은 물을 갖는 것으로 나타났기 때문에, 원래의 결합 브릿지로부터 나오고 하기 중합 반응 (6)에 의해 발생하는 물은 플라즈마 산화물 안으로 쉽게 흡수될 수 있다.
Si-OH + Si-OH →Si-O-Si + H2O
도 5(a)-5(e)는 2개의 실리콘 웨이퍼를 결합시키는 것을 도시한다. 웨이퍼 (50) 및 (52)는 VSE 처리를 한 날 산화물(도시하지 않음)을 갖는 각각의 표면을 갖는다. 도 5(c)에서 표면(53)은 원하는 종(54)으로 종료되는 것으로 나타나 있다. 2개의 웨이퍼를 합치고 결합(55)이 형성되기 시작한다(도 D). 결합이 전파되고, 결합 부산물(이 경우, H2가스)이 제거된다. 제거되는 부산물을 도 5(e)에 화살표 (56)으로 나타내었다.
산화된 실리콘 웨이퍼의 플라즈마 활성화된 산화물 안으로 용해시켜 결합 계면으로부터 물을 제거하는 외에, 물이 날 실리콘 웨이퍼 위의 얇은 산화물 층을 통해 확산하여 실리콘과 반응할 수도 있다. 산화물 밑의 실리콘 표면은 몇개의 단층에 이르는 손상되거나 결점이 있는 영역을 갖고 있기 때문에, 산화물 층 사이로 확산되어 손상되거나 결점이 있는 영역에 도달하는 물 분자는 실온에서 수소로 전환되어 쉽게 제거될 수 있다:
Si + 2H2O →SiO2+ 2H2
이렇게 해서 (6)의 가역 반응을 피하고 Si-O-Si 공유 결합이 형성되어 실온 결합 에너지가 크게 증가한다.
비교적 두꺼운(~5 nm) 산화물 층이 형성되면, 물 분자가 이 두꺼운 층 사이로 확산되는 데 긴 시간이 걸릴 것이다. 한편, 플라즈마 처리 후 얇은 산화물 층이 남거나 너무 좁은 결점 영역이 생기면, 실리콘 표면에 도달할 수 있는 실리콘과 충분히 반응하여 수소로 전환되지 못한다. 두 경우 모두 결합 에너지의 증가가 제한될 것이다. 따라서, 바람직한 산소 플라즈마 처리는 최소의 플라즈마 산화물 두께(예를 들면, 약 0.1-1.0 nm) 및 합리적으로 두꺼운 결점 영역(예를 들면, 약 0.1-0.3 nm)을 실리콘 표면 위에 남긴다.
제 2 구체예에서, VSE 공정은 습식 화학 물질을 사용한다. 예를 들면, 제 1 구체예에서와 같이 증착된 산화규소 층을 갖는 InP 웨이퍼, 및 디바이스층을 증착된 산화물 층을 갖는 AIN 기판에 결합시킨다. InP 웨이퍼 결합 표면 및 AIN 웨이퍼 결합 표면을 평활화 및 평면화한 후, 두 웨이퍼를 표준 RCA 세정 용액 중에서 세정한다. 웨이퍼를 HF 농도가 바람직하게는 0.01 내지 0.2%인 묽은 HF 수용액을 이용하여 매우 약하게 에칭한다. 10분의 몇 nm 정도를 제거하고 표면 평활도를 AFM(원자 힘 현미경)에 의해 측정했을 때 저하되지 않는다. 탈이온수 세정없이, 웨이퍼를 스핀 건조시키고 주위 공기 중 실온에서 결합시킨다. 생성된 결합 에너지를 측정한 결과 공기 중에서 저장 후 약 700 mJ/m2에 이르렀다. 75℃에서 이 결합된 쌍을 아닐링 한 후, 1500 mJ/m2의 결합 에너지가 얻어졌다. 100℃에서 아닐링한 후 결합 에너지는 실리콘 벌크 파괴 에너지(약 2500 mJ/m2)에 이르는 것으로 측정되었다. HF 침지 후 웨이퍼를 탈이온수로 세정하면, 100℃에서의 결합 에너지가 200 mJ/m2로 감소되고, 이것은 세정 없이 얻어진 값의 약 10분의 1이다. 이것은 종료 종으로서 OH보다 F가 바람직하다는 것을 나타낸다.
제 3 구체예에서, VSE 공정은 0.1% HF 에칭 후 가열 산화된 실리콘 웨이퍼를 보통의 세정 공정 후 실온에서 5분 동안 0.02% HN4F 용액에 침지시키는 것으로 이루어진다. 탈이온수에서 세정하지 않고, 실온에서 스핀 건조시킨 후 웨이퍼를 결합시킨다. 결합된 쌍의 결합 에너지는 100℃ 아닐링 후 약 1700 mJ/m2에 이른다. 웨이퍼를 결합하기 전에 HF 에칭 후 탈이온수 중에서 세정하면, 결합된 쌍의 결합 에너지는 겨우 400 mJ/m2이며, 이것은 다시 OH보다 F가 바람직하다는 것을 나타낸다.
제 4 구체예에서 희석된 NH4F를 VSE 공정에 이용하여 산화규소로 덮힌 웨이퍼를 에칭한다. NH4F의 농도는 원하는 결합을 얻기 위해 0.02% 미만이어야 한다. 저장 후 약 600 mJ/m2의 결합 에너지를 얻을 수 있다.
본 발명의 제 5 구체예를 사용하여 약 1 nm 두께의 날 산화물을 갖는 Si 표면을 결합시킨다. 제 5 구체예에서, 표준 RCA1 세정 공정에 의해 Si 표면을 세정한 후, 70% HNO3+ 묽은 HF(바람직하게는 0.01 내지 0.02%) 중에서 5분 동안 에칭하는 것을 이용한 VSE 공정을 수행한다. 웨이퍼를 용액에서 수직으로 기본적으로 소수성의 표면을 해서 꺼낸다. 물 중에서의 세정 없이, 웨이퍼를 실온에서 결합시킨다. 이 과정에서, 실온에서 공유 결합이 일어나고, 측정된 결합 에너지는 보통 약 600 mJ/m2이다. 이 결합 에너지는 75℃에서 아닐링한 후 1300 mJ/m2로 크게 증가하고, 100℃ 온도에서의 벌크 실리콘의 파괴 에너지(약 mJ/m2)에 이른다.
70% HNO3대신에, 물과 함께 묽은 HNO3를 용액 중에 사용하여 유사한 결과를 얻을 수 있다. AMF 측정 및 고해상도 투과 전자 현미경 측정 결과에 따르면, 실리콘은 묽은 HNO3VSE 과정에서 0.1-0.15 nm/분의 속도로 에칭되고 2.5-3.5 nm 두께의 새로운 두꺼운 산화물이 형성된다.
추가의 구체예로서, VSE 공정은 화학적 및(또는) 물리적 성분을 갖는 건식 에칭으로 이루어질 수 있다. 날 Si 표면의 경우, 화학적 에칭은 SF4/H2가스 혼합물로부터 일어날 수 있고, 물리적 에칭은 Ar 에칭으로부터 일어날 수 있다. 산화규소 표면의 경우, 화학적 에칭은 CF4를 사용할 수 있고, 물리적 에칭은 산소 또는 아르곤 가스를 사용할 수 있다. 결합 재료로 열적으로 안정한 중합체 재료를 사용하여 두 중합체 표면을 함께 결합시킬 수도 있다. 예는 폴리이미드 또는 스핀-온 재료이다.
저온 또는 실온에서 결합 에너지가 증가하는 것을 지배하는 메커니즘은 유사하다. 결합 웨이퍼를 플라즈마에 의해 매우 약하게 에칭하여(VSE) 표면을 세정 및활성화하고, 계면 중합의 부산물의 제거를 향상시켜 바람직하지 못한 역반응을 방지하고, 적절한 용액 중에서 세정하여 표면을 원하는 종으로 종료시킴으로써 실온 공유 결합을 용이하게 하는 것이다. 산화물로 덮힌 웨이퍼 결합 케이스는 상이한 표면 종료가 바람직하다는 점 외에는 유사하다. 날 실리콘 웨이퍼 결합에 있어서, 물을 흡수하여 수소로 전화시킬 수 있는 산화물 및 실리콘으로 이루어진 높은 반응성의 표면층이 형성되어야 한다. 고반응성 층은 플라즈마 산화물 박층 및 손상된 실리콘 표면 층일 수 있다. 실리콘 웨이퍼 위의 산화물도 약간의 손상이 있을 것이다. O2플라즈마 뿐만 아니라 다른 가스(예: Ar, CF4)의 플라즈마도 적합하다. VSE 중 및 그 후에, 실리콘 표면은 수분과 쉽게 반응하여 산화물층을 형성하고, 기저의 손상된 실리콘 층이 VSE에 의해 만들어진다. VSE 및 부산물 제거 방법은 원래 다소 일반적인 것이기 때문에, 이 방법은 많은 수단에 의해 이행되고 많은 재료에 적용될 수 있다.
실시예 1
제 1 실시예에서, 3 인치 <100>, 1-10 옴-cm, 붕소 도핑된 실리콘 웨이퍼를 사용하였다. PECVD 산화물을 실리콘 웨이퍼 중 일부 위에 증착시켰다. 비교를 위해, 가열 산화 실리콘 웨이퍼도 연구하였다. PECVD 산화물 두께는 웨이퍼의 전방 및 후방에서 각각 0.5 ㎛ 및 0.3 ㎛이었다. 산화물을 웨이퍼의 양쪽에 증착시켜 폴리싱을 하는 동안 웨이퍼 휨을 최소화하고 평면화를 개선시켰다. 부드러운 폴리싱을 하여 약 30 nm의 산화물을 제거하고 원래 마이크로-조도의 제곱 평균의 제곱(RMS)이 ~0.56 nm인 전방 산화물 표면을 최종 ~0.18 nm으로 평활화하였다. 변형된 RCA1 용액을 사용하여 웨이퍼 표면을 세정한 후 스핀 건조를 하였다.
2개의 웨이퍼를 플라즈마 시스템 안에 탑재하고, 두 웨이퍼 모두 RF 전극 위에 놓고 RIE 방식으로 플라즈마 처리하였다. 비교를 위해, 일부 웨이퍼를 플라즈마 방식으로 처리하고, 이 때 웨이퍼를 접지된 전극 위에 두었다. 겉보기 유속 16 초/m로 산소 플라즈마를 사용하였다. RF 전력은 13.56 MHz에서 20-400 W(보통 80 W)이었고, 진공 수준은 100 mTorr였다. 산화물로 덮힌 웨이퍼를 15초 내지 5분의 시간 동안 플라즈마 중에서 처리하였다. 이어서 플라즈마 처리된 실리콘 웨이퍼를 적절한 용액 중에 침지시키거나 탈이온수로 세정한 후 스핀 건조 및 공기 중 실온 결합시켰다. 플라즈마 처리된 웨이퍼 중 일부는 또한 세정이나 침지 없이 공기 중에서 직접 결합시켰다.
쐐기를 계면 안에 삽입하여 하기 식에 따라 크랙 길이를 측정함으로써 결합 에너지를 측정하였다:
E 및 tw는 웨이퍼 1 및 2의 영의 모듈러스 및 두께이고, tb는 두 웨이퍼 사이에 삽입되어 웨이퍼 모서리로부터 길이 L의 웨이퍼 분리를 일으키는 쐐기의 두께이다.
실온 결합 에너지를 결합된 플라즈마 처리된 산화물로 덮힌 실리콘 웨이퍼의저장 시간의 함수로 도 6A에 나타내었다. 이 그림은 표시한 4 가지의 상이한 경우에 대해 실온 결합 에너지 측정값 대 저장 시간을 나타낸다. 결과는 다음과 같이 요약할 수 있다: (1) 침지되고 결합된 RIE 플라즈마 처리된 산화물 웨이퍼의 경우, 실온 결합 에너지는 저장 시간에 따라 증가하고 공기 중 또는 낮은 진공에서 ~20 h 후 안정된 값에 도달한다; (2) RIE 방식은 플라즈마 방식보다 높은 결합 에너지를 일으킨다; (3) 플라즈마 노출 시간이 너무 짧거나 플라즈마 전력이 너무 낮으면 결합 에너지의 증가가 작거나 무시할 만하다; (4) 플라즈마 처리 후 NH4OH 침지는 물 세정보다 결합 에너지가 훨씬 더 높이 증가한다; (5) 침지 또는 세정 없이 플라즈마 처리를 한 후 공기 중에서 직접 결합을 하면 시간에 따라 거의 일정한 결합 에너지를 나타낸다. 실온 결합 직후 직접 결합된 웨이퍼 쌍의 결합 에너지는 탈이온수 세정을 하거나 NH4OH 침지시킨 웨이퍼 쌍보다 약간 더 높다.
도 6B는 PECVD 산화물 증착 층을 갖는 Si 및 AIN 웨이퍼의 실온 결합을 나타낸다. 약 100 h의 저장 시간 후, 2000 mJ/m2이상의 결합 에너지가 관찰되었다.
상이한 결합 재료를 비교하면, O2플라즈마 처리된 가열 산화된 실리콘 웨이퍼 쌍의 저장 시간의 함수로 나타낸 결합 에너지는, 비록 실온 결합 에너지의 값은 약간 더 낮지만, PECVD 산화물을 갖는 웨이퍼와 유사하다.
실온에서 공기 중 ~24 h 저장 후, RIE 방식 플라즈마 처리를 하고 NH4OH 침지시킨 PECVD 산화물로 덮힌 웨이퍼 쌍의 경우 ~1000 mJ/m2의 결합 에너지에 이르렀다. 반데르발스 결합된 산화규소로 덮힌 웨이퍼 쌍의 최대 결합 에너지가 약 200 mJ/m2이기 때문에, 결합 에너지 중 많은 부분은 상기 식에 따라 실온에서 결합 계면에서 공유 결합이 형성되는 데 기인한다.
실시예 2-3
두번째 및 세번째 실시예로서, 상기 공정을 가공된 InP 웨이퍼(600 ㎛ 두께)를 AIN 웨이퍼(380 ㎛ 두께)에 결합시키는 데, 또는 가공된 Si(380 ㎛ 두께) 및 InP(600 ㎛ 두께) 웨이퍼를 결합시키는 데 적용하였다. 가공된 InP 디바이스 웨이퍼는 PECVD 산화물로 덮히고 화학-기계 폴리싱 CMP에 의해 평면화 및 평활화되었다. PECVD 산화물 층을 또한 AIN 웨이퍼 위에 평면화 및 평활화하여 RMS 표면 조도를 개선하였다. 가공된 Si 및 가공된 InP 웨이퍼를 PECVD 산화물로 증착시키고 CMP를 이용하여 평면화 및 평활화하였다. 실온에서의 실시예 1 결합과 유사한 VSE 후에, 결합된 웨이퍼를 주위 공기 중 실온에 두었다.
실온에서 24 시간 동안 저장한 후, InP/Si 및 InP/AIN 결합된 쌍에 대해 각각 1000 mJ/m2및 1100 mJ/m2의 결합 에너지를 얻었다. 가공된 Si(380 ㎛ 두께)/산화물로 덮힌 AIN(280 ㎛ 두께) 웨이퍼 쌍의 경우, 실온에서 2500 mJ/m2의 결합 에너지가 달성되었다. 이 실온 결합된 플라즈마 처리된 웨이퍼 쌍은 후속 기판 랩핑 및 에칭 및 기판 제거 전후의 다른 전형적인 반도체 제조 공정을 견딜 만큼 충분한 결합 에너지를 갖는다.
실온 결합된 InP/AIN 쌍에서의 InP 기판을 1900# Al2O3분말로 초기 600 ㎛ 두께로부터 ~50 ㎛ 두께까지 랩핑한 후, HCl/H3PO4용액 중에서 에칭하여 AIN 또는 Si 웨이퍼 위에 약 2.0 ㎛ 두께의 InP 디바이스층이 남게 하였다. 물 및 에칭 용액은 결합 계면 안으로 침투하지 않았다.
표면을 라디칼, 이온, 광자 및 전자와 같은 에너지 입자에 의해 플라즈마 또는 RIE 방식으로 스퍼터 에칭하였다. 예를 들면, 원하는 VSE를 일으키는 조건 하에서 O2플라즈마는 반사율 분광법에 의해 측정하여 PECVD를 약 2 Å/분 스퍼터 에칭하는 것이다. 가열 산화물의 경우, 스퍼터 에칭 속도는 약 0.5 Å/분이다. 플라즈마 처리 전후의 두께는 반사율 분광법에 의해 측정하였고 각 웨이퍼 위의 98개 측정점을 평균하였다. O2플라즈마에 의한 에칭은 산화 및 스퍼터링에 의해 표면을 세정할 뿐만 아니라 웨이퍼 표면 위의 산화물의 결합도 끊었다.
하지만, 플라즈마 처리된 산화물 표면의 표면 조도는 에칭 과정에 의해 저하되어서는 안된다. AFM 측정 결과는 초기 표면 조도와 비교할 때 O2플라즈마 처리된 산화물 웨이퍼의 RMS가 ~2 Å이고 크게 변하지 않았다는 것을 보여준다. 한편, 에칭이 충분히 강하지 않으면, 결합 에너지 증가 효과도 작다. O2플라즈마 처리를 RIE 방식이 아닌 플라즈마 방식으로 하는 경우 다른 조건을 변하지 않게 하면, 산화물 표면의 에칭이 무시할 만하고 산화물 두께가 변하지 않는다. 최종 실온 결합 에너지는 RIE 처리된 웨이퍼의 1000 mJ/m2에 비해 385 mJ/m2에 지나지 않는다(도 6A참조).
다른 가스 플라즈마는 유사한 효과를 나타내었다. CF4/O2RIE를 사용하여 웨이퍼 표면으로부터 약 4 nm의 PECVD 산화물을 제거한 후 결합을 하였다. 실온 결합된 PECVD 산화물로 덮힌 실리콘 웨이퍼의 결합 에너지도 이런 방식으로 상당히 증가하였고 충분한 저장 시간 후 1000 mJ/m2를 넘었다(역시 도 6A 참조).
아르곤 플라즈마도 겉보기 속도가 16 초/m인 VSE에 사용되었다. RF 전력은 13.56 MHz에서 보통 60 W이었고, 진공 수준은 100 mTorr이었다. 산화물로 덮힌 실리콘 웨이퍼를 30초 내지 2분의 시간 동안 RIE 방식으로 플라즈마 처리하였다. 이어서 플라즈마 처리된 실리콘 웨이퍼를 NH4OH 용액에 침지시킨 후 스핀 건조 및 공기 중 실온 결합시켰다. 공기 중 8 h만 저장한 후에도 결합 에너지는 ~800 mJ/m2에 이르렀다.
분명히, 본 발명의 많은 변형 및 변동이 상기 교시 내용에 비추어 볼 때 가능할 것이다. 따라서, 첨주한 청구범위의 범위 내에서 본 발명은 본 명세서에 구체적으로 기술한 것 외에 달리 실시될 수 있다는 것을 이해할 것이다.

Claims (146)

  1. 제 1 및 제 2 결합 표면을 형성하는 단계;
    상기 제 1 및 제 2 결합 표면을 에칭하는 단계; 및
    상기 에칭 단계 후 상기 제 1 및 제 2 결합 표면을 실온에서 함께 결합시키는 단계
    를 포함하는 결합 방법.
  2. 제 1 항에 있어서, 상기 에칭 단계가 상기 에칭 후 상기 제 1 및 제 2 결합 표면의 각 표면 조도가 상기 에칭 전의 각 표면 조도와 실질적으로 같도록 상기 제 1 및 제 2 결합 표면을 에칭하는 단계를 포함하는 것인 방법.
  3. 제 2 항에 있어서, 0.1 내지 3.0 nm의 표면 조도를 갖는 상기 제 1 및 제 2 결합 표면을 형성하는 단계를 포함하는 방법.
  4. 제 1 항에 있어서, 상기 에칭 단계가 상기 제 1 및 제 2 결합 표면을 활성화시키고 상기 제 1 및 제 2 결합 표면 위에 선택된 결합 기를 형성하는 단계를 포함하는 것인 방법.
  5. 제 4 항에 있어서, 실온 부근에서 화학 결합을 형성할 수 있는 결합 기를 형성하는 단계를 포함하는 방법.
  6. 제 1 항에 있어서, 결합된 기가 상기 제 1 및 제 2 결합 표면의 계면으로부터 확산 또는 해리되도록 하는 상기 결합 표면들 사이의 화학 결합을 형성하는 단계를 포함하는 방법.
  7. 제 6 항에 있어서, 상기 결합 기들을 확산 또는 해리시킴으로써 상기 제 1 및 제 2 결합 표면 사이의 결합 강도를 증가시키는 단계를 포함하는 방법.
  8. 제 1 항에 있어서, 상기 에칭 단계가 상기 결합 표면 위에 원하는 원자 및 원하는 분자 중 하나의 단층을 형성하는 단계를 포함하는 것인 방법.
  9. 제 8 항에 있어서, 상기 에칭 단계가 상기 결합 표면 위에 원하는 원자 및 원하는 분자 중 하나의 수개의 단층을 형성하는 단계를 포함하는 것인 방법.
  10. 제 1 항에 있어서, 상기 에칭 단계 후, 상기 제 1 및 제 2 결합 표면을 용액에 침지시켜 원하는 종으로 종료되는 결합 표면을 형성하는 단계를 포함하는 방법.
  11. 제 10 항에 있어서, 상기 종이 실란올기, NH2기, 플루오르기 및 HF기 중 1이상을 포함하는 것인 방법.
  12. 제 10 항에 있어서, 상기 에칭 단계가 상기 결합 표면 위에 원하는 원자 및 원하는 분자 중 하나의 단층을 형성하는 단계를 포함하는 것인 방법.
  13. 제 1 항에 있어서, 상기 에칭 단계가 상기 제 1 및 제 2 결합 표면을 플라즈마에 노출시키는 단계를 포함하는 것인 방법.
  14. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면을 산소, 아르곤, NH3및 CF4플라즈마 공정 중 하나에 노출시키는 단계를 포함하는 방법.
  15. 제 14 항에 있어서, 상기 플라즈마 공정을 RIE 방식, ICP 방식, 플라즈마 방식 및 스퍼터링 방식 중 하나로 수행하는 단계를 포함하는 방법.
  16. 제 1 항에 있어서, 각각의 제 1 및 제 2 결합 표면을 각각의 원하는 표면 조도 및 평면도로 폴리싱하는 단계; 및
    상기 폴리싱 후 상기 제 1 및 제 2 결합 표면을 에칭하여 상기 제 1 및 제 2 결합 표면을 활성화시키는 단계
    를 포함하는 방법.
  17. 제 16 항에 있어서, 상기 제 1 및 제 2 결합 표면 중 1 이상을 형성하는 단계가 폴리싱 가능한 재료를 비평면형 표면 위에 증착시키는 단계를 포함하는 것인 방법.
  18. 제 17 항에 있어서, 상기 폴리싱 가능한 재료를 증착시키는 단계가 산화규소, 질화규소 또는 유전성 중합체 중 하나를 증착시키는 단계를 포함하는 것인 방법.
  19. 제 1 항에 있어서, 상기 에칭 단계가 실온 부근에서 상기 제 1 및 제 2 결합 표면 위에 결합 쌍의 사용가능한 결합 에너지를 증가시키는 단계를 포함하는 것인 방법.
  20. 제 19 항에 있어서, 500 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  21. 제 19 항에 있어서, 1000 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  22. 제 19 항에 있어서, 2000 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  23. 제 1 항에 있어서, 500 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  24. 제 1 항에 있어서, 1000 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  25. 제 1 항에 있어서, 2000 mJ/m2이상의 결합을 얻는 단계를 포함하는 방법.
  26. 제 1 항에 있어서, 상기 결합 표면 사이에 화학 결합을 형성하는 단계를 포함하는 방법.
  27. 제 26 항에 있어서, 주위 조건 및 진공 상태 중 하나에서 상기 결합 표면 사이에 화학 결합을 형성하는 단계를 포함하는 방법.
  28. 제 26 항에 있어서, 저진공 및 초고진공 중 하나에서 상기 결합 표면 사이에 화학 결합을 형성하는 단계를 포함하는 방법.
  29. 제 1 항에 있어서, 상기 결합된 결합 표면의 후속 가공 중에 웨이퍼 휨을 사실상 제거할 정도로 충분한 에너지의 결합을 형성하는 단계를 포함하는 방법.
  30. 제 29 항에 있어서, 상기 결합된 결합 표면의 후속 가열 사이클링 중에 웨이퍼 휨을 사실상 제거할 정도로 충분한 에너지의 결합을 형성하는 단계를 포함하는 방법.
  31. 제 1 항에 있어서, 상기 에칭 단계가,
    실온 부근에서 상기 제 1 및 제 2 결합 표면 위에 결합 쌍의 사용가능한 결합 에너지를 증가시키는 단계; 및
    실온에서 상기 결합을 전파시키는 단계
    를 포함하는 것인 방법.
  32. 제 1 항에 있어서, 실온에서 화학 결합을 전파시키는 단계를 포함하는 방법.
  33. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면을 갖는 제 1 및 제 2 결합 재료로서 이산화규소를 증착시키는 단계; 및
    산소 플라즈마를 이용하여 상기 제 1 및 제 2 결합 표면을 에칭하는 단계
    를 포함하는 방법.
  34. 제 33 항에 있어서, 상기 에칭 후 상기 결합 재료를 암모니아 기재 용액에서 세정하는 단계를 포함하는 방법.
  35. 제 34 항에 있어서, 상기 에칭 후 상기 결합 재료를 수산화암모늄에서 세정하는 단계를 포함하는 방법.
  36. 제 34 항에 있어서, 상기 에칭 후 상기 결합 재료를 플루오르화암모늄에서 세정하는 단계를 포함하는 방법.
  37. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면을 진공 하에서 에칭하는 단계; 및
    상기 제 1 및 제 2 결합 표면을 상기 진공을 깨지 않고 결합시키는 단계
    를 포함하는 방법.
  38. 제 1 항에 있어서, 결합 재료를 제 1 및 제 2 표면 각각 위에 증착시켜 상기 제 1 및 제 2 결합 표면을 얻는 단계를 포함하는 방법.
  39. 제 38 항에 있어서, 상기 결합 재료로서 이산화규소 및 질화규소 중 하나를 증착시키는 단계를 포함하는 방법.
  40. 제 1 항에 있어서,
    상기 결합 재료로서 이산화규소를 증착시키는 단계;
    산소, CF4, 및 Ar 플라즈마 RIE 중 하나를 이용하여 상기 이산화규소를 에칭하는 단계; 및
    상기 에칭 후 상기 이산화규소를 암모니아 기재 용액에서 세정하는 단계
    를 포함하는 방법.
  41. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 재료를 습식 에칭 공정을 이용하여 에칭하는 단계를 포함하는 방법.
  42. 제 42 항에 있어서, 상기 에칭 후 상기 제 1 및 제 2 결합 표면을 용액에 침지시키는 단계를 포함하는 방법.
  43. 제 1 항에 있어서,
    상기 결합 재료로서 이산화규소를 증착시키는 단계; 및
    희석된 HF 및 희석된 NH4F 중 하나를 이용하여 상기 이산화규소를 에칭하는 단계
    를 포함하는 방법.
  44. 제 43 항에 있어서, 상기 에칭 후 상기 이산화규소를 암모니아 기재 용액에서 세정하는 단계를 포함하는 방법.
  45. 제 1 항에 있어서,
    실리콘으로서 상기 제 1 및 제 2 결합 표면을 형성하는 단계; 및
    HNO3용액 및 희석된 HF를 이용하여 상기 결합 표면을 에칭하는 단계
    를 포함하는 방법.
  46. 제 1 항에 있어서,
    실리콘으로서 각각 맨(bare) 산화물 층을 갖는 상기 제 1 및 제 2 결합 표면을 형성하는 단계; 및
    상기 에칭 단계를 이용하여 상기 맨 산화물 층을 활성화시키는 단계
    를 포함하는 방법.
  47. 제 1 항에 있어서,
    실리콘으로서 각각 맨 산화물 층을 갖는 제 1 및 제 2 결합 표면을 형성하는 단계; 및
    상기 에칭 단계를 이용하여 상기 날 산화물 층을 활성화시키는 단계
    를 포함하는 방법.
  48. 제 47 항에 있어서, 플라즈마 에칭 중에 상기 실리콘에 결점 영역을 만드는 단계를 포함하는 방법.
  49. 제 1 항에 있어서,
    플라즈마 RIE 공정을 이용하여 상기 제 1 및 제 2 결합 재료를 에칭하는 단계;
    상기 결합 표면에 인접한 결점을 갖는 영역을 형성하는 단계; 및
    상기 영역을 이용하여 결합 부산물을 제거하는 단계
    를 포함하는 방법.
  50. 제 1 항에 있어서, 상기 에칭 단계가,
    상기 제 1 및 제 2 결합 표면을 활성화시키는 단계; 및
    상기 제 1 및 제 2 결합 표면 하에 결합 부산물을 제거하기 위한 영역을 만드는 단계
    를 포함하는 것인 방법.
  51. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면에 인접한 곳에 결합 부산물을 제거하는 것과 상기 결합 표면에 의해 흡수되거나 상기 결합 표면으로부터 확산될 수 있는 종으로 전환시키는 것 중 하나 이상을 하기 위한 영역을 만드는 단계를 포함하는 방법.
  52. 제 1 항에 있어서,
    산화물 층을 제 1 반도체 웨이퍼 위에 증착시킴으로써 상기 제 1 결합 표면을 형성하는 단계;
    산화물 층을 제 2 반도체 웨이퍼 위에 증착시킴으로써 상기 제 2 결합 표면을 형성하는 단계; 및
    상기 제 1 및 제 2 반도체 웨이퍼를 결합시키는 단계
    를 포함하는 방법.
  53. 제 1 항에 있어서,
    제 1 기판 및 제 1 활성 영역을 포함하는 제 1 반도체 웨이퍼 위에 증착 산화물 층으로서 상기 제 1 결합 표면을 형성하는 단계;
    제 2 기판 및 제 2 활성 영역을 포함하는 제 2 반도체 웨이퍼 위에 증착 산화물 층으로서 상기 제 2 결합 표면을 형성하는 단계;
    상기 제 1 및 제 2 반도체 웨이퍼를 결합시키는 단계; 및
    상기 결합 후 상기 제 1 및 제 2 기판 중 하나의 적어도 실질적 일부분을 제거하는 단계
    를 포함하는 방법.
  54. 제 1 항에 있어서,
    기판 및 활성 영역을 포함하는 반도체 웨이퍼 위에 증착 산화물 층으로서 상기 제 1 결합 표면을 형성하는 단계;
    대용 기판으로서 상기 제 2 결합 표면을 형성하는 단계;
    상기 웨이퍼 및 상기 대용 기판을 결합시키는 단계; 및
    상기 결합 후 상기 제 1 기판의 적어도 일부분을 제거하는 단계
    를 포함하는 방법.
  55. 제 1 항에 있어서, 상기 결합 단계가 상기 제 1 및 제 2 결합 표면 사이에 특정 시간 동안 접촉을 유지하여 결합 중합을 생성하고 부산물을 제거시키는 단계를 포함하는 것인 방법.
  56. 제 55 항에 있어서, 상기 제 1 및 제 2 결합 표면 사이에 약 20 시간 미만의 시간 동안 상기 접촉을 유지하는 단계를 포함하는 방법.
  57. 제 1 항에 있어서, 상기 결합 단계가 상기 제 1 및 제 2 결합 표면을 특정 시간 동안 주위 조건에서 유지하여 결합 부산물을 제거하는 단계를 포함하는 것인 방법.
  58. 제 1 항에 있어서,
    진공 하에서 결합 정착물을 이용하여 상기 제 1 및 제 2 결합 표면을 에칭하는 단계;
    상기 진공을 유지하면서 상기 정착물을 이용하여 상기 제 1 및 제 2 결합 표면을 결합시켜 상기 제 1 및 제 2 결합 표면을 합치는 단계
    를 포함하는 방법.
  59. 제 1 항에 있어서,
    전기 디바이스를 포함하는 제 1 웨이퍼 위에 제 1 산화물 층을 형성하는 단계; 및
    상기 제 1 산화물 층을 폴리싱하여 상기 제 1 결합 표면을 형성하는 단계
    를 포함하는 방법.
  60. 제 59 항에 있어서,
    전기 디바이스를 포함하는 상기 제 2 웨이퍼 위에 상기 제 2 산화물 층을 형성하는 단계; 및
    상기 제 2 산화물 층을 폴리싱하여 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  61. 제 60 항에 있어서,
    제 1 기술의 전기 디바이스를 포함하는 상기 제 1 웨이퍼 위에 상기 제 1 산화물 층을 형성하는 단계; 및
    상기 제 1 기술과는 상이한 제 2 기술의 전기 디바이스를 포함하는 상기 제 2 웨이퍼 위에 상기 제 2 산화물 층을 형성하는 단계
    를 포함하는 방법.
  62. 제 60 항에 있어서, 상기 제 1 및 제 2 디바이스를 서로 연결하는 단계를 포함하는 방법.
  63. 제 60 항에 있어서, 가열 스프레더, 대용 기판, 안테나, 와이어링 층, 및 미리 형성된 다층 인터컨넥트 중 하나의 표면 위에 상기 제 1 결합 표면을 형성하는 단계를 포함하는 방법.
  64. 제 60 항에 있어서, 가열 스프레더, 대용 기판, 안테나, 와이어링 층, 및 미리 형성된 다층 인터컨넥트 중 하나의 표면 위에 상기 제 2 결합 표면을 형성하는 단계를 포함하는 방법.
  65. 제 1 항에 있어서, 제 1 집적 회로를 포함하는 제 1 웨이퍼 위에 상기 제 1 결합 표면을 형성하는 단계.
  66. 제 65 항에 있어서, 제 2 집적 회로를 포함하는 제 2 웨이퍼 위에 상기 제 2 결합 표면을 형성하는 단계를 포함하는 방법.
  67. 제 66 항에 있어서, 제 1 기술의 상기 제 1 집적 회로를 포함하는 상기 제 1웨이퍼 위에 상기 제 1 결합 표면을 형성하는 단계; 및
    상기 제 1 기술과는 상이한 제 2 기술의 상기 제 2 집적 회로를 포함하는 상기 제 2 웨이퍼 위에 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  68. 제 66 항에 있어서, 상기 제 1 및 제 2 집적 회로를 서로 연결하는 단계를 포함하는 방법.
  69. 제 1 항에 있어서, 실질적으로 평면인 상기 제 1 및 제 2 결합 표면을 포함하는 방법.
  70. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면을 형성하는 단계가 유전성 재료를 증착시키는 단계를 포함하는 것인 방법.
  71. 제 70 항에 있어서, 상기 유전성 재료를 원하는 평면도 및 표면 조도로 폴리싱하는 단계를 포함하는 방법.
  72. 제 70 항에 있어서, 상기 유전성 재료를 비평면형 표면 위에 증착시키는 단계를 포함하는 방법.
  73. 제 72 항에 있어서, 상기 폴리싱 단계가 화학-기계적 폴리싱을 포함하는 것인 방법.
  74. 제 1 항에 있어서,
    상기 제 1 및 제 2 결합 표면을 비평면형으로 형성하는 단계; 및
    상기 제 1 및 제 2 결합 표면을 원하는 평면도 및 표면 조도로 폴리싱하는 단계
    를 포함하는 방법.
  75. 제 74 항에 있어서, 상기 폴리싱 단계가 화학-기계적 폴리싱을 포함하는 것인 방법.
  76. 제 1 항에 있어서, 상기 에칭 단계가,
    상기 결합 표면을 활성화시키는 단계; 및
    상기 결합 표면을 원하는 종으로 종료시키는 단계
    를 포함하는 것인 방법.
  77. 제 1 항에 있어서, 상기 에칭 단계가,
    상기 결합 표면을 활성화시키는 제 1 에칭 단계; 및
    상기 결합 표면을 원하는 종으로 종료시키는 제 2 에칭 단계
    를 포함하는 것인 방법.
  78. 제 1 항에 있어서,
    상기 에칭 단계를 이용하여 에칭된 결합 표면을 얻는 단계; 및
    상기 결합 표면을 가스상의 화학 환경에 노출시켜 상기 에칭된 결합 표면을 원하는 종으로 종료시키는 단계
    를 포함하는 방법.
  79. 제 1 항에 있어서,
    전기 디바이스를 포함하고 비평면형 표면을 갖는 제 1 웨이퍼 위에 제 1 산화물 층을 형성하는 단계;
    전기 디바이스를 포함하는 제 2 웨이퍼 위에 제 2 산화물 층을 형성하는 단계; 및
    상기 제 1 및 제 2 산화물 층을 폴리싱하여 상기 제 1 및 제 2 결합 표면을 각각 형성하는 단계
    를 포함하는 방법.
  80. 제 79 항에 있어서, 비평면형 표면을 갖는 상기 제 2 웨이퍼 위에 상기 제 2 산화물 층을 형성하는 단계를 포함하는 방법.
  81. 제 1 항에 있어서,
    전기 디바이스를 포함하고 불규칙한 표면 토폴로지를 갖는 제 1 웨이퍼 위에 제 1 산화물 층을 형성하는 단계;
    전기 디바이스를 포함하는 제 2 웨이퍼 위에 제 2 산화물 층을 형성하는 단계; 및
    상기 제 1 및 제 2 산화물 층을 폴리싱하여 상기 제 1 및 제 2 결합 표면을 각각 형성하는 단계
    를 포함하는 방법.
  82. 제 81 항에 있어서, 불규칙한 표면 토폴로지를 갖는 상기 제 2 웨이퍼 위에 상기 제 2 산화물 층을 형성하는 단계를 포함하는 방법.
  83. 각각 0.1 내지 3 nm 범위의 표면 조도를 갖는 제 1 및 제 2 결합 표면을 형성하는 단계;
    상기 표면 조도를 유지하면서 상기 제 1 및 제 2 결합 표면으로부터 재료를 제거하는 단계; 및
    실온에서 500 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 직접 결합시키는 단계
    를 포함하는 결합 방법.
  84. 제 83 항에 있어서, 실온에서 1000 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 직접 결합시키는 단계를 포함하는 방법.
  85. 제 83 항에 있어서, 실온에서 2000 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 직접 결합시키는 단계를 포함하는 방법.
  86. 제 83 항에 있어서, 상기 제 1 및 제 2 결합 표면을 활성화시키고 선택된 결합 기들을 상기 제 1 및 제 2 결합 표면 위에 형성하는 단계를 포함하는 방법.
  87. 제 83 항에 있어서,
    각각의 제 1 및 제 2 결합 표면을 상기 표면 조도로 폴리싱하는 단계; 및
    상기 폴리싱 후 상기 제 1 및 제 2 결합 표면을 에칭하여 상기 제 1 및 제 2 결합 표면을 활성화시키는 단계
    를 포함하는 방법.
  88. 제 83 항에 있어서, 상기 결합 단계 중에 결합 부산물을 상기 결합 표면에 의해 흡수되거나 상기 결합 표면으로부터 확산될 수 있는 종으로 전환시키는 단계를 포함하는 방법.
  89. 제 83 항에 있어서,
    플라즈마 RIE 공정을 이용하여 상기 제 1 및 제 2 결합 표면을 에칭하는 단계;
    결점을 갖는 표면하층을 형성하는 단계; 및
    상기 표면하층을 이용하여 결합 부산물을 제거하는 단계
    를 포함하는 방법.
  90. 제 83 항에 있어서,
    안에 디바이스가 형성된 제 1 반도체 웨이퍼의 표면으로서 상기 제 1 결합 표면을 형성하는 단계; 및
    안에 디바이스가 형성된 제 2 반도체 웨이퍼의 표면으로서 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  91. 제 90 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 상기 하나의 실질적 일부분을 제거하는 단계를 포함하는 방법.
  92. 제 90 항에 있어서, 상기 제 1 및 제 2 웨이퍼 안에 디바이스를 서로 연결하는 단계를 포함하는 방법.
  93. 제 83 항에 있어서,
    전기 디바이스를 포함하는 제 1 웨이퍼 위에 제 1 절연층을 형성하는 단계;
    상기 제 1 절연층을 폴리싱하여 상기 제 1 결합 표면을 형성하는 단계;
    전기 디바이스를 포함하는 제 2 웨이퍼 위에 제 2 절연층을 형성하는 단계; 및
    상기 제 2 산화물 층을 폴리싱하여 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  94. 제 83 항에 있어서,
    전기 디바이스를 포함하고 불규칙한 표면 토폴로지를 갖는 제 1 웨이퍼 위에 제 1 절연층을 형성하는 단계;
    상기 제 1 절연층을 폴리싱하여 상기 제 1 결합 표면을 형성하는 단계;
    전기 디바이스를 포함하고 불규칙한 표면 토폴로지를 갖는 제 2 웨이퍼 위에 제 2 절연층을 형성하는 단계; 및
    상기 제 2 산화물 층을 폴리싱하여 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  95. 제 1 및 제 2 결합 표면을 형성하는 단계;
    상기 제 1 및 제 2 결합 표면을 에칭하는 단계;
    실온 부근에서 화학 결합을 형성시킬 수 있는 종으로 상기 제 1 및 제 2 결합 표면을 종료시키는 단계; 및
    실온 부근에서 상기 제 1 및 제 2 결합 표면을 결합시키는 단계
    를 포함하는 결합 방법.
  96. 제 95 항에 있어서, 실온에서 500 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 결합시키는 단계를 포함하는 방법.
  97. 제 95 항에 있어서, 실온에서 1000 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 결합시키는 단계를 포함하는 방법.
  98. 제 95 항에 있어서, 실온에서 2000 mJ/m2이상의 결합 강도로 상기 제 1 및 제 2 결합 표면을 결합시키는 단계를 포함하는 방법.
  99. 제 95 항에 있어서, 상기 결합 단계 전에 상기 제 1 및 제 2 결합 표면을 활성화시키는 단계를 포함하는 방법.
  100. 제 95 항에 있어서,
    상기 제 1 및 제 2 결합 표면을 폴리싱하는 단계; 및
    상기 폴리싱 후 상기 제 1 및 제 2 결합 표면을 에칭하여 상기 제 1 및 제 2 결합 표면을 활성화시키는 단계
    를 포함하는 방법.
  101. 제 95 항에 있어서, 상기 결합 단계 중에 결합 부산물을 상기 결합 표면에 의해 흡수되거나 상기 결합 표면으로부터 확산될 수 있는 종으로 전환시키는 단계를 포함하는 방법.
  102. 제 95 항에 있어서,
    안에 디바이스가 형성된 제 1 반도체 웨이퍼의 표면으로서 상기 제 1 결합 표면을 형성하는 단계; 및
    안에 디바이스가 형성된 제 2 반도체 웨이퍼의 표면으로서 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  103. 제 102 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 하나가 기판을 포함하는 것이고, 상기 제 1 및 제 2 웨이퍼 중 상기 하나의 실질적 일부를 제거하는 단계를 포함하는 방법.
  104. 제 102 항에 있어서, 상기 제 1 및 제 2 웨이퍼에서 디바이스들을 서로 연결하는 단계를 포함하는 방법.
  105. 제 95 항에 있어서,
    전기 디바이스를 포함하는 제 1 웨이퍼 위에 제 1 절연층을 형성하는 단계;
    상기 제 1 절연층을 폴리싱하여 상기 제 1 결합 표면을 형성하는 단계;
    전기 디바이스를 포함하는 제 2 웨이퍼 위에 제 2 절연층을 형성하는 단계; 및
    상기 제 2 산화물 층을 폴리싱하여 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  106. 제 95 항에 있어서,
    전기 디바이스를 포함하고 불규칙한 표면 토폴로지를 갖는 제 1 웨이퍼 위에 제 1 절연층을 형성하는 단계;
    상기 제 1 절연층을 폴리싱하여 상기 제 1 결합 표면을 형성하는 단계;
    전기 디바이스를 포함하고 불규칙한 표면 토폴로지를 갖는 제 2 웨이퍼 위에 제 2 절연층을 형성하는 단계; 및
    상기 제 2 산화물 층을 폴리싱하여 상기 제 2 결합 표면을 형성하는 단계
    를 포함하는 방법.
  107. 제 1 에칭된 결합 표면을 갖는 제 1 재료; 및
    실온에서 500 내지 2000 mJ/m2이상의 결합 강도로 상기 제 1 결합 표면에 직접 결합된 제 2 에칭된 결합 표면을 갖는 제 2 재료
    를 포함하는 결합된 디바이스.
  108. 제 107 항에 있어서, 원하는 결합 종으로 활성화되고 종료되는 상기 제 1 및 제 2 결합 표면을 포함하는 디바이스.
  109. 제 108 항에 있어서, 상기 원하는 종이 상기 결합 표면 위에 원하는 원자 및 원하는 분자 중 하나의 단층을 포함하는 것인 디바이스.
  110. 제 108 항에 있어서, 상기 원하는 종이 실란올기, NH2기, 플루오르기 및 HF기 중 1 이상을 포함하는 것인 디바이스.
  111. 제 107 항에 있어서, 상기 제 1 및 제 2 결합 표면 각각에 인접하게 위치하는 결점 영역을 각각 갖는 상기 제 1 및 제 2 결합 표면을 포함하는 디바이스.
  112. 제 107 항에 있어서,
    상기 제 1 재료가 안에 디바이스가 형성된 제 1 반도체 웨이퍼의 표면을 포함하는 것이고;
    상기 제 2 재료가 안에 디바이스가 형성된 제 2 반도체 웨이퍼의 표면을 포함하는 것인 디바이스.
  113. 제 112 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 하나가 상기 제 1 및 제 2 웨이퍼 중 상기 하나의 기판의 실질적 일부분을 제거한 후의 디바이스 영역을 포함하는 것인 디바이스.
  114. 제 112 항에 있어서, 상기 제 1 및 제 2 웨이퍼 내 디바이스가 서로 연결된 것인 디바이스.
  115. 제 112 항에 있어서, 상기 제 1 및 제 2 웨이퍼가 상이한 기술인 디바이스.
  116. 제 107 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 하나가 집적 회로를 포함하는 것인 디바이스.
  117. 제 116 항에 있어서, 상기 제 1 및 제 2 웨이퍼 내 디바이스가 서로 연결된 것인 디바이스.
  118. 제 116 항에 있어서, 상기 제 1 및 제 2 웨이퍼가 불규칙한 표면 토폴로지를 갖는 것인 디바이스.
  119. 제 107 항에 있어서, 상기 제 1 재료는, 전기 디바이스를 포함하고 제 1 비평면형 표면을 갖는 제 1 웨이퍼를 포함하는 것이고; 상기 제 1 결합 표면은, 상기 제 1 비평면형 표면 위에 폴리싱되고 에칭된 증착 산화물 층을 포함하는 것인 디바이스.
  120. 제 119 항에 있어서, 상기 제 2 재료는, 전기 디바이스를 포함하고 제 2 비평면형 표면을 갖는 제 2 웨이퍼를 포함하는 것이고; 상기 제 2 결합 표면은, 상기 제 2 비평면형 표면 위에 폴리싱되고, 평면화되고 에칭된 증착 산화물 층을 포함하는 것인 디바이스.
  121. 제 107 항에 있어서, 상기 제 1 재료는, 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 1 표면을 갖는 제 1 웨이퍼를 포함하는 것이고; 상기 제 1 결합 표면은, 상기 제 1 표면 위에 폴리싱되고, 평면화되고 에칭된 증착 산화물 층을 포함하는 것인 방법.
  122. 제 121 항에 있어서, 상기 제 2 재료는 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 2 표면을 갖는 제 2 웨이퍼를 포함하는 것이고; 상기 제 2 결합 표면은 상기 제 2 표면 위에 폴리싱되고, 평면화되고 에칭된 증착 산화물 층을 포함하는 것인 방법.
  123. 제 1의 원하는 결합 종으로 종료되는 제 1의 에칭되고 활성화된 결합 표면을 갖는 제 1 재료; 및
    실온에서 상기 제 1 결합 표면에 결합된 제 2의 원하는 결합 종으로 종료되는 제 2의 에칭되고 활성화된 결합 표면을 갖는 제 2 재료
    를 포함하는 결합된 디바이스.
  124. 제 123 항에 있어서, 상기 종이 실란올기, NH2기, 플루오르기 및 HF기 중 1 이상을 포함하는 것인 디바이스.
  125. 제 123 항에 있어서, 상기 표면에 인접하게 위치하는 결점 영역을 각각 갖는 상기 제 1 및 제 2 결합 표면을 포함하는 디바이스.
  126. 제 123 항에 있어서, 상기 원하는 종이 상기 결합 표면 위의 원하는 원자 및 원하는 분자 중 하나의 단층을 포함하는 것인 디바이스.
  127. 제 123 항에 있어서, 실온에서 500 내지 2000 mJ/m2이상의 결합 강도로 상기 제 1 결합 표면에 결합된 상기 제 2 결합 표면을 포함하는 디바이스.
  128. 제 123 항에 있어서, 상기 제 1 결합 표면은 안에 디바이스가 형성된 제 1 반도체 웨이퍼의 표면을 포함하는 것이고; 상기 제 2 결합 표면은 안에 디바이스가 형성된 제 2 반도체 웨이퍼의 표면을 포함하는 것인 디바이스.
  129. 제 128 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 하나가 상기 제 1 및 제 2 웨이퍼 중 상기 하나의 기판의 실질적 일부분을 제거한 후의 디바이스 영역을 포함하는 것인 디바이스.
  130. 제 123 항에 있어서, 상기 제 1 및 제 2 웨이퍼 내 디바이스가 서로 연결된 것인 디바이스.
  131. 제 123 항에 있어서, 상기 제 1 및 제 2 웨이퍼가 상이한 기술인 디바이스.
  132. 제 123 항에 있어서, 상기 제 1 및 제 2 웨이퍼 중 하나가 집적 회로를 포함하는 것인 디바이스.
  133. 제 123 항에 있어서, 상기 제 1 및 제 2 웨이퍼 내 디바이스가 서로 연결된 것인 디바이스.
  134. 제 123 항에 있어서, 상기 제 1 재료는, 전기 디바이스를 포함하고 불규칙한토폴로지를 갖는 제 1 웨이퍼를 포함하는 것이고; 상기 제 1 결합 표면은, 상기 제 1 비평면형 표면 위에 폴리싱되고, 에칭된 증착 산화물 층을 포함하는 것인 디바이스.
  135. 제 134 항에 있어서, 상기 제 2 재료는, 전기 디바이스를 포함하고 제 2 비평면형 표면을 갖는 제 2 웨이퍼를 포함하는 것이고; 상기 제 2 결합 표면은, 상기 제 2 비평면형 표면 위에 폴리싱되고, 평면화되고 에칭된 증착 산화물 층을 포함하는 것인 디바이스.
  136. 제 123 항에 있어서, 상기 제 1 재료는, 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 1 표면을 갖는 제 1 웨이퍼를 포함하는 것이고; 상기 제 1 결합 표면은, 상기 제 1 표면 위에 폴리싱되고, 평면화되고, 에칭된 증착 산화물 층을 포함하는 것인 방법.
  137. 제 123 항에 있어서, 상기 제 2 재료는, 전기 디바이스를 포함하고 불규칙한 토폴로지를 갖는 제 2 표면을 갖는 제 2 웨이퍼를 포함하는 것이고; 상기 제 2 결합 표면은, 상기 제 2 표면 위에 폴리싱되고, 평면화되고, 에칭된 증착 산화물 층을 포함하는 것인 방법.
  138. 제 1 항에 있어서, 상기 제 1 및 제 2 결합 표면은 제 1 및 제 2 기판의 각표면들이고, 상기 제 1 및 제 2 기판 중 하나의 실질적 일부분을 제거하는 단계를 포함하는 방법.
  139. 제 138 항에 있어서, 상기 제거 단계 후 상기 결합된 기판을 아닐링하는 단계를 포함하는 방법.
  140. 제 138 항에 있어서, 상기 제 1 및 제 2 기판은 제 1 및 제 2 전기 디바이스를 갖는 것이고, 상기 제거 단계 후 상기 제 1 및 제 2 디바이스를 서로 연결하는 단계를 포함하는 방법.
  141. 제 91 항에 있어서, 상기 제거 단계 후 상기 결합된 기판을 아닐링하는 단계를 포함하는 방법.
  142. 제 91 항에 있어서, 상기 제거 단계 후 상기 제 1 및 제 2 반도체 웨이퍼 내의 디바이스를 서로 연결하는 단계를 포함하는 방법.
  143. 제 103 항에 있어서, 상기 제거 단계 후 상기 결합된 기판을 아닐링하는 단계를 포함하는 방법.
  144. 제 113 항에 있어서, 상기 디바이스 영역이 아닐링된 디바이스 영역을 포함하는 것인 디바이스.
  145. 제 129 항에 있어서, 상기 제 1 및 제 2 재료가 각각의 제 1 및 제 2 기판을 포함하는 것이고; 상기 제 1 및 제 2 기판 중 하나가 상기 제 1 및 제 2 기판 중 상기 하나의 기판의 실질적 일부분을 제거함으로써 얻어지는 기판 영역을 포함하는 것인 디바이스.
  146. 제 145 항에 있어서, 상기 기판 영역이 아닐링된 기판 영역을 포함하는 것인 디바이스.
KR1020027010561A 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조 KR20020081328A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/505,283 US6902987B1 (en) 2000-02-16 2000-02-16 Method for low temperature bonding and bonded structure
US09/505,283 2000-02-16
PCT/US2001/003683 WO2001061743A1 (en) 2000-02-16 2001-02-15 Method for low temperature bonding and bonded structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097011631A Division KR20090077981A (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조

Publications (1)

Publication Number Publication Date
KR20020081328A true KR20020081328A (ko) 2002-10-26

Family

ID=24009686

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020027010561A KR20020081328A (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조
KR1020097011631A KR20090077981A (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조
KR1020117015751A KR101298859B1 (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020097011631A KR20090077981A (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조
KR1020117015751A KR101298859B1 (ko) 2000-02-16 2001-02-15 저온 결합 방법 및 결합된 구조

Country Status (7)

Country Link
US (15) US6902987B1 (ko)
EP (2) EP2287896A2 (ko)
JP (3) JP5496439B2 (ko)
KR (3) KR20020081328A (ko)
AU (1) AU2001241447A1 (ko)
CA (1) CA2399282C (ko)
WO (1) WO2001061743A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101041015B1 (ko) * 2005-11-28 2011-06-16 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 분자 접착에 의한 결합 방법 및 장치
KR101232504B1 (ko) * 2004-10-26 2013-02-12 휴렛-팩커드 디벨롭먼트 컴퍼니, 엘.피. 전자 장치 구성 방법 및 유체 분사 장치
KR101252292B1 (ko) * 2003-02-07 2013-04-05 집트로닉스 인코퍼레이티드 상온에서의 금속의 직접 결합
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6563133B1 (en) * 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6793759B2 (en) * 2001-10-09 2004-09-21 Dow Corning Corporation Method for creating adhesion during fabrication of electronic devices
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US20060127599A1 (en) * 2002-02-12 2006-06-15 Wojak Gregory J Process and apparatus for preparing a diamond substance
US6822326B2 (en) * 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
FR2846788B1 (fr) * 2002-10-30 2005-06-17 Procede de fabrication de substrats demontables
FR2847077B1 (fr) 2002-11-12 2006-02-17 Soitec Silicon On Insulator Composants semi-conducteurs, et notamment de type soi mixtes, et procede de realisation
JP2006520088A (ja) * 2002-12-04 2006-08-31 ズス・マイクロテック・リソグラフィ・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング 接着される基板を前処理するための方法および装置
US20040126993A1 (en) * 2002-12-30 2004-07-01 Chan Kevin K. Low temperature fusion bonding with high surface energy using a wet chemical treatment
FR2857953B1 (fr) * 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
FR2858461B1 (fr) * 2003-07-30 2005-11-04 Soitec Silicon On Insulator Realisation d'une structure comprenant une couche protegeant contre des traitements chimiques
JP3980539B2 (ja) * 2003-08-29 2007-09-26 唯知 須賀 基板接合方法、照射方法、および基板接合装置
DE10344113A1 (de) * 2003-09-24 2005-05-04 Erich Thallner Vorrichtung und Verfahren zum Verbinden von Wafern
FR2861497B1 (fr) 2003-10-28 2006-02-10 Soitec Silicon On Insulator Procede de transfert catastrophique d'une couche fine apres co-implantation
US7084460B2 (en) * 2003-11-03 2006-08-01 International Business Machines Corporation Method for fabricating SiGe-on-insulator (SGOI) and Ge-on-insulator (GOI) substrates
FR2863405B1 (fr) * 2003-12-08 2006-02-03 Commissariat Energie Atomique Collage moleculaire de composants microelectroniques sur un film polymere
FR2864336B1 (fr) * 2003-12-23 2006-04-28 Commissariat Energie Atomique Procede de scellement de deux plaques avec formation d'un contact ohmique entre celles-ci
FR2864970B1 (fr) * 2004-01-09 2006-03-03 Soitec Silicon On Insulator Substrat a support a coefficient de dilatation thermique determine
US7422384B2 (en) * 2004-03-17 2008-09-09 Hewlett-Packard Development, L.P. System and a method for printing small print jobs
JP4411123B2 (ja) * 2004-03-31 2010-02-10 新光電気工業株式会社 放熱板の製造方法
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
CN1942281A (zh) * 2004-04-08 2007-04-04 松下电器产业株式会社 接合方法及其装置
FR2871291B1 (fr) * 2004-06-02 2006-12-08 Tracit Technologies Procede de transfert de plaques
FR2872627B1 (fr) * 2004-06-30 2006-08-18 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats
US7261793B2 (en) * 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
JP2006080314A (ja) * 2004-09-09 2006-03-23 Canon Inc 結合基板の製造方法
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7622048B2 (en) * 2004-10-21 2009-11-24 Fujifilm Dimatix, Inc. Sacrificial substrate for etching
US7563691B2 (en) * 2004-10-29 2009-07-21 Hewlett-Packard Development Company, L.P. Method for plasma enhanced bonding and bonded structures formed by plasma enhanced bonding
US7238589B2 (en) * 2004-11-01 2007-07-03 International Business Machines Corporation In-place bonding of microstructures
FR2879183B1 (fr) * 2004-12-15 2007-04-27 Atmel Grenoble Soc Par Actions Procede de fabrication collective de microstructures a elements superposes
FR2879208B1 (fr) * 2004-12-15 2007-02-09 Commissariat Energie Atomique Procede de collage de deux surfaces libres, respectivement de premier et second substrats differents
US7372145B2 (en) * 2005-02-28 2008-05-13 Silverbrook Research Pty Ltd Bonded assembly having improved adhesive bond strength
US7425052B2 (en) * 2005-02-28 2008-09-16 Silverbrook Research Pty Ltd Printhead assembly having improved adhesive bond strength
JP2006258958A (ja) * 2005-03-15 2006-09-28 Shibaura Mechatronics Corp 基板接着方法及び基板接着装置
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
EP2595177A3 (en) * 2005-05-17 2013-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007012788A (ja) * 2005-06-29 2007-01-18 Elpida Memory Inc 半導体装置の製造方法
FR2888402B1 (fr) * 2005-07-06 2007-12-21 Commissariat Energie Atomique Procede d'assemblage de substrats par depot d'une couche mince de collage d'oxyde ou de nitrure et structure ainsi assemblee
FR2888663B1 (fr) * 2005-07-13 2008-04-18 Soitec Silicon On Insulator Procede de diminution de la rugosite d'une couche epaisse d'isolant
EP1911086A2 (en) * 2005-07-26 2008-04-16 Amberwave Systems Corporation Solutions integrated circuit integration of alternative active area materials
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US8217473B2 (en) * 2005-07-29 2012-07-10 Hewlett-Packard Development Company, L.P. Micro electro-mechanical system packaging and interconnect
US20070023850A1 (en) * 2005-07-30 2007-02-01 Chien-Hua Chen Bonding surfaces together via plasma treatment on both surfaces with wet treatment on only one surface
US20070032044A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
US20070048980A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Method for post-rie passivation of semiconductor surfaces for epitaxial growth
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7371662B2 (en) * 2006-03-21 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a 3D interconnect and resulting structures
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7378339B2 (en) * 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
EP2002484A4 (en) 2006-04-05 2016-06-08 Silicon Genesis Corp METHOD AND STRUCTURE FOR MANUFACTURING PHOTOVOLTAIC CELLS USING A LAYER TRANSFER PROCESS
US7476289B2 (en) * 2006-06-29 2009-01-13 Applied Materials, Inc. Vacuum elastomer bonding apparatus and method
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8138087B2 (en) * 2006-09-18 2012-03-20 Nxp B.V. Method of manufacturing an integrated circuit
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
FR2912839B1 (fr) * 2007-02-16 2009-05-15 Soitec Silicon On Insulator Amelioration de la qualite de l'interface de collage par nettoyage froid et collage a chaud
JP5433927B2 (ja) * 2007-03-14 2014-03-05 株式会社Sumco 貼り合わせウェーハの製造方法
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
WO2008156040A1 (en) * 2007-06-20 2008-12-24 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
FR2919427B1 (fr) * 2007-07-26 2010-12-03 Soitec Silicon On Insulator Structure a reservoir de charges.
JP2010538495A (ja) 2007-09-07 2010-12-09 アンバーウェーブ・システムズ・コーポレーション 多接合太陽電池
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
JP5522917B2 (ja) 2007-10-10 2014-06-18 株式会社半導体エネルギー研究所 Soi基板の製造方法
FR2923079B1 (fr) * 2007-10-26 2017-10-27 S O I Tec Silicon On Insulator Tech Substrats soi avec couche fine isolante enterree
JP4348454B2 (ja) * 2007-11-08 2009-10-21 三菱重工業株式会社 デバイスおよびデバイス製造方法
DE102007060785B4 (de) * 2007-12-17 2011-12-15 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung eines (Vielfach-) Bauelements auf Basis ultraplanarer Metallstrukturen
FR2925221B1 (fr) * 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
DE102008011354B3 (de) * 2008-02-27 2009-09-24 Carl Zeiss Smt Ag Verfahren zum Verbinden zweier Komponenten zu einer Verbundstruktur durch "fusion bonding" sowie damit hergestellte Verbundstruktur, optisches Element, Haltevorrichtung, Projektionsobjektiv und Projektionsbelichtungsanlage
DE102008014119B4 (de) * 2008-03-13 2013-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Herstellen eines 3-dimensionalen, polymeres Material aufweisenden Formkörpers, Verfahren zum Herstellen einer Beschichtung aus polymerem Material sowie ein 3-dimensionaler Formkörper
FR2931585B1 (fr) * 2008-05-26 2010-09-03 Commissariat Energie Atomique Traitement de surface par plasma d'azote dans un procede de collage direct
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US7972938B2 (en) * 2008-06-05 2011-07-05 Ues, Inc. Methods of splitting CdZnTe layers from CdZnTe substrates for the growth of HgCdTe
US8763682B2 (en) * 2008-06-20 2014-07-01 Orbital Technologies Corporation Condensing heat exchanger with hydrophilic antimicrobial coating
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
KR101548173B1 (ko) * 2008-09-18 2015-08-31 삼성전자주식회사 실리콘 다이렉트 본딩(sdb)을 이용한 임시 웨이퍼 임시 본딩 방법, 및 그 본딩 방법을 이용한 반도체 소자 및 반도체 소자 제조 방법
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
FR2938202B1 (fr) * 2008-11-07 2010-12-31 Soitec Silicon On Insulator Traitement de surface pour adhesion moleculaire
US7863097B2 (en) 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
FR2942911B1 (fr) * 2009-03-09 2011-05-13 Soitec Silicon On Insulator Procede de realisation d'une heterostructure avec adaptation locale de coefficient de dilatation thermique
SG171987A1 (en) 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US9018077B2 (en) 2009-04-30 2015-04-28 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Methods for wafer bonding, and for nucleating bonding nanophases
JP2012526394A (ja) 2009-05-05 2012-10-25 スリーエム イノベイティブ プロパティズ カンパニー Ledとともに使用するための再発光半導体キャリア素子及び製造方法
EP2427921A1 (en) 2009-05-05 2012-03-14 3M Innovative Properties Company Semiconductor devices grown on indium-containing substrates utilizing indium depletion mechanisms
US20100314149A1 (en) 2009-06-10 2010-12-16 Medtronic, Inc. Hermetically-sealed electrical circuit apparatus
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
US8278187B2 (en) * 2009-06-24 2012-10-02 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate by stepwise etching with at least two etching treatments
KR20120032487A (ko) * 2009-06-24 2012-04-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 처리 및 soi 기판의 제작 방법
CN102474932B (zh) 2009-06-30 2015-12-16 3M创新有限公司 具有可调节色温的白光电致发光器件
CN102473817A (zh) 2009-06-30 2012-05-23 3M创新有限公司 无镉再发光半导体构造
KR20120055540A (ko) 2009-06-30 2012-05-31 쓰리엠 이노베이티브 프로퍼티즈 컴파니 전류 집중에 기초한 색 조정을 갖는 전계발광 디바이스
FR2947481B1 (fr) * 2009-07-03 2011-08-26 Commissariat Energie Atomique Procede de collage cuivre-cuivre simplifie
US8669588B2 (en) * 2009-07-06 2014-03-11 Raytheon Company Epitaxially-grown position sensitive detector
KR101752901B1 (ko) * 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US8318588B2 (en) * 2009-08-25 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
WO2011043178A1 (en) * 2009-10-09 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Reprocessing method of semiconductor substrate, manufacturing method of reprocessed semiconductor substrate, and manufacturing method of soi substrate
US20110180896A1 (en) * 2010-01-25 2011-07-28 International Business Machines Corporation Method of producing bonded wafer structure with buried oxide/nitride layers
KR101398080B1 (ko) * 2010-02-04 2014-05-23 소이텍 접합 반도체 구조물 및 그 형성방법
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8557679B2 (en) * 2010-06-30 2013-10-15 Corning Incorporated Oxygen plasma conversion process for preparing a surface for bonding
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2963159B1 (fr) * 2010-07-21 2018-01-19 Soitec Procedes de formation de structures semi-conductrices liees, et structures semi-conductrices formees par ces procedes
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
SG177816A1 (en) 2010-07-15 2012-02-28 Soitec Silicon On Insulator Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
FR2963982B1 (fr) * 2010-08-20 2012-09-28 Soitec Silicon On Insulator Procede de collage a basse temperature
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
CN105374667B (zh) * 2011-01-25 2019-01-11 Ev 集团 E·索尔纳有限责任公司 用于永久接合晶片的方法
KR101810310B1 (ko) 2011-01-25 2017-12-18 에베 그룹 에. 탈너 게엠베하 웨이퍼들의 영구적 결합을 위한 방법
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
DE102011012834A1 (de) * 2011-02-22 2012-08-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung von Leichtbaustrukturelementen
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
EP2695183A1 (de) 2011-04-08 2014-02-12 Ev Group E. Thallner GmbH Verfahren zum permanenten bonden von wafern
CN103460342B (zh) * 2011-04-08 2016-12-07 Ev 集团 E·索尔纳有限责任公司 晶片的永久粘合方法
US8637800B2 (en) 2011-04-19 2014-01-28 Altasens, Inc. Image sensor with hybrid heterostructure
US8912017B2 (en) 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
US9123529B2 (en) 2011-06-21 2015-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
US8441087B2 (en) 2011-07-22 2013-05-14 Raytheon Company Direct readout focal plane array
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US10115764B2 (en) 2011-08-15 2018-10-30 Raytheon Company Multi-band position sensitive imaging arrays
US9540545B2 (en) * 2011-09-02 2017-01-10 Schlumberger Technology Corporation Plasma treatment in fabricating directional drilling assemblies
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
FR2981941B1 (fr) * 2011-10-26 2014-06-06 Commissariat Energie Atomique Procede de traitement et de collage direct d'une couche de materiau
FR2981940B1 (fr) * 2011-10-26 2014-06-06 Commissariat Energie Atomique Procede de collage direct d'une couche d'oxyde de silicium
WO2013066977A1 (en) 2011-10-31 2013-05-10 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Methods for wafer bonding and for nucleating bonding nanophases using wet and steam pressurization
US8778737B2 (en) * 2011-10-31 2014-07-15 International Business Machines Corporation Flattened substrate surface for substrate bonding
KR101870155B1 (ko) 2012-02-02 2018-06-25 삼성전자주식회사 비아 연결 구조체, 그것을 갖는 반도체 소자 및 그 제조 방법들
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8748885B2 (en) 2012-02-10 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Soft material wafer bonding and method of bonding
US10052848B2 (en) * 2012-03-06 2018-08-21 Apple Inc. Sapphire laminates
US9221289B2 (en) 2012-07-27 2015-12-29 Apple Inc. Sapphire window
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9418963B2 (en) 2012-09-25 2016-08-16 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Methods for wafer bonding, and for nucleating bonding nanophases
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
US9232672B2 (en) 2013-01-10 2016-01-05 Apple Inc. Ceramic insert control mechanism
US8921992B2 (en) 2013-03-14 2014-12-30 Raytheon Company Stacked wafer with coolant channels
US9632537B2 (en) 2013-09-23 2017-04-25 Apple Inc. Electronic component embedded in ceramic material
US9678540B2 (en) 2013-09-23 2017-06-13 Apple Inc. Electronic component embedded in ceramic material
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
US9154678B2 (en) 2013-12-11 2015-10-06 Apple Inc. Cover glass arrangement for an electronic device
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
US9225056B2 (en) 2014-02-12 2015-12-29 Apple Inc. Antenna on sapphire structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
JP2017518954A (ja) * 2014-04-09 2017-07-13 コーニング インコーポレイテッド デバイスで改質された基体物品、およびそれを製造する方法
US10366883B2 (en) 2014-07-30 2019-07-30 Hewlett Packard Enterprise Development Lp Hybrid multilayer device
US10078233B2 (en) 2014-07-30 2018-09-18 Hewlett Packard Enterprise Development Lp Optical waveguide resonators
US9536853B2 (en) 2014-11-18 2017-01-03 International Business Machines Corporation Semiconductor device including built-in crack-arresting film structure
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
JP2016171307A (ja) * 2015-03-10 2016-09-23 株式会社デンソー 基板接合方法
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
JP6415391B2 (ja) * 2015-06-08 2018-10-31 東京エレクトロン株式会社 表面改質方法、プログラム、コンピュータ記憶媒体、表面改質装置及び接合システム
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
US10406634B2 (en) 2015-07-01 2019-09-10 Apple Inc. Enhancing strength in laser cutting of ceramic components
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
CN106653675B (zh) * 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
WO2017039674A1 (en) 2015-09-03 2017-03-09 Hewlett Packard Enterprise Development Lp Defect free heterogeneous substrates
EP3141941B1 (en) 2015-09-10 2019-11-27 ams AG Semiconductor device with photonic and electronic functionality and method for manufacturing a semiconductor device
JP6106239B2 (ja) * 2015-09-30 2017-03-29 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ウェハを恒久的にボンディングするための方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
FR3045939B1 (fr) * 2015-12-22 2018-03-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de collage direct entre deux structures
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
WO2017123245A1 (en) 2016-01-15 2017-07-20 Hewlett Packard Enterprise Development Lp Multilayer device
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
WO2017171737A1 (en) 2016-03-30 2017-10-05 Hewlett Packard Enterprise Development Lp Devices having substrates with selective airgap regions
US10109983B2 (en) * 2016-04-28 2018-10-23 Hewlett Packard Enterprise Development Lp Devices with quantum dots
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US9716088B1 (en) 2016-06-30 2017-07-25 International Business Machines Corporation 3D bonded semiconductor structure with an embedded capacitor
US9620479B1 (en) 2016-06-30 2017-04-11 International Business Machines Corporation 3D bonded semiconductor structure with an embedded resistor
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10079471B2 (en) * 2016-07-08 2018-09-18 Hewlett Packard Enterprise Development Lp Bonding interface layer
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
US10020281B2 (en) 2016-08-30 2018-07-10 International Business Machines Corporation Metal bonding pads for packaging applications
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10193634B2 (en) 2016-09-19 2019-01-29 Hewlett Packard Enterprise Development Lp Optical driver circuits
US9640509B1 (en) 2016-09-29 2017-05-02 International Business Machines Corporation Advanced metal-to-metal direct bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10401099B2 (en) 2016-10-05 2019-09-03 Raytheon Company Transparent heat exchanger
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10566765B2 (en) 2016-10-27 2020-02-18 Hewlett Packard Enterprise Development Lp Multi-wavelength semiconductor lasers
WO2018112295A1 (en) 2016-12-16 2018-06-21 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Selective oxidation of transition metal nitride layers within compound semiconductor device structures
JP6673183B2 (ja) * 2016-12-21 2020-03-25 株式会社Sumco pn接合シリコンウェーハの製造方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
JP2020503692A (ja) 2016-12-29 2020-01-30 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 集積された受動部品を有する接合構造物
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10168475B2 (en) 2017-01-18 2019-01-01 Juniper Networks, Inc. Atomic layer deposition bonding for heterogeneous integration of photonics and electronics
US10263031B2 (en) 2017-02-01 2019-04-16 Omnivision Technologies, Inc. Feedback capacitor and method for readout of hybrid bonded image sensors
US9998698B1 (en) 2017-02-01 2018-06-12 Omnivision Technologies, Inc. Circuitry and method for readout of hybrid-bonded image sensors
US10375338B2 (en) 2017-02-01 2019-08-06 Omnivision Technologies, Inc. Two stage amplifier readout circuit in pixel level hybrid bond image sensors
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10217725B2 (en) 2017-02-23 2019-02-26 International Business Machines Corporation Microstructure modulation for metal wafer-wafer bonding
US10141391B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor containing an embedded resistor structure
US10141392B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor structure with an embedded capacitor
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10680407B2 (en) 2017-04-10 2020-06-09 Hewlett Packard Enterprise Development Lp Multi-wavelength semiconductor comb lasers
US10515837B2 (en) 2017-04-13 2019-12-24 Raytheon Company Method of wafer bonding of dissimilar thickness die
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10242967B2 (en) 2017-05-16 2019-03-26 Raytheon Company Die encapsulation in oxide bonded wafer stack
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US11999135B2 (en) 2017-08-18 2024-06-04 Corning Incorporated Temporary bonding using polycationic polymers
CN109518129B (zh) * 2017-09-18 2022-03-01 安徽精卓光显技术有限责任公司 曲面玻璃的制备方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10396521B2 (en) 2017-09-29 2019-08-27 Hewlett Packard Enterprise Development Lp Laser
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10677542B2 (en) * 2017-10-23 2020-06-09 Trustees Of Boston University Enhanced thermal transport across interfaces
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US10847419B2 (en) 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11256004B2 (en) * 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11062915B2 (en) 2018-03-29 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures for semiconductor packages and methods of forming the same
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10381801B1 (en) 2018-04-26 2019-08-13 Hewlett Packard Enterprise Development Lp Device including structure over airgap
US10319696B1 (en) 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
JP6986105B2 (ja) * 2018-07-05 2021-12-22 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ウエハの永久接合方法
JP7258992B2 (ja) * 2018-07-05 2023-04-17 エーファウ・グループ・エー・タルナー・ゲーエムベーハー ウエハの永久接合方法
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US10700094B2 (en) * 2018-08-08 2020-06-30 Xcelsis Corporation Device disaggregation for improved performance
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN109461695A (zh) * 2018-10-11 2019-03-12 德淮半导体有限公司 一种晶圆键合方法
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN109411340A (zh) * 2018-10-31 2019-03-01 德淮半导体有限公司 晶圆键合方法
CN109786229B (zh) * 2018-12-05 2021-07-02 中北大学 一种晶圆键合方法以及相应的异质衬底制备的方法
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) * 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US10886168B2 (en) 2019-06-04 2021-01-05 International Business Machines Corporation Surface modified dielectric refill structure
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US10971472B2 (en) * 2019-07-09 2021-04-06 Mikro Mesa Technology Co., Ltd. Method of liquid assisted bonding
US11443981B2 (en) * 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
CN110767541A (zh) * 2019-10-28 2020-02-07 苏师大半导体材料与设备研究院(邳州)有限公司 一种晶圆键合方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
CN114730714A (zh) 2019-11-21 2022-07-08 邦德泰克株式会社 部件安装系统、部件供给装置以及部件安装方法
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11854879B2 (en) 2020-02-26 2023-12-26 Raytheon Company Cu3Sn via metallization in electrical devices for low-temperature 3D-integration
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
KR20210129346A (ko) 2020-04-20 2021-10-28 삼성전자주식회사 반도체 장치
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202236439A (zh) * 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構
DE102020131832A1 (de) 2020-12-01 2022-06-02 Universität Kassel, Körperschaft des öffentlichen Rechts Verfahren zur Herstellung von Gussformen oder Gusskernen
DE102021200230A1 (de) 2021-01-13 2022-07-14 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Niedertemperaturbonden, Substratanordnung
US12020992B2 (en) * 2022-01-26 2024-06-25 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN114920468B (zh) * 2022-06-01 2023-12-05 北方夜视技术股份有限公司 一种硼硅玻璃亲水性键合方法
CN114920469B (zh) * 2022-06-01 2024-04-30 北方夜视技术股份有限公司 一种硼硅玻璃的键合方法
CN114988723A (zh) * 2022-06-01 2022-09-02 北方夜视技术股份有限公司 一种基于水玻璃的光学透光元件键合方法
CN114975501A (zh) * 2022-07-28 2022-08-30 晶芯成(北京)科技有限公司 晶圆键合方法以及背照式图像传感器的形成方法
WO2024054803A1 (en) * 2022-09-07 2024-03-14 Adeia Semiconductor Bonding Technologies Inc. Bonded structure and method of forming same
CN116092953B (zh) * 2023-03-07 2023-07-18 天津中科晶禾电子科技有限责任公司 一种晶圆键合装置、方法及复合衬底组件

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3587166A (en) 1965-02-26 1971-06-28 Texas Instruments Inc Insulated isolation techniques in integrated circuits
US3423823A (en) 1965-10-18 1969-01-28 Hewlett Packard Co Method for making thin diaphragms
US3488834A (en) 1965-10-20 1970-01-13 Texas Instruments Inc Microelectronic circuit formed in an insulating substrate and method of making same
DE1665794C3 (de) 1966-10-28 1974-06-12 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer magnetfeldabhängigen Widerstandsanordnung
US3579391A (en) 1967-01-05 1971-05-18 Trw Inc Method of producing dielectric isolation for monolithic circuit
NL158024B (nl) 1967-05-13 1978-09-15 Philips Nv Werkwijze ter vervaardiging van een halfgeleiderinrichting en halfgeleiderinrichting verkregen door toepassing van de werkwijze.
US3508980A (en) 1967-07-26 1970-04-28 Motorola Inc Method of fabricating an integrated circuit structure with dielectric isolation
GB1206308A (en) 1967-11-22 1970-09-23 Sony Corp Method of making semiconductor wafer
GB1186340A (en) 1968-07-11 1970-04-02 Standard Telephones Cables Ltd Manufacture of Semiconductor Devices
NL6910274A (ko) 1969-07-04 1971-01-06
US3888708A (en) 1972-02-17 1975-06-10 Kensall D Wise Method for forming regions of predetermined thickness in silicon
JPS54116888A (en) 1978-03-03 1979-09-11 Hitachi Ltd Manufacture of dielectric separate substrate
JPS54155770A (en) 1978-05-29 1979-12-08 Nec Corp Manufacture of semiconductor device
US4416054A (en) 1980-07-01 1983-11-22 Westinghouse Electric Corp. Method of batch-fabricating flip-chip bonded dual integrated circuit arrays
US4649930A (en) * 1981-03-06 1987-03-17 Siemens Gammasonics, Inc. Apparatus for beat buffering techniques varified by arrhythmias detection for stopaction frames of cardiac function
JPS60167439A (ja) 1984-02-10 1985-08-30 Nec Corp 相補型誘電体分離基板の製造方法
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
US4617160A (en) 1984-11-23 1986-10-14 Irvine Sensors Corporation Method for fabricating modules comprising uniformly stacked, aligned circuit-carrying layers
US4754544A (en) 1985-01-30 1988-07-05 Energy Conversion Devices, Inc. Extremely lightweight, flexible semiconductor device arrays
JPH0770476B2 (ja) * 1985-02-08 1995-07-31 株式会社東芝 半導体装置の製造方法
US4649630A (en) 1985-04-01 1987-03-17 Motorola, Inc. Process for dielectrically isolated semiconductor structure
NL8501773A (nl) 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
JPS623113A (ja) 1985-06-28 1987-01-09 Nissan Motor Co Ltd 多気筒内燃機関
JPS6231138A (ja) 1985-08-02 1987-02-10 Nec Corp 誘電体分離半導体集積回路装置
US4829018A (en) 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
AU588700B2 (en) 1986-06-30 1989-09-21 Canon Kabushiki Kaisha Semiconductor device and method for producing the same
JP2579979B2 (ja) 1987-02-26 1997-02-12 株式会社東芝 半導体素子の製造方法
JPS63237408A (ja) 1987-03-26 1988-10-03 Sumitomo Metal Mining Co Ltd 半導体デバイス用基板
JPS63246841A (ja) 1987-04-02 1988-10-13 Toshiba Corp シリコン結晶体の誘電体分離法
US5196375A (en) 1987-07-24 1993-03-23 Kabushiki Kaisha Toshiba Method for manufacturing bonded semiconductor body
US5121706A (en) * 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4963505A (en) 1987-10-27 1990-10-16 Nippondenso Co., Ltd. Semiconductor device and method of manufacturing same
JPH01259546A (ja) 1988-04-08 1989-10-17 Fujitsu Ltd 半導体装置の製造方法
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
JPH0670921B2 (ja) * 1988-06-03 1994-09-07 松下電器産業株式会社 磁性流体とその製造方法およびそれを用いた磁気シール装置
US4992847A (en) 1988-06-06 1991-02-12 Regents Of The University Of California Thin-film chip-to-substrate interconnect and methods for making same
US5270259A (en) 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
NL8801981A (nl) 1988-08-09 1990-03-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4939101A (en) * 1988-09-06 1990-07-03 General Electric Company Method of making direct bonded wafers having a void free interface
JPH0691147B2 (ja) 1988-10-14 1994-11-14 信越半導体株式会社 接合ウエーハ検査方法
US4962879A (en) 1988-12-19 1990-10-16 Duke University Method for bubble-free bonding of silicon wafers
JPH02177435A (ja) 1988-12-28 1990-07-10 Sony Corp 半導体基板の製法
US5070026A (en) 1989-06-26 1991-12-03 Spire Corporation Process of making a ferroelectric electronic component and product
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CH679158A5 (ko) 1989-07-20 1991-12-31 Recytec S A C O Orfigest S A
US5071792A (en) 1990-11-05 1991-12-10 Harris Corporation Process for forming extremely thin integrated circuit dice
JP2750163B2 (ja) 1989-08-10 1998-05-13 沖電気工業株式会社 誘電体分離型半導体装置の製造方法
US5383993A (en) 1989-09-01 1995-01-24 Nippon Soken Inc. Method of bonding semiconductor substrates
JPH03101128A (ja) 1989-09-13 1991-04-25 Casio Comput Co Ltd 半導体チップの製造方法
GB2237929A (en) 1989-10-23 1991-05-15 Philips Electronic Associated A method of manufacturing a semiconductor device
US4978421A (en) 1989-11-13 1990-12-18 International Business Machines Corporation Monolithic silicon membrane device fabrication process
US5849627A (en) 1990-02-07 1998-12-15 Harris Corporation Bonded wafer processing with oxidative bonding
US5387555A (en) * 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5081061A (en) 1990-02-23 1992-01-14 Harris Corporation Manufacturing ultra-thin dielectrically isolated wafers
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5024723A (en) 1990-05-07 1991-06-18 Goesele Ulrich M Method of producing a thin silicon on insulator layer by wafer bonding and chemical thinning
JPH0719738B2 (ja) 1990-09-06 1995-03-06 信越半導体株式会社 接合ウェーハ及びその製造方法
DE69120788T2 (de) * 1990-12-25 1996-11-07 Matsushita Electric Industrial Co., Ltd., Kadoma, Osaka Nichtverunreinigender, absorbierter Film und Verfahren zu seiner Herstellung
JPH07118505B2 (ja) 1990-12-28 1995-12-18 信越半導体株式会社 誘電体分離基板の製造方法
EP0497189B1 (en) * 1991-01-23 1997-04-09 Matsushita Electric Industrial Co., Ltd. Water- and oil-repelling absorbed film and method of manufacturing the same
US5668057A (en) 1991-03-13 1997-09-16 Matsushita Electric Industrial Co., Ltd. Methods of manufacture for electronic components having high-frequency elements
US5747857A (en) 1991-03-13 1998-05-05 Matsushita Electric Industrial Co., Ltd. Electronic components having high-frequency elements and methods of manufacture therefor
JP2812405B2 (ja) 1991-03-15 1998-10-22 信越半導体株式会社 半導体基板の製造方法
US5162251A (en) 1991-03-18 1992-11-10 Hughes Danbury Optical Systems, Inc. Method for making thinned charge-coupled devices
DE4115046A1 (de) 1991-05-08 1992-11-12 Fraunhofer Ges Forschung Direktes substratbonden
US5451547A (en) 1991-08-26 1995-09-19 Nippondenso Co., Ltd. Method of manufacturing semiconductor substrate
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
JP3134391B2 (ja) * 1991-09-19 2001-02-13 株式会社デンソー シリコン基板の接合方法
US5266511A (en) 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
US5561303A (en) 1991-11-07 1996-10-01 Harris Corporation Silicon on diamond circuit structure
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3187109B2 (ja) 1992-01-31 2001-07-11 キヤノン株式会社 半導体部材およびその製造方法
US5234860A (en) 1992-03-19 1993-08-10 Eastman Kodak Company Thinning of imaging device processed wafers
US5321301A (en) 1992-04-08 1994-06-14 Nec Corporation Semiconductor device
JPH05299578A (ja) 1992-04-17 1993-11-12 Rohm Co Ltd 半導体装置およびその製法
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US5427638A (en) 1992-06-04 1995-06-27 Alliedsignal Inc. Low temperature reaction bonding
EP0585565B1 (en) 1992-07-08 1998-10-07 Matsushita Electric Industrial Co., Ltd. Optical waveguide device and manufacturing method of the same
JPH06291587A (ja) 1992-07-08 1994-10-18 Matsushita Electric Ind Co Ltd 圧電振動子
US5489554A (en) 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5910669A (en) 1992-07-24 1999-06-08 Siliconix Incorporated Field effect Trench transistor having lightly doped epitaxial region on the surface portion thereof
JP3192000B2 (ja) 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JPH06112451A (ja) 1992-09-29 1994-04-22 Nagano Denshi Kogyo Kk Soi基板の製造方法
US5324687A (en) 1992-10-16 1994-06-28 General Electric Company Method for thinning of integrated circuit chips for lightweight packaged electronic systems
KR0137125B1 (ko) 1992-11-16 1998-06-15 모리시타 요이찌 광도파로소자와 그 제조방법
US5503704A (en) * 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
WO2004077537A1 (ja) 1993-01-18 2004-09-10 Shinsuke Sakai 半導体基板の製造方法
US5591678A (en) 1993-01-19 1997-01-07 He Holdings, Inc. Process of manufacturing a microelectric device using a removable support substrate and etch-stop
US5353498A (en) 1993-02-08 1994-10-11 General Electric Company Method for fabricating an integrated circuit module
JP2701709B2 (ja) * 1993-02-16 1998-01-21 株式会社デンソー 2つの材料の直接接合方法及び材料直接接合装置
US5349207A (en) 1993-02-22 1994-09-20 Texas Instruments Incorporated Silicon carbide wafer bonded to a silicon wafer
US5272104A (en) 1993-03-11 1993-12-21 Harris Corporation Bonded wafer process incorporating diamond insulator
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
WO1994026083A1 (en) 1993-04-23 1994-11-10 Irvine Sensors Corporation Electronic module comprising a stack of ic chips
EP0622897B1 (en) 1993-04-28 2001-03-07 Matsushita Electric Industrial Co., Ltd. Surface acoustic wave device and method of manufacturing the same
US5737192A (en) 1993-04-30 1998-04-07 The United States Of America As Represented By The Secretary Of The Air Force Density improvement in integration modules
US5647932A (en) 1993-05-18 1997-07-15 Matsushita Electric Industrial Co., Ltd. Method of processing a piezoelectric device
JP2771423B2 (ja) 1993-05-20 1998-07-02 日本電気株式会社 バイポーラトランジスタ
US5441591A (en) 1993-06-07 1995-08-15 The United States Of America As Represented By The Secretary Of The Navy Silicon to sapphire bond
JPH06350371A (ja) 1993-06-10 1994-12-22 Matsushita Electric Ind Co Ltd 圧電デバイスの製造方法
JP2856030B2 (ja) 1993-06-29 1999-02-10 信越半導体株式会社 結合ウエーハの製造方法
US5659192A (en) * 1993-06-30 1997-08-19 Honeywell Inc. SOI substrate fabrication
US5376579A (en) 1993-07-02 1994-12-27 The United States Of America As Represented By The Secretary Of The Air Force Schemes to form silicon-on-diamond structure
JP3806151B2 (ja) 1993-07-13 2006-08-09 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 第1物体の第2物体への結合方法
JP3644980B2 (ja) 1993-09-06 2005-05-11 株式会社ルネサステクノロジ 半導体装置の製造方法
US6004865A (en) * 1993-09-06 1999-12-21 Hitachi, Ltd. Method of fabricating multi-layered structure having single crystalline semiconductor film formed on insulator
EP1178530A2 (en) 1993-09-30 2002-02-06 Kopin Corporation Three-dimensional processor using transferred thin film circuits
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
EP0657900B1 (en) 1993-12-06 1998-03-25 Matsushita Electric Industrial Co., Ltd. Hybrid magnetic structure and method for producing the same
US5460659A (en) 1993-12-10 1995-10-24 Spectrolab, Inc. Concentrating photovoltaic module and fabrication method
US5413955A (en) 1993-12-21 1995-05-09 Delco Electronics Corporation Method of bonding silicon wafers at temperatures below 500 degrees centigrade for sensor applications
DE4400985C1 (de) 1994-01-14 1995-05-11 Siemens Ag Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
TW289837B (ko) 1994-01-18 1996-11-01 Hwelett Packard Co
FR2715502B1 (fr) * 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3352340B2 (ja) 1995-10-06 2002-12-03 キヤノン株式会社 半導体基体とその製造方法
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5362659A (en) 1994-04-25 1994-11-08 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating vertical bipolar junction transistors in silicon bonded to an insulator
US5753529A (en) 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5627106A (en) 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
JPH0845699A (ja) 1994-05-24 1996-02-16 Sony Corp プラズマ制御方法およびプラズマ処理装置
US5517754A (en) 1994-06-02 1996-05-21 International Business Machines Corporation Fabrication processes for monolithic electronic modules
US6326248B1 (en) * 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
EP0769209B1 (de) 1994-07-05 2003-10-15 Infineon Technologies AG Verfahren zur herstellung einer dreidimensionalen schaltungsanordnung
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433833A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung unter Erreichung hoher Systemausbeuten
DE4433846C2 (de) 1994-09-22 1999-06-02 Fraunhofer Ges Forschung Verfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur
DE4433845A1 (de) * 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
WO1996013060A1 (de) 1994-10-24 1996-05-02 Daimler-Benz Aktiengesellschaft Verfahren zum direkten verbinden von planaren körpern und nach dem verfahren aus planaren körpern hergestellte gegenstände
JPH08195334A (ja) * 1994-11-17 1996-07-30 Canon Inc シリコン基板の接合方法
US5841197A (en) 1994-11-18 1998-11-24 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5534465A (en) 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
US5547896A (en) 1995-02-13 1996-08-20 Harris Corporation Direct etch for thin film resistor using a hard mask
NL1000100C2 (nl) * 1995-04-10 1996-10-11 Pacques Bv Bezinkinrichting voor een vloeistof, gas, en deeltjesvormig materiaal bevatten fluïdum alsmede een hiervan voorziene reinigingsinrichting en werkwijze voor het reinigen van afvalwater.
US5673478A (en) 1995-04-28 1997-10-07 Texas Instruments Incorporated Method of forming an electronic device having I/O reroute
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
DE19516487C1 (de) 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration mikroelektronischer Systeme
EP0742598B1 (en) 1995-05-08 2000-08-02 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a composite substrate and a piezoelectric device using the substrate
JP3328102B2 (ja) 1995-05-08 2002-09-24 松下電器産業株式会社 弾性表面波装置及びその製造方法
US5915193A (en) 1995-05-18 1999-06-22 Tong; Qin-Yi Method for the cleaning and direct bonding of solids
US5661901A (en) 1995-07-10 1997-09-02 Micron Technology, Inc. Method for mounting and electrically interconnecting semiconductor dice
US5759753A (en) 1995-07-19 1998-06-02 Matsushita Electric Industrial Co., Ltd. Piezoelectric device and method of manufacturing the same
US5691248A (en) 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
TW374211B (en) 1995-08-03 1999-11-11 Ibm Machine structures fabricated of multiple microstructure layers
US5652436A (en) 1995-08-14 1997-07-29 Kobe Steel Usa Inc. Smooth diamond based mesa structures
US5653019A (en) 1995-08-31 1997-08-05 Regents Of The University Of California Repairable chip bonding/interconnect process
JPH0982588A (ja) * 1995-09-12 1997-03-28 Denso Corp 窒化物の直接接合方法及びその直接接合物
US5669057A (en) * 1995-10-03 1997-09-16 Xerox Corporation Reproduction machine having a tandem automatic document handler
DE19543540C1 (de) 1995-11-22 1996-11-21 Siemens Ag Vertikal integriertes Halbleiterbauelement mit zwei miteinander verbundenen Substraten und Herstellungsverfahren dafür
US5567657A (en) 1995-12-04 1996-10-22 General Electric Company Fabrication and structures of two-sided molded circuit modules with flexible interconnect layers
JP3250722B2 (ja) 1995-12-12 2002-01-28 キヤノン株式会社 Soi基板の製造方法および製造装置
JP3250721B2 (ja) * 1995-12-12 2002-01-28 キヤノン株式会社 Soi基板の製造方法
EP0794616B1 (en) 1996-03-08 2003-01-29 Matsushita Electric Industrial Co., Ltd. An electronic part and a method of production thereof
JPH09252100A (ja) 1996-03-18 1997-09-22 Shin Etsu Handotai Co Ltd 結合ウェーハの製造方法及びこの方法により製造される結合ウェーハ
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
JPH09331049A (ja) * 1996-04-08 1997-12-22 Canon Inc 貼り合わせsoi基板の作製方法及びsoi基板
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
JP2791429B2 (ja) * 1996-09-18 1998-08-27 工業技術院長 シリコンウェハーの常温接合法
US5783477A (en) 1996-09-20 1998-07-21 Hewlett-Packard Company Method for bonding compounds semiconductor wafers to create an ohmic interface
DE19639682A1 (de) 1996-09-26 1998-04-02 Fraunhofer Ges Forschung Verfahren zum dauerhaften Verbinden von anorganischen Substraten
US5807783A (en) 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
JPH10223495A (ja) 1997-02-04 1998-08-21 Nippon Telegr & Teleph Corp <Ntt> 柔軟な構造を有する半導体装置とその製造方法
JP3765902B2 (ja) 1997-02-19 2006-04-12 株式会社半導体エネルギー研究所 半導体装置の作製方法および電子デバイスの作製方法
US5990562A (en) 1997-02-25 1999-11-23 International Business Machines Corporation Semiconductor devices having backside probing capability
JP3604026B2 (ja) * 1997-02-27 2004-12-22 三菱住友シリコン株式会社 張り合わせシリコン基板の製造方法
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
CA2233115C (en) 1997-03-27 2002-03-12 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
JPH10275752A (ja) 1997-03-28 1998-10-13 Ube Ind Ltd 張合わせウエハ−及びその製造方法、基板
US6284085B1 (en) * 1997-04-03 2001-09-04 The Board Of Trustees Of The Leland Stanford Junior University Ultra precision and reliable bonding method
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5936280A (en) 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
US5889302A (en) 1997-04-21 1999-03-30 Advanced Micro Devices, Inc. Multilayer floating gate field effect transistor structure for use in integrated circuit devices
JPH10294995A (ja) 1997-04-21 1998-11-04 Matsushita Electric Ind Co Ltd 防滴型超音波送信器
US6270202B1 (en) 1997-04-24 2001-08-07 Matsushita Electric Industrial Co., Ltd. Liquid jetting apparatus having a piezoelectric drive element directly bonded to a casing
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
EP0895282A3 (en) 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
EP1018153A1 (en) * 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
JP3324469B2 (ja) * 1997-09-26 2002-09-17 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
JP4439602B2 (ja) 1997-09-29 2010-03-24 株式会社東芝 半導体装置の製造方法
US5990472A (en) 1997-09-29 1999-11-23 Mcnc Microelectronic radiation detectors for detecting and emitting radiation signals
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
SG71903A1 (en) * 1998-01-30 2000-04-18 Canon Kk Process of reclamation of soi substrate and reproduced substrate
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6153495A (en) * 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6274892B1 (en) 1998-03-09 2001-08-14 Intersil Americas Inc. Devices formable by low temperature direct bonding
KR20010041822A (ko) * 1998-03-09 2001-05-25 스콧 티. 마이쿠엔 저온 직접 결합에 의해 형성가능한 소자
US5877516A (en) 1998-03-20 1999-03-02 The United States Of America As Represented By The Secretary Of The Army Bonding of silicon carbide directly to a semiconductor substrate by using silicon to silicon bonding
DE19813239C1 (de) 1998-03-26 1999-12-23 Fraunhofer Ges Forschung Verdrahtungsverfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur und vertikale integrierte Schaltungsstruktur
US6028365A (en) 1998-03-30 2000-02-22 Micron Technology, Inc. Integrated circuit package and method of fabrication
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
DE19818962A1 (de) * 1998-04-28 1999-11-04 Degussa Verfahren zum Verbinden zweier Festkörper und das so hergestellte Bauelement
JP3697106B2 (ja) 1998-05-15 2005-09-21 キヤノン株式会社 半導体基板の作製方法及び半導体薄膜の作製方法
US6136691A (en) 1998-05-26 2000-10-24 Taiwan Semiconductor Manufacturing Corporation In situ plasma clean for tungsten etching back
US6316332B1 (en) 1998-11-30 2001-11-13 Lo Yu-Hwa Method for joining wafers at a low temperature and low stress
US6236141B1 (en) 1998-12-14 2001-05-22 Matsushita Electric Industrial Co., Ltd. Surface acoustic wave element
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
TW389965B (en) 1998-12-31 2000-05-11 San Fu Chemical Co Ltd Method for improving reliability of a gate oxide layer by NF3 annealing
US6328796B1 (en) * 1999-02-01 2001-12-11 The United States Of America As Represented By The Secretary Of The Navy Single-crystal material on non-single-crystalline substrate
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6255899B1 (en) 1999-09-01 2001-07-03 International Business Machines Corporation Method and apparatus for increasing interchip communications rates
KR100462980B1 (ko) 1999-09-13 2004-12-23 비쉐이 메저먼츠 그룹, 인코포레이티드 반도체장치용 칩 스케일 표면 장착 패키지 및 그 제조공정
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6197663B1 (en) 1999-12-07 2001-03-06 Lucent Technologies Inc. Process for fabricating integrated circuit devices having thin film transistors
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
GR1003602B (el) 2000-02-29 2001-06-19 Αλεξανδρος Γεωργακιλας Διαδικασια ολοκληρωσης, σε κλιμακα ακεραιων δισκιων ημιαγωγων, οπτοηλεκτρονικων ημιαγωγικων διαταξεων, βασισμενων σε αρσενικουχογαλλιο και ολοκληρωμενων κυκλωματων πυριτιου
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2884966B1 (fr) 2005-04-22 2007-08-17 Soitec Silicon On Insulator Procede de collage de deux tranches realisees dans des materiaux choisis parmi les materiaux semiconducteurs
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101252292B1 (ko) * 2003-02-07 2013-04-05 집트로닉스 인코퍼레이티드 상온에서의 금속의 직접 결합
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
KR101232504B1 (ko) * 2004-10-26 2013-02-12 휴렛-팩커드 디벨롭먼트 컴퍼니, 엘.피. 전자 장치 구성 방법 및 유체 분사 장치
KR101041015B1 (ko) * 2005-11-28 2011-06-16 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 분자 접착에 의한 결합 방법 및 장치

Also Published As

Publication number Publication date
US20080053959A1 (en) 2008-03-06
US20100163169A1 (en) 2010-07-01
US20160086913A1 (en) 2016-03-24
KR101298859B1 (ko) 2013-08-21
US7041178B2 (en) 2006-05-09
US7807549B2 (en) 2010-10-05
US20120097638A1 (en) 2012-04-26
US10312217B2 (en) 2019-06-04
US20140206176A1 (en) 2014-07-24
US8053329B2 (en) 2011-11-08
JP2014013898A (ja) 2014-01-23
KR20090077981A (ko) 2009-07-16
US6902987B1 (en) 2005-06-07
US7871898B2 (en) 2011-01-18
US8153505B2 (en) 2012-04-10
US20090263953A1 (en) 2009-10-22
CA2399282A1 (en) 2001-08-23
EP1275142A4 (en) 2007-05-09
US9331149B2 (en) 2016-05-03
US20140203407A1 (en) 2014-07-24
JP5902030B2 (ja) 2016-04-13
JP2012212885A (ja) 2012-11-01
AU2001241447A1 (en) 2001-08-27
CA2399282C (en) 2012-07-03
US7335572B2 (en) 2008-02-26
US20040152282A1 (en) 2004-08-05
JP5496439B2 (ja) 2014-05-21
US20150303263A1 (en) 2015-10-22
US7553744B2 (en) 2009-06-30
US20160322328A1 (en) 2016-11-03
US20110067803A1 (en) 2011-03-24
WO2001061743A1 (en) 2001-08-23
EP1275142A1 (en) 2003-01-15
US9082627B2 (en) 2015-07-14
KR20110091812A (ko) 2011-08-12
US7387944B2 (en) 2008-06-17
EP2287896A2 (en) 2011-02-23
US20050079712A1 (en) 2005-04-14
JP5864481B2 (ja) 2016-02-17
US20080063878A1 (en) 2008-03-13
US9391143B2 (en) 2016-07-12
JP2003523627A (ja) 2003-08-05
US20030211705A1 (en) 2003-11-13

Similar Documents

Publication Publication Date Title
KR101298859B1 (ko) 저온 결합 방법 및 결합된 구조
JP5571227B2 (ja) 室温共有結合方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090506

Effective date: 20110225

J121 Written withdrawal of request for trial
WITB Written withdrawal of application