JP2016106420A - 3dic方法および装置 - Google Patents

3dic方法および装置 Download PDF

Info

Publication number
JP2016106420A
JP2016106420A JP2016019820A JP2016019820A JP2016106420A JP 2016106420 A JP2016106420 A JP 2016106420A JP 2016019820 A JP2016019820 A JP 2016019820A JP 2016019820 A JP2016019820 A JP 2016019820A JP 2016106420 A JP2016106420 A JP 2016106420A
Authority
JP
Japan
Prior art keywords
contact structure
contact
conductive material
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016019820A
Other languages
English (en)
Other versions
JP6266665B2 (ja
Inventor
ポール・エム.・エンクイスト
M Engquist Paul
ガイアス・ギルマン・ジュニア・ファウンテン
Gillman Fountain Gaius Jr
チン−イ・トン
Qin-Yi Tong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Bonding Technologies Inc
Original Assignee
Ziptronix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37743066&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2016106420(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Ziptronix Inc filed Critical Ziptronix Inc
Publication of JP2016106420A publication Critical patent/JP2016106420A/ja
Application granted granted Critical
Publication of JP6266665B2 publication Critical patent/JP6266665B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/24225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • H01L2224/81121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/8122Applying energy for connecting with energy being in the form of electromagnetic radiation
    • H01L2224/8123Polychromatic or infrared lamp heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81909Post-treatment of the bump connector or bonding area
    • H01L2224/8193Reshaping
    • H01L2224/81931Reshaping by chemical means, e.g. etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01002Helium [He]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01055Cesium [Cs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01059Praseodymium [Pr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Abstract

【解決手段】 切り分けられたダイまたはウェハのような素子を3次元的に集積する方法および切り分けられたダイまたはウェハのような素子が接続された集積構造。ダイまたはウェハの一方または両方は、その中に形成された半導体デバイスを有する。第1コンタクト構造を有する第1素子は、第2コンタクト構造を有する第2素子に接着される。第1、第2コンタクト構造は、接着の際に露出されることが可能で、また接着の結果、電気的に接続される。接着後にビアがエッチングされるとともに埋め込まれて電気的配線を露出および形成して第1、第2コンタクト構造を接続するとともに、この電気的配線への表面からの電気的なアクセスが可能になる。または、第1、第2コンタクト構造は接着の際に露出されず、接着後にビアがエッチングおよび埋め込みされて第1、第2コンタクト構造が電気的に接続されるとともに接続された第1、第2コンタクト構造への電気的なアクセスが得られる。【選択図】 図20E

Description

関連出願
本出願は、現在米国特許第6,500,794である出願番号09/532,886、出願番号10/011,432、出願番号10/359,608、現在米国特許第6,867,073である出願番号10/688,910、出願番号10/440,099に関連しており、これらの内容の全ては、参照することによって本明細書に組み込まれる。
本発明は、3次元集積回路の分野に関し、より詳しくは、直接ウェハ接着を用いた3次元集積回路デバイスおよびその製造方法に関する。
半導体集積回路(IC)は、典型的には、シリコンウェハ内およびその表面上に形成され、この結果、ICが大きくなるに連れてIC面積が大きくならねばならない。IC内のトランジスタが小さくなるという絶え間ない向上(これは、一般にムーアの法則と呼ばれる)によって、所与のIC面積内のトランジスタの数が実質的に上昇した。しかしながら、トランジスタの密度が上がったにも係らず、多くの応用例において、全IC面積の増加が望まれる。その理由は、必要なトランジスタの数が一層多くなっていることや、特定の機能を達成するためにトランジスタ相互間の水平方向での接続の数が増えていることである。これらの応用例を1つの大きなICダイで実現すると、典型的には、チップの歩留まりが減少する結果となり、ひいてはICのコストが増大する結果となる。
IC製造の別の傾向は、1つのIC内でのタイプの異なる回路の数を増やすことである。これは、より一般的には、システム・オン・チップ(SoC)と呼ばれる。このように製造することによって、典型的には、タイプが異なる回路を作製するためにマスクの階層の数が増大することが必要になる。マスクの階層を増やすことによっても、典型的には、歩留まりの減少、ひいてはICコストの増大という結果になる。このように望ましくない歩留まりの減少やコストの増加を回避するための解決策は、ICを垂直に積み上げたり垂直に接続したりすることである。これらのICは、大きさが異なっていても構わないし、大きさの異なるウェハから作られていても構わないし、相違する機能(すなわち、アナログ、ディジタル、光学的)であっても構わないし、相違する材料(すなわち、シリコン、GaAs、InP)から作られていても構わない。ICは、積み上げられる前に検査されてノウン・グッド・ダイ(KGD)が結合されるようにして歩留まりを向上することが可能である。このように垂直積み上げや垂直配線の手法の経済的な成功は積み上げや接続の歩留まりおよびコストに依存し、このことはICおよびSoC面積を広くすることに関連する歩留まりおよびコストよりも有利である。この手法を実現する製造可能な方法は、直接接着を用いてICを垂直に積み上げることと、ウェハを薄くすることや、フォトリソグラフィー・マスキングや、ビアのエッチングや、配線の金属化を含む従来のウェハ製造技術を用いて垂直配線構造を形成することである。積み上げられたIC同士の間の垂直な電気的な相互接続は、直接接着しながら積み上げた結果直接的に、または直接接着しながら積み上げた後の一連のウェハ製造技術の結果、形成されることが可能である。
この手法の垂直相互接続部分のコストは、ビアをエッチングすることと電気的配線を形成することに必要なフォトリソグラフィー・マスキングの階層の数に直接関係する。よって、垂直な配線を形成するのに必要なフォトリソグラフィー・マスキングの階層の数を最小にすることが望ましい。
垂直積み上げおよび垂直相互接続の1つの形は、(基板上の)ICがフェース・トゥー・フェースで、すなわち、IC側同士を向けて接続されることである。この形は、ウェハ対ウェハの形式で行なわれ得るが、典型的には、ダイ対ウェハの形式で行なわれることが好ましい。ダイ対ウェハの形式では、接着されるダイをIC側を下にし、IC側を上にしたウェハにダイが接着される。これにより、ノウン・グッド・ダイの積み上げを可能にして歩留まりを向上させる。垂直の相互接続は、例えば出願10/359,608において説明されているように積み上げの結果直接、または直接接着での積み上げの後の一連のウェハ製造技術の結果、形成され得る。この、直接接着での積み上げ後の一連のウェハ製造技術は、典型的には、以下を含んでいる。ダイが、典型的には、ダイ基板の大半を除去することによって実質的に薄くされる。ダイ基板は、一般に、基板内にトランジスタが位置している故に、完全に除去されることは許されない。これは、例えばバルクCMOS ICの場合がそうである。こうして、基板は、典型的には、実行可能な範囲で可能な限り除去され、トランジスタへのダメージを回避するのに十分な基板の残留物が残る。次に、ダイICへの配線が、残存する基板を貫いてダイIC内の配線の位置に達するビアを、このビアの近くに必要なトランジスタが無いようにエッチングすることによって形成される。さらに、最高の配線密度を達成するために、このビアをダイICの全体を貫いてウェハIC内に至ってウェハIC内の接続位置まで継続することが好ましい。このビアは、典型的には、ダイICおよびウェハIC内で接続位置からの所望の電気的絶縁を提供する絶縁性材料を貫いて延び、またダイICおよびウェハIC内の所望の電気的接続位置を露出する。このビアの形成後、ダイICおよびウェハIC内の露出された所望の電気的接続位置への垂直配線が、導電性材料によって形成されることが可能である。導電性材料とビア側壁上の露出された基板との間の絶縁層が用いられて、この導電性材料と基板との間の望ましくない電気伝導が回避され得る。
この構造を製造することは、典型的には、4つのフォトリソグラフィー・マスキング階層を形成することを必要とする。これらの階層は、1)基板を貫くビアのエッチング、2)ダイICおよびウェハIC内で所望の導電性材料を露出するダイICおよびウェハIC内の絶縁性材料を貫くビアのエッチング、3)ダイIC内の接続位置をウェハIC内の接続位置に接続する導電性材料を電気的に絶縁する続けて堆積された絶縁層を貫いてダイICおよびウェハIC内で所望の導電性材料を露出する露出された基板ビア側壁へのビアのエッチング、4)ダイIC内の露出された配線位置とウェハIC内の露出された配線位置との間の導電性材料を用いた接続、である。
絶縁性材料を貫くビアのエッチングを規定するパターンは、典型的には、基板を貫くビアのエッチングを規定するパターンより小さい。これは、ダイICおよびウェハIC内の配線点を適切に露出するとともに基板ビア側壁上の絶縁性材料を除去することを回避するためである。これらのパターンは、基板内のビアの形成後に形成され、このパターニングは、典型的には、基板ビアのパターニングよりも位置的に下の階層で行なわれる。これは、非平坦な構造上にパターニングを行なう結果となる。このことは、最高の配線密度を達成するために望ましい構造のスケーリングを非常に小さなものへと限定するとともに、最も可能性の低いシリコン基板を削ることになる。この削られる基板には、削られなかったならば機能性トランジスタが位置し得たところである。
従って、より少ないマスキング工程および構造内の位置的に最も高い階層またはその1つにおける平坦面上への実現可能なマスキング工程を必要とする構造およびその構造の製造方法を具備するデバイスを有することが望ましい。
本発明は、3次元デバイス集積方法および3次元的に集積されたデバイスに向けられている。
本方法の一例では、第1コンタクト構造を有する第1素子が第2コンタクト構造を有する第2素子と集積される。本方法は、少なくとも前記第1コンタクト構造に対して露出されたビアを前記第1素子内に形成し、少なくとも前記第1コンタクト構造と接続された導電性材料を前記ビア内に形成し、前記第1コンタクト構造および前記導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着する、工程を含み得る。
第2例では、本方法は、第1素子内にビアを形成し、前記ビア内に第1導電性材料を形成し、前記第1導電性材料を前記第1コンタクト構造に接続し、前記第1素子を、前記第1コンタクト構造および前記第1導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように、前記第2素子に接着する、工程を含み得る。
第3例では、本方法は、第1基板を有する第1素子内にビアを形成し、前記ビア内に導電性材料を形成し、前記ビアおよび前記導電性材料の形成後、前記導電性材料に電気的に接続されたコンタクト構造を形成し、少なくとも1つの第2コンタクト構造を有する第2素子を形成し、前記第1基板の一部を除去して前記ビアおよび前記導電性材料を露出し、前記第1基板を前記第2基板に接着し、前記接着する工程の一部として、前記第2コンタクト構造と、前記第1コンタクト構造および前記導電性材料のうちのの1つと、の間を接続する、工程を含み得る。
本発明に係る集積構造の一例では、第1素子は第1コンタクト構造を有し、第2素子は第2コンタクト構造を有し、第1ビアが前記第1素子内に形成され、第1導電性材料が前記第1ビア内に形成され且つ前記第1コンタクト構造と接続され、前記第1導電性材料および前記第1コンタクト構造のうちの1つが前記第2コンタクト構造に直接接続されるように第1素子が前記第2素子に接着される。
フェース・アップのウェハにフェース・ダウンで接着されるダイを示す図である。 基板に接着されたダイの図である。 ダイの基板の一部が除去された形の、基板に接着されたダイの図である。 別の基板に接着された基板の図である。 図2Aの構造を覆うように絶縁膜およびマスク層を形成することを示す図である。 平坦化材料形成後に絶縁膜およびマスク層を形成することを示す図である。 図3Aおよび図3Bの絶縁膜およびマスク層内に形成された開口を示す図である。 図4のように形成された開口を用いてダイをエッチングすることを示す図である。 さらにエッチングしてダイおよびウェハ内のコンタクト構造を露出することを示す図である。 ハード・マスクの形成を含んだ、工程の変形体の図である。 コンフォーマル絶縁側壁層形成後の図6Aの構造の一部を示す図である。 ハード・マスクが除去されている、実施形態の変形体である。 コンフォーマル絶縁側壁層を異方性エッチングすることを示す図である。 ハード・マスクが除去されている、実施形態の変形体である。 接着された構造内のコンフォーマル膜を形成する際の変形体を示している。 接着された構造内のコンフォーマル膜を形成する際の変形体を示している。 接着された構造内のコンフォーマル膜を形成する際の変形体を示している。 接着された構造内のコンフォーマル膜を形成する際の変形体を示している。 コンフォーマル膜のエッチング後の図8C内の構造を示している。 コンフォーマル膜のエッチング後の図8D内の構造を示している。 コンフォーマル膜のエッチング後の図8E内の構造を示している。 コンフォーマル膜のエッチング後の図8F内の構造を示している。 接着された構造内で側壁膜を形成する代替的なやり方を示している。 金属シード層および金属埋め込み材を具備する金属コンタクトを形成することを示す図である。 ハード・マスクが除去されている、実施形態の変形体である。 シード層が形成されない、実施形態の変形体である。 化学的機械研磨後の図9Aおよび図9Bの構造の図である。 化学的機械研磨後の図9Cの構造の図である。 接着された構造内の空洞を埋める、代替的な方法を示す図である。 接着された構造内の空洞を埋める、代替的な方法を示す図である。 接着された構造内の空洞を埋める、代替的な方法を示す図である。 接着された構造内の空洞を埋める、代替的な方法を示す図である。 図10Aの構造の金属被覆を示す図である。 介在する絶縁層無しでマスク層を用いる第2実施形態の図である。 第2実施形態で金属コンタクトを形成することを示す図である。 化学的機械研磨後の図13の構造を示す図である。 本発明の別の実施形態を示す図である。 装置の1つの表面にコンタクト構造が位置する実施形態を示す図である。 さらなる処理後の図16Aの構造の図である。 図16Aおよび図16Bに示される構造を用いて本発明に従った方法を用いて製造されたデバイスを示す図である。 本発明の別の実施形態の図である。 図18に示される構造を用いて本発明に従った方法を用いて製造されたデバイスを示す図である。 図19Aの構造上に形成された平坦化材料およびコンタクトを有する構造を示している。 図19Aに類似するが開口を有さない、直接接着されたコンタクトを示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 側壁膜を伴った第5実施形態を示している。 基板が実質的に完全に除去される第6実施形態を示している。 基板が実質的に完全に除去される第6実施形態を示している。 基板が実質的に完全に除去される第6実施形態を示している。 基板が実質的に完全に除去される第6実施形態を示している。 基板が実質的に完全に除去される第6実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ビアがダイの切り分けに先立って形成される第7実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 ダイがトップ・ダウンで搭載される第8実施形態を示している。 トップ・ダウンおよびトップ・アップ構成における、埋め込まれたビアを有する構造を接着することを示している。 第2階層を接着することを示している。 第2階層を接着することを示している。 ウェハ対ウェハ接着を示している。 ダイがトップ・アップで搭載される、第8実施形態の変形体を示している。 ダイがトップ・アップで搭載される、第8実施形態の変形体を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 接着に先立ってビアが埋め込まれる第9実施形態を示している。 埋め込まれたビアおよび表面コンタクトを有する第10実施形態を示している。 埋め込まれたビアおよび表面コンタクトを有する第10実施形態を示している。
本発明およびこれに伴う多くの利点に対するより完全な理解は、これらを、添付の図面とともに検討しながら以下の詳細な説明を参照することによってより良く理解されるに従って、容易に得られるであろう。
図面、特に図1を参照して、本発明に従った第1実施形態が説明される。図面は実際の比率で描かれているのではなく、本発明の概念を示すために描かれていることに留意されたい。
基板10は、コンタクト領域12を有するデバイス領域11を含んでいる。基板10は、所望の適用例に応じて、多数の材料、例として半導体材料または絶縁性材料から構成可能である。典型的には、基板10はシリコンまたはIII−V材料から構成される。コンタクト構造12は、典型的には、基板10内に形成されたデバイスまたは回路構造(図示せず)へのコンタクトを形成する金属パッドまたは配線構造である。基板10は、また、コンタクト構造12と接続される集積回路を含んでおり、基板10はコンタクト構造のみを含んだモジュールであり得る。例えば、基板10は、基板10に接着された構造同士を接続するためのモジュール、またはパッケージ化または別のモジュールまたは例えばプリント回路基板上の回路構造との集積のための接続をもたらすモジュールであり得る。
表面13上において基板10に接着されるために配置されているのは、3つの分離されたダイ14乃至16である。各ダイは、基板部19と、デバイス領域18と、コンタクト構造17とを有している。ダイは、ダイシング等によって前もって分割され得る。ダイ14乃至16は、所望の適用例に応じて、多数の材料、例として半導体材料から構成可能である。典型的には、基板は、シリコンまたはIII−V材料から構成される。コンタクト構造17は、典型的には、デバイス領域18内に形成されたデバイスまたは回路構造へのコンタクトを形成する金属パッドまたは配線構造である。コンタクト構造12、17の大きさは、それぞれ相違し得る。コンタクト構造の大きさの典型的な範囲は1乃至20ミクロンであるが、大きさおよび相対的な大きさは、位置合わせの許容量、回路設計パラメータ、他の要因に応じてこの範囲外であってもよい。コンタクト構造の大きさは、発明の概念を説明することに向けられており、限定することを意味しない。デバイス領域18は、また、コンタクト構造17と接続された集積回路を含み得る。実質的に全ての基板部19が除去され、デバイスの層、回路、回路層が残され得る。また、ダイ14乃至16の基板は、接着後に所望の厚さまで薄くされる。
ダイ14乃至16は、ウェハ10と同じ技術で作られてもよいし、別の技術で作られてもよい。ダイ14乃至16は、各々、同じまたは相違するデバイスまたは材料であり得る。ダイ14乃至16の各々は、デバイス領域18に形成されたコンタクト構造17を有する。導電構造17は、相互に離されて間隙が形成される。または、コンタクト構造の両端に亘る開口を有する単一の構造であってもよい。換言すれば、開口は、コンタクト構造内に位置する穴であり得るし、またはコンタクト構造を2つに分割し得る。間隙または開口の大きさは、接着の最中の具体的な技術についてのフォトリソグラフィー上のデザイン・ルールによって決定され得る。例えば、配線金属との信頼の置ける低抵抗の電気的接続を後に形成するためのコンタクト構造12、17の水平方向の最小幅が要求される。
間隙または開口の最適な大きさを決定するさらなる要因は、コンタクト構造17、12間の垂直方向の距離にコンタクト構造17の厚さを加えたものの、間隙または開口の大きさに対する比率である。これによって、コンタクト構造17、12間の電気的接続を可能にする、コンタクト構造17、12間に後に形成されるビアのアスペクト比が規定される。この垂直方向における距離は、酸化物対酸化物直接接着用には、米国出願番号09/505,283(この内容は、参照することによって本明細書に組み込まれる)に記載されているように、1乃至5ミクロン、またはそれ以下であり、金属直接接着については、米国出願番号10/359,608(この内容は、参照することによって本明細書に組み込まれる)に記載されているように、ほぼゼロである。さらに、コンタクト構造17の厚さは、典型的には、0.5乃至5ミクロンである。用いられる処理技術に応じた典型的な所望のビアのアスペクト比0.5乃至5の場合、間隙の大きさの典型的な範囲は、酸化物対酸化物接着については0.3乃至20ミクロンであり、金属直接接着については0.1乃至10ミクロンである。金属直接接着の事例は、後に、第4実施形態において説明される。
ダイ14乃至16は、一般に、コンタクト構造17および間隙または開口が対応するコンタクト構造12の上方に位置するように、コンタクト12に位置合わせされる。コンタクト構造12の大きさは、ダイ14乃至16がコンタクト構造17相互間の間隙に単に揃うことが可能になるように選択される。この大きさは、ダイ14乃至16を基板10上に配置するのに用いられる方法の位置合わせ精度に依存する。市販の製造ツールを用いた典型的な方法によれば、位置合わせ精度は1乃至10ミクロンの範囲が可能である。しかしながら、これらのツールが将来に亘って改良されることによって、位置合わせ精度がより小さくなる結果になると思われる。コンタクト構造17の水平方向の間隙または開口を超えた広がりは、少なくともこの位置合わせ精度によって与えられる距離であることが好ましい。
各ダイ14乃至16について1組のコンタクト構造17のみが示されているが、コンタクト構造17の横方向の広がりは、典型的には、ダイ14乃至16の水平方向の広がりよりもずっと小さい。この結果、各ダイが幾つものまたは非常に多くのコンタクト構造17を有し得る。例えば、コンタクト構造17は、水平方向の広がりが1乃至100ミクロンの範囲内にあり、ダイ14乃至16は、水平方向の広がりが1乃至100mmの範囲内にある。このように、ダイ14乃至16内の10以上の次数を有するコンタクト構造17の数が、実用上、実現可能である。
図2Aに示されているように、ダイ14の表面20が、基板10の表面13に接着される。これは、多くの方法によって達成することができるが、室温で、米国出願番号09/505,283に記載のような接着方法を用いて接着されることが好ましい。この出願では、500乃至2000mJ/mの範囲内の強度の接着、すなわち化学結合が形成される。ダイ14乃至16を基板10に接着することが、図2に示されている。接着後、ダイ14乃至16の基板が薄くされる。薄くすることは、研磨、研削、エッチング、またはこれら3つの技術の組合せによって達成されて、薄くされた基板21が残されるか、基板部19が完全に除去される。図2Bは、基板部19が完全に、または実質的に完全に除去された例を示している。ダイ14乃至16の基板が接着に先立って薄くされてもよい。
一例では、コンタクト12、17がその中に形成される材料は、化学気相成長(CVD)またはプラズマCVD(PECVD)、スパッタリング、蒸発によって形成された、SiO2のような堆積された酸化物である。窒化シリコン、アモルファス・シリコン、ポリマー、半導体、焼結材料のような他の材料が用いられてもよい。また、堆積された酸化物の層が、ダイ上に形成され得る。
次に、表面同士が直接接着技術によって接着される。好ましくは、あらゆるタイプの酸化接着が用いられ得、特に、低温または室温酸化接着が用いられ得る。接着技術には、表面13、20の平坦化および平滑化が含まれていてもよい(表面20は、ダイの切り取り前に準備され得る)。このステップは、化学的機械研磨を用いて達成されることが可能である。表面は、好ましくは、約0.5乃至1.5nm以下、好ましくは0.5nm以下、のラフネスまで研磨され、実質的に平坦である。表面ラフネスの値は、典型的には、平方2乗平均(RMS)値によって与えられる。また、表面ラフネスは、RMS値とほぼ同じである、平均値として与えられてもよい。研磨後、表面は洗浄および乾燥されて研磨工程に由来するあらゆる残留物が除去される。次いで、研磨された表面が溶液によって洗浄されるのが好ましい。
接着面が研磨に先立ってエッチングされて平坦性および/または表面ラフネスを向上させてもよい。このエッチングは、接着面上の特に高い部分を、例えば標準的なフォトリソグラフィー技術を用いてこの高い部分を選択的にエッチングすることによって除去するのに効果的であり得る。
接着技術には、活性化処理が含まれ得る。この活性化処理には、エッチング処理が含まれ得、また、好ましくはベリー・スライト・エッチ(VSE)処理が含まれ得る。用語VSEは、極わずかにエッチングされた表面の2乗平方根のマイクロ・ラフネス(RMS)が、ほぼ、エッチングされてない値のままであること、典型的には<0.5nm、および好ましくは0.5nm乃至1.5nmの範囲内にあることを意味する。除去される材料の最適な総量は、材料および除去に用いられる方法に依存する。除去される典型的な量は、オングストロームから数ナノメートルに亘る。より多くの量、材料を除去することも可能である。
用語VSEは、望ましくない有機的汚染物を、意図して表面上に堆積された材料、例として酸化シリコンを除去することなく、表面から除去することも指し得る。従って、望ましくない有機的汚染物を除去することによって、RMSが減少し得る。
活性化処理は、相違するモードで実行されるプラズマ処理とすることができる。例えば、ArまたはOプラズマである。反応性イオン・エッチング(RIE)およびプラズマ・モードが用いられ得、誘導結合型プラズマ・モード(ICP)も同様に用いられ得る。スパッタリングも用いられ得る。例は、以下において、RIEおよびプラズマ・モードにおいて提示される。
または、その最中に活性化するとともに所望の終端種によって表面を終端するポストVSE処理が用いられ得る。
表面は、活性化の後に、好ましくは表面の原子層に一時的な結合を形成する所望の種によって終端され、結果、この表面が同じまたは別の結合種によって終端された表面と一緒にされ得ることが可能な次の機会まで原子層を終端する。複数の表面上の所望の種同士は、互いに十分に近づいたときに好ましくは相互に反応し、低温または室温での化学結合を可能にする。この結合は、反応した所望の種の結合界面からの拡散および解離または拡散によって強化され得る。
この終端処理には、結合表面を所望の種で終端する結果となる表面反応を生成するように選択された化学物質を含んだ溶液に浸漬することが含まれ得る。Nを基礎とする溶液、例としてNHOHが用いられ得る。この浸漬することは、好ましくは、活性化処理の直後に実行される。終端処理は、プラズマ、RIE、または適切な気体成分が導入されて表面を所望の種で終端するドライ処理からなり得る。
表面は、任意で洗浄され、その後、乾燥される。2つの表面は、(必要であれば)両者を位置合わせするとともにこれらを合わせて接着界面を形成することによって接着される。この2つの表面は、例えば、例えば市販の接着装置(図示せず)を用いて接着界面を開始することによって合わせられる。
次いで、典型的には、接着界面の幾つかの箇所で自然接着が発生し、表面の全体に伝播する。最初の接着が伝播し始めると、表面同士が十分に近いのであれば、化学結合につながるポリマー化のような化学反応が表面の集結に用いられている種相互間で生じる。こうして、ウェッジを挿入することによって一部剥離された接着界面における分離された2つの表面のうちの一方の比表面エネルギーとして定義される結合エネルギーによって強固な結合が形成される。この化学反応の副産物は、接着界面から拡散し得、また典型的には周囲の物質において吸収され得る。また、この副産物は、別の副産物へと変換され、拡散し、また吸収され得る。共有結合および/またはイオン結合の量は、変換された種を除去することによって増やされ、結果、結合強度が増加する。
図2Aでは、3つのダイが1つの基板10に接着されることが示されているが、より多くのまたはより少ない数のダイが基板10に接着されることも可能である。また、基板10と同様の大きさの別の基板を接着することが可能である。これは、図2Cに示されており、図2Cにおいて、デバイス領域23を有する基板22が、離された導電構造24が概して導電構造12と揃うようにウェハ10に接着される。接着前に基板22が薄くされあるいは除去されて位置合わせが容易にされ得る。基板22は、接着後、薄くされ得、所望により、基板22の実質的に全体が除去され得る。以下の図面において説明される手順は、図2B、図2Cに示される構造にも適用可能であるが、簡略化のために、個別の図面が省略される。
図3Aに示されるように、コンフォーマル(conformal)な絶縁膜30が基板10およびダイ14乃至16の表面13を覆うように形成される。この膜は、例えば、CVD、PVD、PECVDによって形成され得、好ましくは典型的な厚さが0.1乃至1.0ミクロンのシリコン酸化膜のような酸化膜から構成され得る。また、堆積された、またはスピン塗布または堆積された酸化物のような埋め込み材またはポリイミドまたはベンゾシクロブテンのようなポリマー32が、図3Bに示されるように、ダイ14乃至16を覆うように、また/またはこれらの間に形成され得る。材料32は、処理の様々な時点での形成が可能である。図3Bは、材料32が膜30、40の形成前に形成される例を示している。また、埋め込み材料は、図3Aに示される構造の形成後、またはハード・マスク40の形成後(図4)、または選択された材料および温度の検討項目のような多くの要素に基づいて処理の中の様々な時点で、形成されてよい。埋め込み材料を形成するために、別の技術が用いられてよい。例えば、例えばシリコン酸化物の絶縁性の埋め込み材が、例として上記の方法を用いた連続するまたは繰り返しの絶縁体形成ステップおよび化学的機械研磨によって、用いられ得る。または、例えば電解メッキ法によって形成された例えば金属の導電性の埋め込み材が、連続するまたは繰り返しの金属形成ステップや化学的機械研磨によって、用いられ得る。平坦な表面を有することによって、この表面上へのフォトレジストおよび他の膜の形成や、例えば図4に示す開口41のような開口の形成が改善される。
続いて、ハード・マスク40が、絶縁膜30上に形成され、開口41が概して構造17と揃うようにパターニングされる(図4)。ハード・マスクは、薄くされた基板21およびデバイス領域18、11を貫いてコンタクト構造12に達するビアをエッチングするのに用いられる後続のエッチング処理に対して高いエッチング選択性を有する材料からなることが好ましい。ハード・マスクの例は、アルミニウム、タングステン、プラチナ、ニッケル、モリブデンであり、エッチング処理の例は、SFに基づいた反応性イオン・エッチングで薄くされたシリコン基板を貫いてビアをエッチングし、続いてCFに基づいた反応性イオン・エッチングでデバイス領域18、11を貫いてコンタクト構造12に達するビアをエッチングすることである。ハード・マスク40の典型的な厚さは、0.1乃至1.0ミクロンである。開口40の幅は、薄くされた基板21の厚さやコンタクト構造17相互間の間隙を含む多くの要素に依存するが、典型的には1乃至10ミクロンである。
開口41は、ハード・マスク40や絶縁膜30に対する標準的なフォトリソグラフィーでのパターニングやエッチング技術を用いて形成される。例えば、フォトリソグラフィーを用いたフォトレジスト内に開口が形成されることが可能である。この開口は、ダイ14乃至16(または基板22)、または基板10上の位置合わせマークに合わせられることが可能である。光学的イメージングまたはIRイメージングが、位置合わせに用いられることが可能である。次に、ハード・マスク40が、ハード・マスクの材料に応じて適切なウェット化学溶液またはドライ反応性イオン・エッチング処理によってエッチングされることが可能である。こうして、開口内で絶縁膜30が露出される。次に、絶縁膜30が、ハード・マスク40の場合と同様のやり方で、絶縁膜の材料に応じて適切なウェット化学溶液またはドライ反応性イオン・エッチングによってエッチングされることが可能である。ハード・マスク用のウェット化学溶液の例は、ハード・マスクがアルミニウムの場合は、Aluminum Etchant Type Aである。絶縁膜材料用の反応性イオン・エッチング処理の例は、絶縁膜材料が酸化シリコンの場合、CFに基づいた反応性イオン・エッチングである。これらの、およびその他のハード・マスクおよび絶縁膜材料に対して、他の多くのウェットおよびドライ・エッチングが可能である。開口41の幅は、開口がダイ14乃至16(または基板22)に位置合わせされている場合は、構造17の間隔よりも広いことが好ましく、または開口が下側の基板20に位置合わせされている場合は、構造17の間隔に基板20上にダイ14乃至16(または基板22)を配置するのに用いられる方法の位置合わせ精度を加えた間隔よりも広いことが好ましい。
図5に示されているように、ハード・マスク40を用いてダイ14乃至16の基板部がエッチングされてビア50が形成される。このエッチングは、コンタクト構造12、17に隣接する材料(これらは典型的には絶縁膜である)を貫いて継続され、導電性材料17の裏面および横部分とコンタクト構造12の上面が露出される。気体および条件の第1の組が用いられて(例えばSFに基づいて)ダイ14乃至16の基板材料を貫いてエッチングが行なわれ得、気体および条件の第2の組(例えばCFに基づいて)が用いられてコンタクト構造17の周囲の絶縁層を貫いてエッチングが行なわれる。両方のエッチングは、真空を破ることなく適切に気体および条件を切り替えることによって、1つのチャンバ内で実行され得る。導電性材料12を露出するエッチングは、図6Aに示されている。このエッチングによって、コンタクト構造17の間隙または開口を貫いてコンタクト構造12まで延びるビア部60が生成される。
コンタクト構造12、17を露出する絶縁膜のビアのエッチングは、コンタクト構造17に対する害となる量のエッチングを回避できるように、コンタクト構造17に対して高いエッチング選択性を有することが好ましい。しかしながら、コンタクト構造17への害となる量のエッチングにつながる、絶縁膜へのビアのエッチングと導電性構造の組合せが幾つか存在する。例えば、この害となる影響は、導電性構造17が十分に薄い場合またはコンタクト構造12、17の間の垂直方向の距離が十分に大きい場合に起こり得る。
エッチングの量が害となる例は、酸化シリコン絶縁体に囲まれたアルミニウムのコンタクト構造17と何らかのCFに基づいた反応性イオン・エッチングの組合せで、酸化シリコン絶縁体に対するアルミニウム導電性構造のエッチング・レートの比が、コンタクト構造12、17間の酸化シリコン絶縁体の厚さに対するコンタクト構造17の厚さの比と同程度か、あるいはこの比よりも高いことである。
コンタクト構造17に対して害となる量のエッチングが生じ得る、このような状況では、コンタクト構造17を厚くしたり、コンタクト構造17を絶縁膜へのビアのエッチングから保護するための中間処理を付加することができる。中間処理が用いられて、以下のように、有害なエッチングを回避することができる。絶縁体のエッチングで最初に上側コンタクト構造17の裏面および横部分が露出された際に、金属材料のようなハード・マスクが、絶縁体へのエッチングを継続することでコンタクト構造17への有害なエッチングという結果になる前に、コンタクト構造17の露出された部分上に選択的に堆積されることが可能である。ハード・マスクの選択的な堆積の後、絶縁体のエッチングが、コンタクト構造17への有害なエッチング無しに、継続されることが可能である。ハード・マスクを選択的に堆積する例は、ニッケルの無電解メッキ法である。これは、例えば、図6Bに示されている。図6Bにおいて、エッチングは、コンタクト構造17の露出後であらゆる著しく有害なエッチングが生じる前に停止させられる。次に、コンタクト構造17は、例えば無電解メッキ法を用いて例えばニッケルの保護ハード・マスク材料によって被覆される。ニッケルのような材料は、コンタクト構造12、17を後に接続する際にデバイス内に残っていてよい。または、材料61は、必要であれば、構造12、17の接続を行なう前に除去されてもよい。
保護ハード・マスク61が、ハード・マスク40上に選択的に堆積されてもよいことに留意されたい。例は、ハード・マスク40が導電体であり、保護ハード・マスク61の堆積が無電解メッキ法によって達成されることである。これは、ハード・マスク40の必要な厚さを減少させるのに有利である。ハード・マスク40上に保護ハード・マスク材料を堆積するさらなる利点は、ビア50の開口が制約されることによってコンタクト構造17の一部がビア60の異方性エッチングから守られることになることであり得る。図7Aは、後続のステップをより明確に示すために、ダイ14乃至16の1つをより詳細に示している。コンフォーマルな絶縁膜70が、マスク40およびコンタクト構造12、17、ビア50、60の側壁を覆うように形成され、ビア50、60が一部埋め込まれる。適切な絶縁膜の例は、酸化シリコン、窒化シリコン、パリレンである。この絶縁膜は、多くの典型的な堆積法を用いて形成され得る。この堆積法には、物理気相成長法、化学気相成長法、気相成長法が含まれるが、これらに限定されない。物理気相成長法の例はスパッタリングであり、化学気相成長法の例はプラズマ化学気相成長法であり、気相成長法の例は、固体の蒸発と続く熱分解および堆積である。
ハード・マスク40、またはハード・マスク40およびコンフォーマルな絶縁膜30は、コンフォーマルな絶縁膜70の形成前に、例えばエッチングによって除去され得る。図7Bは、ハード・マスク40が除去された場合を示している。ハード・マスク40またはハード・マスク40および膜30を除去するためのエッチングがビア50、60によって露出された材料に対して選択的である場合、このエッチングは、マスク無しで行なわれることが可能である。このエッチングがビア50、60によって露出された材料に対して選択的でない場合、ビア50、60内のエッチングの対象のこれらの材料は、適切な材料でマスクされ得る。例えば、ハード・マスク40、コンタクト構造12、17がアルミニウムである場合、ビアは、コンタクト構造12、17が覆われる深さまで、容易に除去可能なスピン塗布される粘性液体で一部埋め込まれる。このビアは、スピン塗布された粘性液体で、ビア50、60の形成に用いられたハード・マスク40によって形成された表面を適切に平坦化する適切なスピン塗布膜厚を最初に選択することによって、一部埋め込まれることが可能である。この膜厚を適用すると、ビアの内部の膜厚が、ビアの外側よりも大幅に厚くなる結果となる。次に、全体の表面を適切にエッチングすることによって、コンタクト構造12、17を覆うビア50、60内のこの材料を残しながら、ハード・マスク40の表面からこの材料が除去される。容易に除去可能なスピン塗布材料および適切なエッチングの例は、それぞれフォトレジストおよびOプラズマエッチングである。
コンフォーマルな膜70が、異方性的にエッチングされて、膜70をビア50、60の側壁上に残しながら、コンタクト構造12、17が露出される。構造17の裏面が露出されて、コンタクト表面面積を増加させるための棚27を形成することが好ましい。この結果、コンタクトの抵抗が減少する。幅1ミクロンを超える典型的な棚27が、コンタクト抵抗を最小にするのに好ましいが、この距離は、デバイスおよびプロセスのパラメータに基づいて様々であろう。図8A、図8Bは、それぞれ、コンフォーマル絶縁膜70の形成前にマスクが除去されないおよびマスクが除去されての、エッチングされたコンフォーマル膜70を示している。膜30、40の両方が層70の形成前に除去されてよい。この場合、コンフォーマル層70のエッチングに続いて、別の絶縁層が、例えば酸化または堆積によって、基板部21(またはデバイス領域18の部分21が完全に除去された部分)上に形成され得る。
コンフォーマル膜70に代えて、コンタクト構造12の露出前に別のコンフォーマル膜が形成されてもよい。図8C、図8D、図8E、図8Fにそれぞれ示すように、例えば、ダイ14乃至16の基板部を貫くエッチングの後でコンタクト構造17に隣接する材料内へと至るエッチングの前にコンフォーマル膜71が形成されるか、コンタクト構造17に隣接する材料内へと至ったエッチングの後でコンタクト構造17に達する前にコンフォーマル膜72が形成されるか、コンタクト構造17に達した後でビア60の形成前にコンフォーマル膜73が形成されるか、導電構造17に到達後で且つビア60の一部の形成後でビア60の完成前且つコンタクト構造12への到達前にコンフォーマル膜74が形成される。コンフォーマル膜71、72、73、74は、続いて、異方性的にエッチングされて、ダイ14乃至16の基板部のビア部50上に絶縁性の側壁が形成される。例えば、図8G、図8H、図8I、図8Jにそれぞれ示されるように、コンフォーマル膜71が続けて異方性的にエッチングされてダイ14乃至16の基板部のビア部50上に絶縁性の側壁が形成され得、コンフォーマル膜72が続けて異方性的にエッチングされてダイ14乃至16の基板部のビア部50上およびコンタクト構造17に隣接する材料からなるビア50の上部上に絶縁性の側壁が形成され得、コンフォーマル膜73が続けて異方性的にエッチングされてビア50上の深さ全体に絶縁性の側壁が形成され得、コンフォーマル膜74が続けて異方性的にエッチングされてビア50上の深さ全体およびビア60の上部上に絶縁性の側壁が形成され得る。
膜70、71、72、73、74を一様に堆積するとともに続けてこれらの膜を異方性的にエッチングすることによって形成される側壁に代えて、図8Kに示されているように、側壁75が、ビア50内のダイ14乃至16のこの部分がビアによって形成された後に、この基板部上に選択的に形成されることが可能である。側壁75は、コンタクト構造17に隣接する材料に対して優先的に基板部と反応する処理によって形成されることが可能である。例えば、ダイ14乃至16の基板部がシリコンであるとともにコンタクト構造17に隣接する材料が酸化シリコンである場合、酸化シリコンよりもシリコン上を優先的に核とする絶縁体堆積処理が用いられ得る。ここにおいて、絶縁体の堆積が側壁75を構成する。図8Kに示されているように、側壁75は、コンフォーマル膜71の異方性エッチング後のビア50内のコンフォーマル膜71に構造的に類似している。ここで、側壁75は、ダイ14乃至16の基板部を貫くエッチング後でコンタクト構造17に隣接する材料へのエッチング前に形成される。
異方性エッチングの際にコンタクト構造17の側面も表面積をさらに増やすとともに接触抵抗を減じるために露出され得る。このことも、図8A、図8Bに示されている。次に、ビア50、60は、金属によってさらに埋め込まれるかあるいは完全に埋め込まれることが可能である。ビア50、60を金属で埋め込む方法には、物理気相成長法(PVD)、または化学気相成長法(CVD)、または電解メッキ法が含まれるが、これらに限定されない。電解メッキ法は、典型的にはPVDまたはCVDよりも厚い膜の堆積に用いられ、典型的には薄いPVDまたはCVDシード層の堆積が先行する。PVDによって形成される膜の例は、スパッタリングされたアルミニウム、パラジウム、チタン、タングステン、チタン−タングステン、または銅であり、CVDによって形成される膜の例はタングステンまたは銅であり、電解メッキ法(無電解メッキ法も含まれる)によって形成される膜の例はニッケル、金、パラジウム、銅である。
図9Aは、マスク電解メッキ法の例を示している。マスク電解メッキ法によって、まず金属シード層90が構造を覆うように堆積されてコンタクト構造12、17への電気的接触物が形成され、例えばフォトレジストを用いたマスク91の形成が続く。シード層90は、上記のようなPVD、CVD、または電解メッキ法によって堆積されることが可能である。マスク91およびシード層90への電気的接触物を用いて、金属コンタクト92はビア50、60を埋め込む。図9Bにおいて、マスク40が、コンフォーマル絶縁膜70の形成前に除去された構造が示され、図9Cは、シード層が用いられない構造を示している。次に、研磨ステップ、例として化学的機械研磨が用いられて金属コンタクト92のビア50、60の外側の余剰部分が除去されることが可能である。この研磨ステップは、ダイ14乃至16の露出された側面上のシード層90をも除去することができる。この研磨ステップは、さらに、ダイ14乃至16の露出された側面上のハード・マスク40を除去することが可能である。ハード・マスク40を除去することは、ハード・マスクが、上に挙げたアルミニウムのように導電性である場合に、このように金属によって埋め込まれて形成されたビアを相互に電気的に絶縁するために好ましい。この研磨ステップによって、コンフォーマル絶縁膜30がさらに除去される。この結果、図10A、図10Bに示されるように、ダイ14乃至16の露出された面上に実質的に平坦な面および平坦な金属構造100が形成される。ここで、図10Bにおける構造は、ビアを金属で埋め込むことに先立ってシード層が用いられない点で図10Aの構造と異なる。
ビア50、60を金属で埋め込み、次いでCMPを行なうことに代えて、図10Cに示されるように、ビア50、60は、金属93によって内側を覆われ、絶縁体94によって埋め込まれ、CMPが続くということが可能である。ビア50、60は、上記のようなPVD、電解メッキ法、CVDのうちの少なくとも1つを用いて堆積することによって金属93によって内側を覆われることが可能である。金属93の厚さは、典型的には、0.01乃至0.2ミクロンであり、コンタクト構造12、17またはデバイス領域18、11への汚染を防ぐためのコンフォーマル絶縁膜70に隣接するバリア層が含まれていてもよい。バリア層の例には、窒化タンタル、窒化タングステン、窒化チタンが含まれ、典型的な厚さが0.005乃至0.02ミクロンのチタン接着層が先行していてもよい。バリア層の典型的な厚さは、0.005乃至0.05ミクロンである。初期の厚さの93が堆積された後、電解メッキ法が用いられて93の厚さを所望の厚さまで一様に増大させることが可能である。増大後の典型的な厚さは、ビア50について、ビア50が十分な幅であることを条件として0.5乃至2.0ミクロンである。絶縁体94の例は、酸化シリコンであり、埋め込み方法の例は、プラズマ化学気相成長法(PECVD)によるものである。このように代替することは、金属の堆積および金属のCMPが減少することと、内側を覆っている合成金属、絶縁体によって埋め込まれたビア、ダイ14乃至16の周囲基板部の間の熱膨張係数(CTE)の整合が改善する可能性を有する利点を有する。
ビア50、60を金属で埋め込むことおよびビア50、60の内側を金属93で覆ってから絶縁体94で埋め込むことに対する代替的な方法は、ビア60を金属97で埋め込むかその内側を覆ってコンタクト構造12、17間の電気的配線を薄くされた基板21に接触することなく形成し、次にビア50、60を絶縁体98で埋め込み、続いて上記するとともに図10Dに示されているようにCMPを行なうことである。コンタクト構造12、17を接続するのに十分な厚さまでメッキを形成することによってコンタクト構造12、17上に優先的にメッキを形成する無電解メッキ法によって金属97が形成されて、薄くされた基板21に接触することなくコンタクト構造12、17が相互に接続されることが可能である。十分な厚さまでメッキされる無電解メッキ法の例は、ニッケル無電解メッキ法である。この代替的な方法は、図10Dに示されているように、残存する基板ダイ14乃至16から電気的配線を電気的に絶縁するためのこれらの残存する基板のビア部50上に側壁60、71、72、73、74、75が必要とされないという利点を有する。
相互接続されたコンタクト構造12、17への電気的配線は、図10Eに示されているとともに図10B内の記載と同様に絶縁体98を貫いて金属97へ達するビア51をエッチングするとともにビア51を金属46で埋め込むことによって形成されるか、図10Fに示されているとともに図10C内の記載と同様にビア51の内部を導電性材料52によって覆うとともに絶縁体53で埋め込むことによって形成されることが可能である。図10Eおよび図10F内のビア51は、金属97のコンタクト構造12上の部分に接続していることが示されている。または、ビア51は、金属97のコンタクト17上の部分、またはコンタクト構造12、17の両方に接続することが可能である。
図10A乃至図10Fの構造は、ワイヤ・ボンディングまたはフリップチップ・パッケージングをサポートするためのフォトリソグラフィーに基づいた配線引き回しまたはアンダーバンプ金属被覆を含む(しかし、これに限定されない)後続の処理に適している。この処理は、典型的には、薄くされた基板21の露出された側上に電気的に絶縁性の材料を形成して配線形成またはアンダーバンプ金属被覆のために電気的な絶縁を形成することを含んでいる。
堆積されたまたはスピン塗布された酸化物またはポリマーのような絶縁性材料96がCMP後にダイ14乃至16上に形成され、材料96上に金属構造100に接触する配線引き回しまたはアンダーバンプ金属被覆体95が形成された例が図11に示されている。図3Bに示されるように、別の埋め込み材料が材料96の形成に先立ってダイ14乃至16間に用いられてもよい。金属被覆体は、高いビア密度および/または高い引き回し複雑度に対応するために、絶縁層(ここでは示されていない)によって分離された複数の階層を含み得る。または、平坦化ステップによってコンフォーマル絶縁膜70が除去されない場合、コンフォーマル絶縁膜は、残存するとともに、金属被覆構造にとって適切な電気的絶縁を提供し得る。
本発明に従った方法の第2実施形態が図12に示されている。ハード・マスク101が何らの介在する絶縁層無しに、ダイ14乃至16上に形成されている。ハード・マスク101の厚さの典型的な範囲は、0.1乃至1.0ミクロンである。ハード・マスク101は、後続のエッチング工程または薄くされた基板21およびデバイス領域18、11を貫いてコンタクト構造12に達するビアをエッチングするために用いられる工程に対して高いエッチング選択性を有することが好ましい。ハード・マスクの例は、アルミニウム、タングステン、プラチナ、ニッケル、モリブデンであり、エッチング処理の例は、薄くされたシリコン基板を貫いてビアをエッチングするためのSFに基づいた反応性イオン・エッチングおよびデバイス領域18、11を貫いてコンタクト構造12に達するビアを次に形成するためのCFに基づいた反応性イオン・エッチングである。開口102がマスク101内に形成され、本構造が第1実施形態と同様に処理されて、ダイ基板およびデバイス領域を貫いてエッチングされて構造12、17が露出される。このとき、構造17の上面が露出されて(図8A、図8Bに示される27のような)棚が形成されることが好ましい。金属被覆が図7乃至図9に示すようにマスク103を用いて実行されて金属104が形成されて、図13に示される構造が製造される。CMP後(図14)、金属105が平坦化されて、本構造は、図11に示される金属被覆構造と同様に、ワイヤ・ボンディングまたはフリップチップ・パッケージングをサポートするためのフォトリソグラフィーに基づいた配線引き回しまたはアンダーバンプ金属被覆を含む(しかしこれらに限定されない)後続の工程に適する。この工程には、ダイ14乃至16の露出された側上に電気的に絶縁性の材料を形成してダイ14乃至16の露出された側を覆うように引き回された配線引き回しまたはアンダーバンプ金属被覆のための電気的絶縁を提供することが含まれ得る。配線引き回しまたはアンダーバンプ金属被覆をさらに補助するために、第1実施形態中での記載のように、例えば絶縁体または金属、またはポリイミドまたはベンゾシクロブテン材料等の平坦化材料が形成されて、例えばダイ、開口、または溝のあらゆる空間をCMP工程の前または後に埋め込むことによって本構造の表面が平坦化され得る。
本発明は、また、別の構造とともに用いられ得る。例えば、コンタクト17の対が要求されずに、ダイまたはウェハ内の1つのコンタクトが、ダイまたはウェハが接着される基板内のコンタクトに接続され得る。このことが図15に示されている。図15において、構造108が構造12から離れている中で、金属構造107がコンタクト構造12、108を接続するシード90に接触している。金属コンタクト107の一部(左側)は、基板部109の上面から構造108上のシード90まで直接延びている。その一方、金属コンタクト107の他方(右側)は、基板部109の上面から構造12上のシード90まで直接延びている。
本発明によって、多くの利点が提供される。1つのマスクが用いられて、基板に接着されたダイまたはウェハの裏面を貫いてエッチングしてダイまたはウェハと基板とが接続される。典型的には、複雑で、問題が生じ、スケーリングを限定することがある、ビア内でのフォトリソグラフィーは必要ない。このエッチングは、接着界面を貫いて進行する。さらに、接続されるコンタクトの上面を露出して、コンタクトの表面積を上げ、コンタクトの抵抗を減じることができる。相違する技術のデバイスが接続されることが可能である。これにより、デバイス性能を最適化するとともに1つのプロセス順序で相違する技術を製造使用しようとすることに関する問題を回避する。
第3実施形態が、図16A、図16B、図17に示されている。基板110は、コンタクト構造112を有するデバイス領域111を有している。図16Aに示されているように、ダイ114乃至116は、それぞれデバイス領域118と、基板部121と、コンタクト構造117とを有しており、表面113上で基板110に接着されている。この実施形態では、コンタクト構造112を覆っている材料はない。第1、第2実施形態について記載した1つのマスキング処理に続いて、図16B、図17に示される構造が製造される。ビア50が、基板部121およびデバイス領域118を貫いてエッチングされて、コンタクト構造117の裏面上で棚26が露出される。このエッチングが継続されて、ビア60が形成されるとともにコンタクト構造112の上面が露出される。コンタクト120が、シード層90を用いてあるいは用いないでビア内に形成され、コンタクト構造112、117が接続される。埋め込み材料が用いられて、図3Bに関して上に記載されているように、デバイスが平坦化され得る。コンタクト120も図10C乃至図10Fにおいて上に示される方法で、形成され得る。また、膜70が図8C乃至図8Kに示されるように形成され得る。
第4実施形態が図18乃至図19に示されている。この実施形態では、コンタクト構造122、123を覆っている材料はない。ダイ114乃至116内において導電性材料、例として金属からなるコンタクト構造123は、ダイ114乃至116の表面の上方で広がり得、また導電性材料、例として金属からなるコンタクト構造122は表面113の上面で広がり得る。コンタクト構造123およびコンタクト構造122は、別の金属から構成され得る。例えば、コンタクト構造123は、銅、タングステン、ニッケル、金の1つから構成され得、コンタクト構造122は、銅、タングステン、ニッケル、金の別の1つから構成され得る。コンタクト構造123またはコンタクト構造122は、さらに、別の金属、例としてニッケル、パラジウム、金の組合せから構成され得る。コンタクト構造123およびコンタクト構造122は、さらに、銅、タングステン、ニッケル、金の合金、または別の合金、例として酸化インジウムスズから構成され得る。これらの金属は、PVD、熱、電子ビーム、電解メッキ法を含む様々な技術によって形成され得る。
ダイ114乃至116の表面のコンタクト構造123を除く部分および表面113のコンタクト構造122を除く部分は、非導電性材料、例として、酸化シリコン、または窒化シリコン、または酸窒化シリコン、または半導体集積回路製造と相性の良い他の絶縁性材料であることが好ましい。出願番号10/359,608に記載されているように、コンタクト構造123が露出しているダイ114乃至116は、コンタクト構造122が露出している表面123に、コンタクト構造113のダイ114乃至116内で露出している部分をコンタクト構造122の表面113内で露出されている部分に位置合わせするのに十分で且つダイ114乃至116の非導電性材料部分を表面113の非導電性材料部分に位置合わせするのに十分な制度で、接着される。ダイ114乃至116の非導電性材料部分と表面113の非導電性材料部分との間の接着は、出願番号10/359,608に記載されているように、直接接着であることが好ましい。直接接着の代替的な例、例として出願番号10/440,099に記載されているもの、が用いられてもよい。直接接着の接着エネルギーは、好ましくは、1J/mを超え、コンタクト構造122のコンタクト構造123に対する内圧を生み出す。この結果、コンタクト構造122、123間が電気的に接続される。このように、最高の内圧を生み出すために、例えば上記したような低温で接着エネルギーが高い結果となる直接接着を用いることが好ましい。しかしながら、低温で接着エネルギーが低くなる直接接着、または高い接着エネルギーを得るのに高温が必要な直接接着も、適用形態によっては許容される。例えば1J/mを超える高い接着エネルギーを得るために、例えば400℃未満の適度な温度、または例えば10kg/cm未満の適度な圧力を必要とする直接接着が用いられてもよい。
より詳細には、金属のボンディング・パッドを含むウェハ表面が室温で接触すると、反対のウェハ表面の接触する非金属部分が接触点において結合を形成し始め、接触している化学結合が増加するに連れてウェハ相互間の引きつける接着力が増加する。金属パッド無しであれば、ウェハは、ウェハ表面の全体に亘って相互に接着する。本発明によれば、ウェハ相互間での接着の継ぎ目が阻害されはするが、金属パッドの存在によって、化学的なウェハ対ウェハ接着が阻害されない。金属ボンディング・パッドの展性および延性ゆえに、化学的なウェハ対ウェハ接着によって非金属領域において生成される圧力は、金属パッド上での非平坦および/または起伏領域を変形させる力になる。この結果、金属パッドの平坦性および/または凹凸が改善するとともに金属パッド間の結合が強固になる。化学結合によって生成される圧力は、これらの金属パッドが相互に強固に接触するように印加される外部圧力の必要性を無くすのに十分である。接触界面における金属原子の相互拡散または自己拡散によって、室温においてさえ、強固な金属結合が、強固に接触している金属パッド間に形成されることが可能である。この拡散は、熱力学的に推進されて表面自由エネルギーを減じ、また典型的に高い相互拡散および/または自己拡散係数を有する金属について強化される。これらの高い拡散係数は、凝集エネルギーの結果である。凝集エネルギーは、典型的には、ほぼ、可動の自由電子ガスによって決定され、この可動の自由電子ガスは拡散の最中、金属イオンの移動によって妨げられない。
または、ダイ114乃至116内のコンタクト構造123は、ダイ114乃至116の表面とほぼ平坦であって、コンタクト構造122は表面113とほぼ平坦である。これは、(W、Ni、Au、Cuのような)金属で埋め込まれたビアと平坦な面を有する基板を形成することによって達成され得る。金属で埋め込まれたビアは、厚さが約0.5ミクロンの、Cu、Al、Al−Cu(2%)、Al−Si(2%)合金層のような金属のシード層上への電解メッキ法で形成され得、またはAlまたはAl合金上に形成されたCu層によって形成され得る。Pdが、シード層として用いられたり、AlまたはAl合金層上に形成されたりしてもよい。Ni、W、Au、Cuの柱がシード層上に形成されてよい。電解メッキの後、シード層は、柱相互間の面から、この柱またはマスクおよび金属エッチングとしてのフォトリソグラフィーによって定義されたパターンの一方を用いて、除去される。次に、酸化層が表面を覆うように形成される。この酸化層は、CMPの対象とされて、酸化物および金属領域と平坦な面が形成される。
コンタクト構造122、123は、ダイ114乃至116の非金属表面部および表面113の非金属部よりも高い表面ラフネスを有し得る。例えば、ダイ114乃至116の表面および表面113は、2重平均平方根(RMS)表面ラフネスが、好ましくは1nm未満、さらに好ましくは0.5nm未満である。他方、コンタクト構造122、123は、RMS表面ラフネスが、好ましくは2nm未満であり、さらに好ましくは1nm未満である。
ダイ114乃至116の表面のコンタクト構造123以外の部分と表面113のコンタクト構造122以外の部分との間の接着に由来するコンタクト構造122のコンタクト構造123に対する内圧は、ダイ114乃至116の表面または表面113の露出されている金属表面上の例えば自然酸化または他の汚染、例えば炭化水素が原因で、接着を達成したり好ましい低抵抗での電気的接触となったりするのに適当ではないかも知れない。あるいはコンタクト構造123、122間での接着を改善したり、電気的接続を好ましい低抵抗にしたりすることは、コンタクト構造123または122上の自然酸化物を除去することによって達成され得る。例えば、希フッ酸が、表面113がダイ114乃至116の表面に接触する前に用いられ得る。さらに、表面113およびダイ114乃至116の表面が、自然酸化物の除去後で表面113をダイ114乃至116の表面に接触するまでの間、不活性の環境、例として窒素またはアルゴンに晒され得る。または、コンタクト構造123、122間での接着を改善したり、電気的接続を好ましい低抵抗にしたりすることは、ダイ114乃至116の表面のコンタクト構造123以外の部分と表面113のコンタクト構造122以外の部分とを接着した後に、コンタクト構造122、123の温度を上げる、例として熱する、ことによって達成され得る。温度を上げることは、自然酸化物または他の汚染を減じることによって、または例えばコンタクト構造123または122がコンタクト構造123、122の周囲の非金属材料に比べて高い熱膨張係数を有する場合にコンタクト構造123、122間の内圧を上げることによって、または自然酸化物を減じるとともに内圧を上げることによって、電気的接続を好ましい低抵抗にする結果となり得る。温度を上げることによって、122、123のようなコンタクト構造間の拡散が増加し、電気的接続が好ましい低抵抗になる。このように、温度を上げることによって、コンタクト構造123、122間の金属接着、金属コンタクト、金属接続、電気伝導性が強化される。1Ω/μm未満のコンタクト抵抗が達成された。例えば、直径が約5または10μmでともに厚さが1μmの2つのコンタクト構造について、50mΩ未満の抵抗が得られた。
IC、例としてシリコンICが、ダイ114乃至116内または表面113の下方の層111内にある場合、温度の上昇は、IC、またはコンタクト構造、またはその他の金属構造への損傷を回避するために、好ましくは、2時間に亘って400℃未満であり、より好ましくは2時間に亘って350℃未満である。コンタクト構造が熱膨張または内圧または無視可能な自然酸化物に対して敏感な材料、例として金である場合、コンタクト構造122、123間の金属接着、または金属接触、または金属配線、または電気伝導性が強化される結果を生む温度の上昇は非常に低く、例として10分間に亘って50℃程度である。
必要な場合、コンタクト構造123、122間の金属接着、または金属接触、または金属接続、または電気伝導性を所望の程度強化するのに必要な接着後の温度上昇を最小化するために、より低い接着後温度で内圧がより大幅に増加するとともに低圧で変形可能な結果を生むコンタクト構造123、122を利用することが好ましい。例えば、接着後温度上昇の結果として生成される内圧は、コンタクト構造123、122を構成する金属に依存する。例えば、大きな値の熱膨張係数(CTE)を有する金属、例として銅、ニッケル、金は、所与の温度でより大きく膨張する結果となる。さらに、高い剪断弾性係数を有する金属、例として、タングステンおよびニッケルは、所与の温度においてより大きな応力を生成する。このように、CTEと剪断弾性係数の積が大きな金属、例として、銅、タングステン、ニッケル、は、温度上昇に従って内圧を上げるのに最も効果的である。さらに、好ましくは、非常に高純度、例として99.9%の降伏応力が低い金属、例として銅、ニッケル、金は、より低い応力でも容易に変形し、よって、より低い応力でのコンタクト構造間の金属接着、または金属接触、または金属接続、または電気伝導性が改善する結果を生むことが可能である。従って、CTEおよび剪断弾性係数の積が大きい、または降伏応力で標準化された高いCTEと剪断弾性係数の積が大きい金属、例として銅、ニッケル、金からなるコンタクト構造123、122は、接着後温度上昇を伴った内圧の生成の結果コンタクト構造間の金属接着、金属接触、金属接続、電気伝導性の改善を見せるコンタクト構造123、122として好ましい。
または、コンタクト構造123がダイ114乃至116の表面より若干低いか、コンタクト構造122が表面113より若干低くてもよい。ダイ114乃至116の表面と表面113との間の距離は、好ましくは20nm未満であり、より好ましくは10nm未満である。後続の接着およびこれに続く温度上昇によって、上記のようにコンタクト構造122、123間の内圧が上昇し、また、コンタクト構造122、123間の金属接着、または金属接触、または金属接続、または電気伝導性が改善する結果となる。コンタクト構造122の表面113との間の若干の距離およびコンタクト構造123とダイ114乃至116の表面との間の若干の距離は、コンタクト構造の広がりの平均距離である。コンタクト構造の形状的特徴には、平均距離に等しい、およびこれを超える、およびこれを下回る位置が含まれる。コンタクト構造の総高さばらつきは、最大高さと最小高さとの間の差で与えられ、RMSばらつきを実質的に超え得る。例えば、RMSが1nmのコンタクト構造が、10nmの総高さばらつきを有し得る。よって、上記のようにコンタクト構造123はダイ114乃至116の表面より若干低いとともにコンタクト構造122は表面113より若干低いのであるが、コンタクト構造122の一部はダイ114乃至116の表面より上で広がるとともにコンタクト構造123の一部は表面113より上で広がり、結果、表面113の非金属部分がダイ114乃至116の非金属部分への接着後にコンタクト構造122とコンタクト構造123とが機械的に接続される。この機械的な接続は、機械的接続が不完全であるゆえ、あるいはコンタクト構造122またはコンタクト構造123上の自然酸化膜または他の汚染ゆえに、コンタクト構造122とコンタクト構造123との間が適切に電気的接続される結果とならないかもしれない。続く温度上昇によって、コンタクト構造122、123間の金属接着、金属接触、金属接続、電気伝導性が、上記のように改善し得る。
または、コンタクト構造123の最も高い部分がダイ114乃至116の表面より低いか、コンタクト構造122の最も高い部分が表面113より低く、且つ接着後にコンタクト構造123、122間に機械的な接触がない場合、温度上昇によって、コンタクト構造123、122間が機械的に接触する、また/または望ましく電気的に接続される結果となり得る。
または、コンタクト構造123がダイ114乃至116の表面より低いとともにコンタクト構造122が表面113より高く、またはコンタクト構造123がダイ114乃至116の表面より高いとともにコンタクト構造122が表面113より低くてもよい。表面113とそれより低いコンタクト構造122との間の距離およびダイ114、115、116の表面とそれより低いコンタクト構造123との間の距離(またはそれらの逆)は、出願番号10/359,608に記載されているように、若干の正である。または、表面113とそれより低いコンタクト構造122との間の距離およびダイ114、115、116の表面とそれより低いコンタクト構造123との間の距離(またはそれらの逆)は、名目上、ゼロであるか、若干の負であり、上記のように、接着後温度上昇によって、コンタクト構造122、123間の金属接着、金属接触、金属接続、電気伝導性が改善し得る。
コンタクト構造123のダイ114乃至116の表面に比べた高さ、およびコンタクト構造122の表面113の高さに比べた高さは、ダイ114乃至116の表面または表面113を形成する研磨工程、例として化学的機械研磨(CMP)によって調整されることが可能である。CMP処理は、典型的には、多くの処理変数を有していた。この変数には、研磨スラリー、スラリー添加率、研磨パッド、研磨パッド回転率、研磨圧力が含まれるが、これらに限定されない。CMP処理は、さらに、表面113およびダイ114乃至116の表面を構成する具体的な非金属および金属材料と、非金属材料および金属材料の相対的な研磨レート(同様の研磨レート、例としてニッケル、酸化シリコンが好ましい)と、コンタクト構造122、123の大きさ、ピッチ、粒構造と、表面113またはダイ114乃至116の表面の非平坦性とに依存する。これらの工程パラメータを最適化することが用いられて、コンタクト構造123のダイ114乃至116の表面に対する高さおよびコンタクト構造122の表面113の高さに対する高さが制御されることが可能である。代替的な研磨技術、例としてスラリー無しの研磨が用いられてもよい。
コンタクト構造123のダイ114乃至116に対する高さおよびコンタクト構造122の表面113の高さに対する高さは、ダイ114乃至116の表面上のコンタクト123周囲の材料または表面113上のコンタクト構造122周囲の材料を若干ドライ・エッチングすることによっても制御され得る。このドライ・エッチングの例は、ある絶縁性材料、例として酸化シリコン、または窒化シリコン、または酸窒化シリコンからなる表面に対して、好ましくは、結果として表面ラフネスが増加する結果となるように、CFおよびOを混合したものを用いたプラズマまたは反応性エッチングである。表面ラフネスが増加すると、界面間の結合エネルギーが大幅に減少する。または、コンタクト構造123およびコンタクト構造122の高さは、コンタクト構造123、122上に極薄い金属層を形成することによって制御され得る。例えば、適当な金属、例として金の無電解メッキ法によって、極薄い、例として約5乃至50nmの層に自己的に制限されることが可能である。この方法は、酸化する金属の端を極薄い酸化しない金属、例として金属、ニッケルにして電気的接続の形成を容易にするというさらなる利点を有する。
さらに、コンタクト構造122は、横方向の寸法が、接着後にコンタクト構造123の周辺がコンタクト構造122内に含まれるように、あるいはコンタクト構造122の周辺がコンタクト構造123の周辺に含まれるように、コンタクト構造123の横方向の寸法よりも大きいまたは小さいことが可能である。より大きなまたはより小さな部分の横方向の最小寸法は、典型的には、ダイ114乃至116の表面113への接着の位置合わせ精度の少なくとも2倍によって決定される。例えば、ダイ114乃至116の表面への接着の際の位置合わせ精度が1ミクロンである場合、コンタクト構造122は、コンタクト構造123の周辺がコンタクト構造122の周辺に含まれるように、コンタクト構造123よりも少なくとも2ミクロン大きいことが好ましい。
コンタクト構造122のコンタクト構造123に対する最大の内圧、これはダイ114乃至116の表面のコンタクト構造123周辺の部分と表面113のコンタクト構造122の周辺の部分との間で形成され、あるいは接着後の温度上昇によって提供され得るのだが、この内圧は、ダイ114乃至116の表面の該部分の表面113の該部分への接着面積とコンタクト構造122の面積に対するコンタクト構造123の面積に依存する。これらの2つの面積の和は、表面113のコンタクト領域122でない部分と位置合わせされたコンタクト構造123の残りの面積と、ダイ114乃至116の表面のコンタクト構造123でない部分と位置合わせされたコンタクト構造122の残りの面積ゆえに、典型的には、表面113に対するダイ114乃至116の全体の領域の面積より小さい。これは、コンタクト構造123、122間の横方向寸法の差と、ダイ114乃至116の表面と表面113との間の接着位置合わせずれに起因する。接着によって生成されるかあるいは接着後の温度上昇によって提供され得る最大の内圧は、ダイ114乃至116の表面の該部分と表面113の該部分との間の接着の破壊応力と、コンタクト構造122の該部分に対するコンタクト構造123の該部分への接着面積の比とによって近似されることが可能である。例えばダイ114乃至116の表面の該部分および表面113の該部分が、16,000psiの破壊応力の酸化シリコンにより構成されるとともにこれらの部分の位置合わせされた部分間の直接接着が酸化シリコンの半分すなわち8,000psiであり、コンタクト構造123、122が10ミクロンのピッチの4ミクロンの直径の円であり、完璧に位置合わせされている場合、60,000psiを超えるコンタクト構造123、122間の最大内圧が可能である。この圧力は、典型的には、接着後温度上昇によって生成されるものよりも大幅に大きい。例えば、コンタクト構造123、122が17ppmのCTEおよび6,400,000の剪断弾性係数の銅で構成されるとともにダイ114乃至116の表面の該部分と表面113の該部分とが0.5のCTEの酸化シリコンで構成され、コンタクト構造123がダイ114乃至116の該部分と平坦であるとともにコンタクト構造122が表面113の該部分と平坦である場合、350℃に高められた接着後温度において約37,000psiのコンタクト構造123、122間の応力が予想される。
コンタクト構造123、122は、典型的には、完全には位置合わせされておらず、同じ横方向寸法ではない。このことによって、コンタクト構造123の一部が表面113のコンタクト構造122の周囲の部分と接触するか、あるいはコンタクト構造122の一部がダイ114乃至116の表面の構造123の周囲の部分と接触するかする結果になり得る。コンタクト構造123の一部が表面113の該部分と接触し且つコンタクト構造122が表面113より低い場合、またはコンタクト構造122がダイ114乃至116の表面の該部分と接触し且つコンタクト構造123がダイ114乃至116の表面より低い場合、接着後の温度上昇によって、コンタクト122とダイ114乃至116の表面の該部分との間またはコンタクト構造123と表面113の該部分との間で優先的に内圧が上がる結果となり、また、所与の接着後温度上昇でのコンタクト構造123、122間の内圧が減少する結果となる(これは、そうでない場合は起こらない)。コンタクト構造123、122間で内圧の上昇が減ることを回避するために、コンタクト構造123がダイ114乃至116の表面より低い場合、コンタクト構造122の周辺が、主としてこの内圧の上昇がコンタクト構造123およびコンタクト構造122間で生じるようにコンタクト構造123およびコンタクト構造122の位置合わせずれおよび大きさおよび形状の不一致をカバーする量(例えば位置合わせ許容値の2倍)だけ、コンタクト構造123の周辺内に位置することが好ましい。または、コンタクト構造122が表面113より低い場合、接着後に、コンタクト構造123の周辺が、内圧の上昇が主としてコンタクト構造123およびコンタクト構造122の間で生じるようにコンタクト構造123およびコンタクト構造122の位置合わせずれおよび大きさおよび形状の不一致をカバーする量だけ、コンタクト構造122の周辺内に位置することが好ましい。さらに、コンタクト構造123がダイ114乃至116の表面より低いとともにコンタクト構造122が表面113より低い場合、コンタクト構造CTEによって標準化された、表面よりも最も低いコンタクト構造の周辺が、接着後に、内圧の上昇が主としてコンタクト構造123およびコンタクト構造122の間で生じるようにコンタクト構造123およびコンタクト構造122の位置合わせずれおよび大きさおよび形状の不一致をカバーする量だけ、対向するコンタクト構造の周辺内に位置する。
コンタクト構造123およびコンタクト構造122の温度は、薄くされたダイ基板121を形成するためにダイ114乃至116の基板を薄くする前または後に、上げられることが可能である。コンタクト構造123およびコンタクト構造122の温度が、接着後に、様々なタイプの加熱法で上げられることが可能である。そのような加熱法には、熱によるもの、赤外線によるもの、誘導型のものが含まれるが、これらに限定されない。熱による加熱の例は、炉、ベルト炉、ホット・プレートが含まれる。赤外線による加熱の例は、高速昇温熱処理(rapid thermal annealing)である。赤外線熱源は、コンタクト構造123、122を、所望のエネルギーのフォトンで優先的に熱するためにフィルタを通されることが可能である。例えば、基板110、ダイ114乃至116基板、薄くされたダイ基板121、デバイス領域111、デバイス領域118が半導体、例としてシリコンで構成されている場合、赤外線熱源は、半導体のバンドギャップを超えるエネルギーのフォトンが半導体に吸収されることを回避するようにフィルタを通されることが可能である。この結果、コンタクト構造123またはコンタクト構造122の温度上昇に比べて半導体の温度上昇が少ない。誘導型の加熱の例は、コンタクト構造123またはコンタクト構造122が磁石、例としてニッケルで構成されている場合、誘導性磁気共鳴(inductive magnetic resonance)である。
図18に示されているように、複数のコンタクト構造123は、1つのコンタクト構造122を完全に覆うことなく、1つのコンタクト構造122と接触し得る。または、1つのコンタクト構造123が、1つのコンタクト構造122と、一部または全体が接触し得、または1つのコンタクト構造122が、1つのコンタクト構造123と、一部または全体が接触し得、または1つのコンタクト構造123が複数のコンタクト構造122と接触し得る。
複数のコンタクト構造123が1つのコンタクト構造122の全体を覆うことなく1つのコンタクト構造122と接触している場合、先行する実施形態に関して説明されている1つのマスキング工程に続いて、図19Aに示されている構造が製造され得る。ここでは、金属のシード層90が、コンタクト構造122、123の両方に対する電気的な配線を形成する。または、特にコンタクト構造123がコンタクト構造122の全体を覆っている場合、金属のシード層90は、コンタクト構造123のみと接触し得る。図19Aに示されている構造は、さらに処理されて、この実施形態において先に記載し且つ図19Bに示されているように、図18に示されている表面113と同様の表面が形成され得る。図19Bでは、コンタクト構造59はコンタクト構造122と同様であり、平坦化された材料58は表面113のコンタクト122以外の部分と同様である。次に、露出されているコンタクト構造123を有するさらなるダイが、露出されているコンタクト構造123を有するダイ114乃至116を露出されているコンタクト構造122へ接着するのと同様に、露出されているコンタクト59を有する表面に接着および接続される。
この第4実施形態では、ビアのエッチングおよび続く金属の相互接続は、コンタクト構造123、122間の電気的相互接続を形成するのに必要ない。しかしながら、図19Aに示されているようなビアのエッチングおよび続く金属の相互接続は、ダイ114乃至116の露出されている側からの電気的なアクセスを設けるために望ましい。このことが望ましい適用例は、ダイ114乃至116の露出されている側をパッケージ、基板、集積回路にフリップチップ・バンプ・ボンディングしてコンタクト構造123または122とこのパッケージ、基板、集積回路との間に電気的な接続を形成することである。この目的のためにビアが要求されない適用例、例としてあるタイプのスターリング焦点面アレイ(Staring Focal Plane Arrays)を形成すること、もある。これらの適用例のために、上記の派生体を含む(しかし、これには限定されない)本方法およびこれによって製造された図18に示されるようなデバイスで事足りよう。
第5実施形態が、図20A乃至図20Hに示されている。この実施形態は、開口またはビア50と重なり合うエッジを有するダイ17、108、117、123内のコンタクト構造が開口または重なり合うエッジを有さないコンタクト構造87によって置き換えられる点を除いて、ビア50の形成前まで先行する実施形態と同様である。この実施形態では、基板部89、デバイス領域88を有するダイ84乃至86内のコンタクト構造87は、デバイス領域81、基板80、コンタクト構造82を有する表面83に接着される。コンタクト構造87は、図20Aに示されるように、コンタクト構造82の上方に配置される。また、ダイ84乃至86は、図16、図17に示されているのと同様の露出されているコンタクト構造112を有する表面113または図18、図19に示されているのと同様のコンタクト構造122に接着されることが可能である。コンタクト構造87は、コンタクト構造82に直接接触しながら接着され得ることに留意されたい。このことは、デバイス86において示されている。ダイ84乃至86は、また、同じコンタクト構造の構成を有し得る。図20A、図20Bは、簡略化のために、2つの構成の間に切り込みが入った、2つのコンタクト構造構成を示すように描かれている。典型的には、1つの基板に接着されたダイの各々は、同じコンタクト構造構成を有する。相違するコンタクト構造のダイが同じ基板に接着される場合、エッチング・パラメータを調整したりビアを別々にエッチングしたりといったプロセスの変更が必要であり得る。この図は、同じまたは相違する構造が1つの基板上に存在している本発明を示すために提示され、必ずしもこのような変形体を示しているのではない。
パターニングされたマスク40および開口41が、第1実施形態において説明されまた図20Bに示されているように、形成される。次に、ビア55が、異方性的に、順に、ダイ84乃至86内の残存する基板部89をエッチングし、ダイ84乃至86内のデバイス領域88の一部をコンタクト構造87まで達するまでエッチングし、コンタクト構造87をエッチングし側面79を形成し、(必要であれば)デバイス領域88の残存する部分を表面83までエッチングし、(必要であれば)デバイス領域81をコンタクト構造12までエッチングすることによって、形成される。コンタクト構造87のエッチングを除いて、これらの異方性エッチングは、第1実施形態において説明されているように行なわれ得る。コンタクト構造87の異方性エッチングについては、ハード・マスク40に対して選択的に導電体の構造87をエッチングするRIEが用いられ得る。ハード・マスク40および導電体の構造87が同じエッチング・レートを有する場合、ハード・マスク40は、コンタクト構造87よりも実質的に厚く形成され得る。これにより、露出されているコンタクト構造87が、基板部89、デバイス領域88、コンタクト構造87、コンタクト構造82までのデバイス領域81とともに、エッチング・ハード・マスク40を完全にエッチングすることなくエッチングされる。コンタクト構造87についてのエッチングは、ダイ84乃至86内の残存基板部89およびデバイス領域88およびデバイス領域81に対するエッチングと実質的に異なり得る。例えば、残存基板部89がシリコンで構成され、またデバイス領域88、81のエッチングされる部分が酸化シリコンで構成され、またコンタクト構造87がAlで構成されている場合、塩素でないものに基づいたRIEエッチングが用いられて残存基板部89およびデバイス領域88、81がエッチングされ、また塩素に基づいたRIEエッチングが用いられてコンタクト構造87がエッチングされることが可能である。
コンタクト構造87のエッチング前に側壁76が形成されることが好ましい。具体的には、本構造が基板部89を貫いて異方性的にエッチングされてデバイス領域88に到達後に停止されることが可能であり、またはデバイス領域88内まで継続されてコンタクト構造87の手前で停止されることが可能である。次に、分離されたコンタクト構造および直接接着されるコンタクト構造についての2つのケースに関して図20Cに示されているように、層76が形成される。層76は、酸化シリコンのような絶縁層をビア55内に堆積し、続けて例えば異方性エッチングによってビア55の底からこの膜を除去することによって形成され得る。図20D(左側)に示されているようにデバイス領域88の残りの部分およびコンタクト構造87がエッチングされてコンタクト構造82が露出され、また、図20D(右側)において、デバイス領域88の残りの部分がエッチングされてコンタクト87が露出される。
側壁の形成、コンタクト構造82、87間の電気的接続、ビア内部の被覆および/または埋め込みといった後続の工程が、コンタクト構造87への電気的接続がコンタクト構造87を異方性的にエッチングすることによって露出された側面79に限定されることを主として除いて、説明済みの実施形態のように続く。2つ目の相違点は、図8A、図8B内の側壁70によって示されているのと同様に側壁を形成すること、または図8Jに示されている側壁74である。図8Jにおいて、側壁が、コンタクト17の下方で広がり、またコンタクト構造87の側面79への電気的接続を阻害している。図20D(左側)は、ダイ84乃至86の1つを、側壁76が側面79への電気的接続を阻害しない例をより明確に示すために、詳細に示している。
図20Dにおける側壁形成の例は、図8Hにおいて先に示されているのと同様である。図8Hにおいて、側壁72は薄くされたダイ基板21の下方且つコンタクト構造17の上方で広がっている。コンタクト構造87、またはコンタクト構造87およびコンタクト構造82の間の領域を貫くビア55のエッチングは、コンタクト構造87の上方で若干、異方性的であることが可能である。この結果、図20Eに示されているように、コンタクト構造87の上面上に非常に小さな自己整合した棚28が形成されて、ビア55の断面を実質的に増加せずに、後に形成されるコンタクト構造82、87間の電気的接続の配線抵抗が減じられる。図8Kに示されているような側壁75に類似する選択的な側壁77も、コンタクト87のエッチング前(図20F、左または右側)、またはコンタクト構造87のエッチング後(図20F、左側)に形成されることが可能である。コンタクト構造87のエッチング後に選択的に側壁77を形成することによって、露出されている側面79の上方で突出し、露出されている側面79とコンタクト構造82との間の電気的接続の形成が複雑になる。この複雑になることは、図10に示されているようにコンタクト構造12、17間を電気的に接続するが薄くされた基板21に接しない電気的配線97を形成するのと同様の方法によって、露出されている側面79とコンタクト構造87との間に電気的配線99を形成することによって、回避されることが可能である。配線99は、コンタクト87の上方であって88または89内のあらゆる導電性材料の下方で広がることが可能である。
電気的配線99の形成後、ビア55に対して露出されている基板部89を覆い、図8A、図8B内の側壁70に類似する側壁76が、図20Gに示されているように、形成されることが可能である。図20Gにおいて、配線99の厚さと同様の厚さの側壁が設けられている。または、図8Kに示されている側壁75に類似する選択的な側壁が、図20Hに示されているように形成されることが可能である。次に、ビア55の残りの部分が、金属によって埋め込まれるか、先行する実施形態において説明されているように、金属によってその内部を覆われるとともに絶縁体によって埋め込まれることが可能である。
これらの結果としての構造も、先行する実施形態において説明されているように、ワイヤ・ボンディングまたはフリップチップ・パッケージングをサポートするフォトリソグラフィーに基づいた配線引き回しまたはアンダーバンプ金属被覆を含む(しかし、これらに限定されない)後続の工程に適している。なお、図20C乃至図20Fに示されている構造も、ダイ86内において示されているように構成されるコンタクト構造を含んでいてもよい。
第6実施形態が図21A乃至図21Eに示されている。これらの図において、先行する実施形態中の19、21、89、109、121と同様の、ダイ基板部127の全体、またはダイ基板部127のほぼ全てが、デバイスの層、回路、または回路層を残して除去され得る。この実施形態では、基板130は、コンタクト構造132を有するデバイス領域131を有する。ダイ134乃至136は、それぞれ、デバイス領域138と、コンタクト構造137と、適切な動作のために必要とはされない基板部127と、を有する。コンタクト137は、ダイ134内において開口を有することが示されており、またコンタクト137は、第5実施形態に示されているように、ダイ135内において単一であり、その中に開口が形成され得る。ダイ134乃至136は、図21Aに示されているように、表面133上において基板130に接着される。ダイ基板127は、例えば研磨および/または研削によって全て除去され、図21Bに示されているようにデバイス領域138が露出される。コンタクト構造を露出するためのビアをエッチングするとともにコンタクト構造相互間の電気的配線を形成するために必要な後続のステップの数が、基板部127が無い故に、本実施形態については、先行する実施形態に比べて実質的に減少するとともに簡略化される。
例えば、ダイ134乃至136の1つのみを示す図21Cにおいて、ビア129をエッチングしてコンタクト構造132、137を露出するステップが簡略化される。なぜなら、ビアをエッチングする基板部127が存在しないからである。こうして、ビア129は、先の実施形態において示されているビアよりも実質的に浅く、結果、ビアの断面積が実質的に減少するとともにこれに応じてビア密度が増加する。別の例では、ダイ134乃至136の1つのみが示されている図21Dにおいて、露出されているコンタクト構造132、137間に電気的配線128を形成するステップが簡略化される。なぜなら、電気的配線128を電気的に絶縁する必要性を生じさせる基板部127が存在しないからである。図21Eは、直接接触で接着されたコンタクト構造を含んだこの実施形態を示している。図21Eに示されている構造は、ダイ135内で示されているように構成されるとともに図19Cに示されているコンタクト構造124、122に類似するコンタクト構造124を含んでいてもよい。
全ての基板部が除去され得る適用例の例には、幾つかのシリコン・オン・インシュレータ、およびICのダイ基板部がアクティブなトランジスタや他のIC装置の製造のために必要でないIII−V ICが含まれる。
第6実施形態の結果得られる構造も、先行する実施形態において説明されているように、ワイヤ・ボンディングまたはフリップチップ・パッケージングをサポートするフォトリソグラフィーに基づいた配線引き回しまたはアンダーバンプ金属被覆を含む後続の工程に適している。
図21A乃至図21Eに示されているものに対する変形体には、先の実施形態において説明されているものが含まれるが、これらに限定されない。例えば、図10および図14に示されているようにビアを埋め込むことやビアをその内部を覆うとともに埋め込むこと、図15に示されているようにダイのコンタクト構造のエッジに配線を形成すること図17および図18に示されているようにダイをウェハの露出されているコンタクト構造へまたは図19に示されているようにダイおよびウェハの露出されているコンタクト構造へ接着することである。図20に示されているようにダイのコンタクト構造の露出されている側面へのコンタクトも可能である。
本発明の第7実施形態が図22A乃至図22Lおよび図23A乃至図23Kに示されている。表面コンタクト構造の構成は、ダイ146によって示されていることに留意されたい。全てのダイは、1つの基板内で同じまたは相違するコンタクト構造を有し得、上記のように、相違するコンタクト構造が同じ基板に接着される場合、特定の工程の変形が必要であり得る。基板140は、スクライブ・アレイ38によって分離された144乃至146(破線によって示されている)のようなダイを含み得る。各ダイ144乃至146は、デバイス領域に位置するコンタクト構造147を有する。説明の簡略化のために、コンタクト構造は、実際の比率で示されていないことに留意されたい。コンタクト構造147は、別々の部材であってもよいし、1つの部材であってこれを貫く開口が形成されていてもよい。
コンタクト構造147は、金属の堆積および除去または金属の堆積およびエッチングの従来の方法によって形成されることが可能である。または、コンタクト構造147は、既に存在している導電層をパターニングおよびエッチングすること、または導電層の開口内でパターニングするとともに金属を堆積することの組合せによって、形成されることが可能である。コンタクト構造147を形成することには、デバイス領域148内のコンタクト構造147の下方のものと同様に電気的に絶縁を行なう絶縁性材料151の平坦化層を堆積することが続くのが望ましい。典型的な平坦化材料は、図22A内の層151によって示されているようなプラズマ化学気相成長法によって形成された酸化シリコンである。デバイス146のように表面コンタクトが望まれる場合には、層151は、形成されないか、基板140の所定の領域で形成されないか、後に除去され得る。
ダイ144乃至146内にビアが形成され得る。ビアのエッチングは、ウェハ上の全てのダイ上の全てのビアが同時にエッチングされることが可能となるようにスクライブ・ライン38に沿ってダイ144乃至146を個別のダイへと切り分けるに先立って、ウェハの規模でエッチングされることが好ましい。こうして、ダイ144乃至146は、皆、全てのビアが同時にエッチングされるか、または、ダイ144乃至146が相違するウェハに由来する場合は異なる時点でエッチングされることが可能である。ビアは、デバイス領域148および基板140の最小量の消費とするために異方性的にエッチングされることが好ましい。
ダイ144乃至146内のコンタクト構造も、第5実施形態において先に記載されているのと同様に形成され得る。例えば、図22Bに示されているように平坦化材料151がパターニングおよびエッチングされて平坦化材料151を貫いて導電性材料154に達するビア152が形成される。続いて、導電性材料154を貫くビアがエッチングされて、露出されている側面153を有するコンタクト構造147(154)が形成される。続いて、図22Cに示されているように、デバイス領域148を貫いて基板140内に至るさらなるエッチングによってビア155が形成される。このエッチングは、ビア155の横方向の広がりを最小にするために異方性であることが好ましい。平坦化材料151もパターニングおよびエッチングされて、図22Dに示されているように2つの棚を露出するビア156、または図22Eに示されているように1つの棚160を露出するビア157、または図22Fに示されているように棚を露出しないビア158が形成される。平坦化材料151のパターニングおよびエッチングは、面積が、コンタクト構造147によって(またはコンタクト構造154内に)形成される開口より若干大きい。結果、ビア156のコンタクト構造147下方の位置および横方向の広がりはコンタクト構造147(154)によって与えられるとともに、ビア156のコンタクト構造147(154)の上方の上側部分は、ビア156の下側部分より若干広くなる。コンタクト構造147(154)の棚160および側面153は、図22Dに示されているように、露出される。または、平坦化材料151のパターニングおよびエッチングは、コンタクト構造147(154)のエッジと重なり得る。結果、ビア157の位置および横方向の広がりはコンタクト構造147(154)によって与えられるとともにビア157の上側部分は下側部分よりも若干広くなる。図22Eに示されているように、コンタクト構造147、154の1つの棚160、およびコンタクト構造147(154)の側面153が、露出される。図22D、図22Eに代えて、平坦化材料151のパターニングおよびエッチングは、コンタクト構造147(154)のどの部分とも重ならない。結果、図22Fに示されているように、ビア158の位置および横方向の広がりはコンタクト構造147(154)によって与えられないとともにコンタクト構造147(154)の側面153が露出しない。図22Eおよび図22F内のあらゆるコンタクトが開口を有することが必要ではないことに留意されたい。図22Cに示されているように形成されたビア155およびコンタクト構造147(154)のための図22Gに示されているように、後続の、ダイ144乃至146を基板140の表面143に接着した後に切り分けられたダイ144乃至146の基板140を薄くして薄くされた基板161を形成することによってビア156、157、および/または158が露出されるように、ビア156、または157、または158は十分な深さまでエッチングされることが好ましい。
コンタクト構造147によってまたはコンタクト構造154内で定義されるビアのエッチングを、所望の程度、等方性にしてコンタクト構造147(154)の裏面上に自己整合させられた棚162を形成して、図22Cのビア155についての図22Hに示されているようにビア159を形成するか、図22Dのビア156についての図22Iに示されているようにビア163を形成することが可能である。この等方性エッチングは、コンタクト構造147(154)の下方のデバイス領域148および基板140を含んで図22Hまたは図22Iに示されているようにコンタクト構造147(154)の裏面を露出することが可能である。この等方性エッチングは、ビア155またはビア156をエッチングするのに用いられるエッチング条件を変更することによって達成されることが可能である。例えば、ビア155またはビア156をエッチングするのに用いられる各条件が、低圧での反応性イオン・エッチングを含む場合、同様の反応性イオン・エッチングが高圧で用いられることが可能である。コンタクト構造147の裏面を所望量露出するとともに自己整合させられた棚162を形成するのに必要な圧力の増加量は、平坦化材料151の厚さおよびビア156、または157、または158の深さに依存し、実験によって決定されることが可能である。または、この等方性エッチングが基板140を含むがデバイス領域148を含まないことが可能である。結果、図22Jに示されているように、自己整合させられた棚166およびデバイス領域148の残存部分がコンタクト構造147(154)の裏面およびビア164の上方に形成される。上記した図22Hおよび図22Iと同様に、自己整合させられた棚166を形成する、裏面コンタクト147(154)の裏面およびビア164上方のデバイス領域148の残存部分165は、コンタクト構造147(154)の下方で所望量、等方性的にエッチングすることより得られる。この構造は、例えば、残存部165が絶縁体、例として酸化シリコンからなるとともに、異方性的にエッチングされたデバイス領域148および基板140が半導体、例としてシリコンからなる場合に、形成されることが可能である。
図22Kに示されるように、ビアの形成後、非選択的な絶縁性の側壁170が、第1実施形態において説明されているように形成されて、基板140が、後にビア内に形成され得る配線金属から電気的に絶縁される。図22Kは、図22Iに示すようにビア163が形成されて棚172を有するビア171を生成する例を示している。第1実施形態において説明されている側壁77と同様で且つ図22Lに示されている選択的な絶縁性の側壁173が形成されてもよい。ビアのエッチングの後、ダイ144乃至146が切り分けられ、所望により、コンタクト構造142とデバイス領域141を有する基板140の表面143に接着される。または、ダイ144乃至146は、切り分けられることなく接着される。例えば、別々のダイの代わりにウェハまたはダイが1回の配置で基板に接着され得る。結果、ダイ相互間に間隔があることに起因して平坦でない表面の代わりに、表面が実質的に平坦になる。基板140はコンタクト構造も含み得るが、デバイスまたはデバイス領域を含まない。次に、基板140は、裏面研削、化学的機械研磨、またはエッチングの少なくとも1つによって薄くされて、薄くされた基板ダイ161を残すとともに、図22Cにおいて説明されているとともに図23A乃至図23Bに示されているようにビアが形成されている場合は、ビア、例としてビア155を露出する。コンタクト構造142は、図23Aに示されているように、接着表面と平坦とされることが可能であり、または図23Bに示されているように、接着面に対して後退させられることが可能である。図23Aに示されているように接着面と平坦なコンタクト構造142は、導電性材料、例として銅またはニッケルのメッキを基板140の表面上に堆積し、次いで、この導電性材料の上を覆うように絶縁性材料を堆積して、次いで化学的機械研磨でコンタクト構造142および表面143を形成することによって、形成されることが可能である。この導電性材料の研磨レートは、絶縁性材料の研磨レートに類似することが好ましい。導電性材料の類似の研磨レートは、導電性材料、絶縁性材料、導電性材料の大きさ、形状、導電性材料の被覆面積、第4実施形態に示されるようにスラリーおよびパッドを含む研磨パラメータを適切に選択することによって得ることができる。
または、図23Bに示されるように接着面に対して後退させられたコンタクト構造142は、絶縁性材料、例として酸化シリコンを堆積し、続いて高くなっている箇所を選択的に研磨することによって表面を平坦化する絶縁性材料の化学的機械研磨によって、形成され得る。結果、コンタクト構造142の上面上に平坦化された薄い絶縁性材料が形成される。または、図23Bに示されているように面143まで後退させられたコンタクト構造142は、まず図23Aに示されているように平坦化された面143を形成し、続いて、図23Aに示されるような面143上に絶縁性材料の薄い層を堆積または堆積且つ研磨して図23Bに示されるような表面143を形成することによって、形成され得る。接着面に対して後退させられたコンタクト構造142は、図23Cに示されているような、例えば平坦化された絶縁性材料をパターニングおよびエッチングしてビア63によってコンタクト構造142露出することによって形成された露出されている面を有し得る。次に、ダイ144乃至146を接着するとともに薄くすることによって、図23Dに示されているように、コンタクト構造142の表面が露出される。例えば図23Aおよび図23Dに示されているようにコンタクト構造142および147(154)を露出することは、後述のようにコンタクト構造142および147(154)間での後の電気的な接続を容易にするために好ましい。露出されているコンタクト構造142の横方向の広がりは、ビア63の相対的な大きさと図22Cに示されているようにエッチングされたビア155の横方向の広がりとに応じて、ビア155の横方向の広がりより小さいか、大きいか、これと等しい。例えば、図22Cに示されているビア155の横方向の広がりが図23Cに示されているビア63の横方向の広がり未満である場合、露出されているコンタクト構造142の横方向の広がりは、図23Dに示されているように、ビア155の横方向の広がりより大きい。または、図23Eに示されているように、露出されているコンタクト構造142の広がりは、接着し、薄くし、露出されているデバイス領域141、148をコンタクト構造142まで等方性的にエッチングしてビア、例としてビア155を露出させた後に広げられ得る。または、図23Cに示されているように露出されているコンタクト構造142は、コンタクト構造142に対して有害となり得る接着工程の間、薄い層によって保護され得る。例えば、コンタクト構造142がアルミニウムからなる場合、コンタクト構造142は、室温での共有結合を実現するために用いられるアンモニアに基づいた溶液に晒されることによって傷つけられ得る。このような薄い層の例は、PECVDによって形成され得る酸化シリコンである。この薄い層の化学的機械研磨も行なわれて、この薄い層をコンタクト構造142から除去することなく、所望の表面143が維持され得る。次に、この薄い層は、ダイ144乃至146を基板140に接着するとともに基板140を薄くしてビアを露出し且つ薄くされたダイ基板161を形成した後に除去され得、また、ビアの露出後の除去を簡単にするために薄く、0.05乃至0.5ミクロンの範囲内にあることが好ましい。
薄くされたダイ基板161が非導電性である場合、露出されているコンタクト構造142およびコンタクト構造147(154)は、コンタクト構造142およびコンタクト構造147(154)と重なる導電性材料を形成することによって、接続され得る。または、薄くされた基板161が導電性である場合、例として薄くされた基板161がシリコンからなる場合、薄くされた基板161をコンタクト構造142およびコンタクト構造147(154)を接続する導電性材料から電気的に絶縁する絶縁側壁が好ましい。図22Iに示されているように形成されたビア163についての図22Kまたは図22Lにおいて先に示されているように接着の前に側壁を形成する代わりに、図23Aにおいて示されているものおよび図22Hにおいて示されているように形成されたビア159と同様に、先行する実施形態において説明されているような絶縁性の非選択的側壁、例として図8Aまたは図8B内の側壁70が、ダイ144乃至146を接着し、続けてダイ144乃至146を薄くした後、形成されることが可能である。こうして、露出されているコンタクト構造142が表面143に対して平坦である場合に側壁62についての図23Fに示されるような薄くされたダイ基板161が残る。第1実施形態において説明されているのと同様であるが接着し、基板を薄くし、ビアを露出した後に形成された絶縁性の選択的な側壁が用いられても構わない。先行する実施形態において説明されているように、側壁を形成することは、薄くされたダイ基板とコンタクト構造142およびコンタクト構造147(154)の間の電気的配線との間で望ましくなく電気的に導通することを回避するために、好ましい。
露出されているコンタクト構造147(154)およびコンタクト構造142、および薄くされたダイ基板161上の側壁がある場合、望まれる場合は、コンタクト構造147(154)およびコンタクト構造142間の電気的配線が、コンタクト構造142、147(154)の露出されている表面を覆う導電性材料を形成することによって、形成されることが可能である。典型的な導電性材料は金属であって、典型的な金属はアルミニウム、銅、ニッケル、金である。これらの金属は、先の実施形態において説明されているような様々な方法で形成されることが可能である。この形成の結果、図23Gに示されているように、露出されている薄くされたダイ基板161が導電性材料52によって覆われる。この被覆体は、自己整合的に、また図23Hに示されているように薄くされたダイ基板161から導電性材料52が除去されるまで導電性材料52によって覆われた薄くされたダイ基板161の表面を研磨することによってフォトリソグラフィーのパターニングおよびエッチングを用いることなく、除去され得る。図22Jに示されているように自己整合させられた棚166を有するデバイス領域148の残存部分165がある場合、図23Iに示されているのと同様の構造が、露出されているコンタクト構造142が図23Aに示されているのと同様に構造143と平坦である場合、ダイ144乃至146を基板140に接着するとともに基板140を薄くしてビア164を露出するとともに薄くされた基板161を形成した後に形成される。次に、好ましくは、残存部分165が異方性エッチングによって除去されて、自己整合させられた棚をコンタクト構造147(154)の裏面に対して再配置し、結果、自己整合させられた棚167が図23Jに示されているようになる。
次に、所望により、図23F、図23G、および図23Hに示されているのと同様に、薄くされた基板161への電気的接続の形成なしに、導電性材料が形成されてコンタクト構造147がコンタクト構造142に接続される。既に説明されたように、配線金属の形成は、電子ビーム、熱処理、物理気相成長法、化学気相成長法、電解メッキ法、の1つまたは組合せによって、なされることが可能である。形成される配線金属は、チタン、タングステン、金、銅、アルミニウムの1つまたは組合せであることが可能である。
コンタクト構造142、147(154)が、導電性材料と電気的に接続された後、ビアが、先の実施形態において説明されているように金属被覆、絶縁体の堆積、化学的機械研磨の組合せによって、埋め込まれるととともに平坦化される。ビアが埋め込まれるとともに平坦化された後、アンダーバンプ金属被覆、バンプ形成、ダイシング、フリップチップ・パッケージングが、先行する実施形態において説明されているように行なわれることが可能である。図23F乃至図23Jは、表面コンタクト142を示しているが、このコンタクトが、図23Bに示されているように、後退させられていてもよいことに留意されたい。表面コンタクト構造を有するダイが、図23F乃至図23Jに示されているように、接着されるとともに、構成および/接続されてもよい。図23Kは、図23Hの場合を示している。
また、この実施形態(例えば図22C乃至図22F、図22H乃至図22L)中のビアは、基板140の切り分けられた部分が薄くされる際に導電性材料が露出されるように、切り分けに先立って、導電性材料168によって埋め込まれ得る。電気的絶縁のための絶縁性材料は、上で説明されているように、必要に応じてビアの側壁上に形成され得る。次に、ビアを埋め込まれたダイ(またはウェハ)は、後述の第9実施形態において説明されているようにダイ(またはウェハ)のデバイス領域148の露出されている表面に(すなわちダイ・ダウンで)接着されるか、あるいは後述の第10実施形態において説明されているように露出されているデバイス領域148の表面の反対の面に(すなわちダイ・アップで)接着され得る。この接着は、コンタクト構造147を用いた第4実施形態において説明されているとともに、ダイ・ダウンについて図23Lの左側において示されているとともに後述の第9実施形態においてより詳細に説明されているように、または導電性材料168がコンタクト構造142に接続されるダイ・アップについての図23Lの真ん中の構造に説明されているともに後述の第10実施形態においてより詳細に説明されているように、またはコンタクト構造179が第4実施形態において説明されているようにコンタクト構造147の形成と同様に形成されているダイ・アップについての図23Lの右側において示されているとともに後述の第10実施形態においてより詳細に説明されているように、実行され得る。必要であれば、絶縁性材料169が、基板部161上に形成され得、基板140への接着のために必要であるので研磨され得る。ビアは、様々な導電性材料またはそれを組み合わせたものによって埋め込まれ得る。このような導電性材料には、ポリシリコン、または様々な金属、例としてタングステン、ニッケル、銅が含まれるがこれらに限定されず、これらは化学気相堆積法、物理気相堆積法、電解メッキ法を含む様々な方法で堆積されるが、これらに限定されない。導電性材料は、導電性材料が接着されるコンタクト構造と良好な電気的接触、低電気抵抗、高熱伝導度を促進するように選択され得、バリア層によってビア側壁上の絶縁性材料またはビアの外側の基板部から絶縁され得る。バリア層は、例として窒化チタンまたは窒化タングステンであって、これらは、必要であれば導電性材料がビアの外側の基板部に拡散することを防ぐために、例えば金属有機気相成長法または物理気相成長法によって堆積される。例えば、シリコンに基づいたICを製造し、ビアがシリコン内へとエッチングされる場合、銅がその低抵抗ゆえに好ましい。しかしながら、典型的には、銅は、銅がシリコン内へと拡散することを回避するために、適切なビア絶縁層、典型的には酸化シリコン間に適切なバリア層、典型的には窒化チタンまたは窒化タングステンを必要とする。または、必要であれば、別の金属、例としてタングステンが、絶縁層またはバリア層とともに用いられてもよい。また、必要であれば、研磨特性が優れている材料、例としてニッケルが、絶縁層またはバリア層とともに用いられることが、上記のように、有利である。
第8実施形態が図24A乃至図24Bに示されている。この実施形態は、ダイ144乃至146の反対側、例として薄くされたダイ基板、161がダイ基板を薄くしてビアを露出した後に基板140の表面143に接着される点において第7実施形態と異なる。この結果、薄くされたダイ基板161が表面143に接着され、また図22Cに示されているように形成されたビア155について図24Aに示されているように表面143に対して、また図23Aに示されているように形成されているコンタクト構造142に対してビア139が露出される。薄くされた基板161、例として、シリコンが、基板140の表面143に直接接着されるか、あるいは、絶縁体、例として酸化シリコンが基板140の表面143への直接接着の前に薄くされた基板161上に形成されることが可能である。薄くされた基板161の形成は、ウェハ上の全てのダイ上の全てのビア、例として図22Cに示されているビア155が同時に露出されるように、ダイ144乃至146を個別のダイに切り分けるのに先立って、ウェハの規模で行なわれることが好ましい。こうして、ダイ144乃至146は、すべてのビアが同時に露出されることが可能であり、あるいは、ダイ144乃至146が別のウェハに由来している場合は、別の時点で露出される。
例えば図22C内の基板140から薄くされた基板161を形成することによって、ビアが十分に深くない場合、機械的な完全性が損なわれ得る。例えば、シリコンからなる直径200nmの薄くされた基板について、約0.1乃至0.3mm未満の深さのビアが、典型的には、十分である。機械的な完全性が損なわれるビアの深さは、直径がより大きい薄くされた基板についてはより大きく、また直径がより小さい薄くされた基板についてはより小さい。この、機械的完全性が損なわれることは、図22Cに示されているように形成されるビア155およびコンタクト構造147(154)についての図24Bに示されているように、基板140を薄くする前に、基板140の露出されている表面の反対側をハンドル・ウェハ44に基板に取り付けることによって回避されることが可能である。ハンドル・ウェハ44を取り付けることは、直接接着または接着剤による接着を含む様々な接着方法によってなされることが可能である。基板140の露出されている表面の反対側をハンドル・ウェハ44に基板に取り付けるとともに基板140を薄くして薄くされた基板161を形成するとともにビア155を露出した後、薄くされた基板161が接着面として用いられるか、絶縁体、例として酸化シリコンが上記のように接着層として堆積され得る。好ましい接着面の形成後、ダイ144乃至146が切り分けられるとともに基板140の表面143に接着され、また、ハンドル・ウェハ44の切り分けられた部分が除去される。切り分けは、ダイシングまたはスクライビングの少なくとも一方によってなされ得る。ハンドル・ウェハ44の切り分けられた部分を除去することは、研削、化学的機械研磨、またはエッチングの少なくとも1つまたはその組合せによってなされ得る。
ハンドル・ウェハ44への接着および薄くすることによって薄くされた基板161を形成するに先立って、第7実施形態において説明されているように、ダイ144乃至146内にコンタクト構造147(154)が形成されることが可能である。しかしながら、コンタクト構造147上に棚を形成して導電性材料52とコンタクト構造147との間の電気的配線の抵抗を改善することは、第7実施形態において説明されているとともに図23Fおよび図23Gに示されているコンタクト構造147の反対側においてである。したがって、この棚は、コンタクト構造147の上方のデバイス領域148を、コンタクト構造147の開口を上回るだけエッチングして、図22D内のビア156およびコンタクト構造147について示されているのと同様のビアを形成することによって形成されることが可能である。
さらに、ハンドル・ウェハ44への接着および薄くすることによって薄くされた基板125を形成するに先立って、ビア内に側壁が形成されることが可能である。この側壁は、非選択的な側壁170およびビア163について図22Kにおいて示されているのと同様に非選択的であるか、あるいは選択的な側壁173およびビア163について図22Lにおいて示されているのと同様に選択的であることが可能である。または、選択的または非選択的な側壁は、先の実施形態において説明されているように、ダイ144乃至146の接着後に形成され得る。
ダイ144乃至146を基板140に接着することは、第7実施形態において説明されているように、接着面と平坦であるかあるいはそこに対して後退させられているとともに露出されるかあるいは薄い層によって保護されたコンタクト構造142とともになされることが可能である。ダイ144乃至146の接着後、且つハンドル・ウェハ44の切り分けられた部分が用いられている場合はその除去後、且つ薄い保護層が用いられている場合はその除去後、コンタクト構造142が、第7実施形態における図23Aまたは図23Dに示されているのと同様に露出される。次に、導電性材料が形成されて、例えば第7実施形態における図23Gおよび図23Hと同様に、露出されているコンタクト構造142、147が電気的に接続される。この導電性材料を形成することによって、ビアが一部または完全に埋め込まれることが可能である。露出されているコンタクト構造142、147(154)を電気的に接続する導電性材料がビアを一部埋め込んでいる場合、ビアの残りの部分が、先の実施形態において説明されているように金属被覆、絶縁体の堆積、化学的機械研磨の組合せによって埋め込まれるとともに平坦化されることが可能である。ビアが埋め込まれるとともに平坦化された後、アンダーバンプ金属被覆、バンプ形成、ダイシング、フリップチップ・パッケージングが、先の実施形態において説明されているように行なわれることが可能である。
接着および電気的接続に関しては第4実施形態と同様で、接着後に薄くすることによって接着および露出することに先立ってダイを貫くビアを形成する点に関しては第7実施形態と同様の第9実施形態も可能である。この実施形態は、第7実施形態において説明されているように開始し、第4実施形態において説明されているようにコンタクト構造123、122を含んでいる接着面が準備され、接着され、電気的に接続される点を除いて、ダイ114乃至116(またはウェハ)の切り分けおよび接着へと続く。接着後、第7実施形態において説明されているようにダイ114乃至116が薄くされてダイ114乃至116内でビアが露出され、また先の実施形態において説明されているように金属によって埋め込まれる。最終的な構造の見た目は、ビアが埋め込まれるとともにコンタクト構造が開口を具備している場合、図19Aに類似している。
第9実施形態の変形体において、接着前にビアを形成することが、第7実施形態において説明されているように金属の埋め込みによって補強される。例えば、ダイ114乃至116内のビアは、ビア156、157、158についての図22D、図22E、図22Fに示されているように、接着に先立って形成される。ダイ基板およびダイのデバイス領域の一部が導電性である場合、エッチングされたビア側壁の導電性の部分上に、電気的に絶縁性の側壁、例として図22Lに示されるような基板140およびデバイス領域148上のビア163内の側壁173が形成されることが好ましい。この側壁は、側壁全体上、または図22Kに示されているように側壁の非接触部分上、またはビアの底部内に形成されてもよい。ビアが適切にダイ基板およびデバイス領域から電気的に絶縁された後、ビアは、平坦化された金属構造100を伴った図10Bに示されているように導電性材料、例として金属によって、または金属の内部被覆またはバリア層93および絶縁体94を伴った図10Cに示されているように導電性および絶縁性材料を組み合わせたものによって、埋め込まれる。例えば金属または金属および絶縁体によってビアを埋め込むことは、先の実施形態において説明されているように多くの技術によって行なわれることが可能である。
ダイのデバイス領域およびダイ基板の一部を貫くビアをエッチングするとともに埋め込むことに代えて、デバイスの形成またはダイのデバイス領域の完成前に、ビアが、ダイ基板の一部のみ、またはダイのデバイス領域の一部およびダイ基板の一部までエッチングまたはエッチングおよび埋め込まれることが可能である。例えば、図25Aに示されているように、ビアは、ダイ基板140内へおよびダイのデバイス領域171を貫いて、例として金属等の導電性材料(図示せず)および酸化シリコンまたは他の適切な材料等からなる絶縁体からなる半導体トランジスタおよび多層配線構造の層からなるデバイス領域の半導体部分を貫いて、または基板内に残っているデバイス領域がエッチングされる。ダイのデバイス領域171およびダイ基板140が、導電性材料、例として十分に低抵抗の半導体材料、例として典型的なCMOSウェハ形成において用いられるシリコンからなる場合、側壁が、この実施形態および先の実施形態において説明されているとともに先の実施形態において説明されているようにビア172の底の上にも形成されている選択的な側壁173についての図25Bにおいて示されているように、形成されることが好ましい。さらに、図25Aに示されている構造がシリコンから構成されている場合、非常に薄い、例として5乃至50nmの高質の選択的な酸化シリコンの側壁が熱により成長させられ、ビア172の横方向の寸法が実質的に1ミクロン未満となることを容易にして、1平方センチメートル当たり100,000,000を超える非常に高い面密度のビアが形成されることを可能にする。または、非選択的な側壁が、先の実施形態において説明されているようにビア172の底上に形成されることなくビア172の側壁上に形成されることが可能である。次に、ビア172が、必要であれば適切なバリア層によってその内側を覆われ、導電性材料174によって埋め込まれて、上記のように金属で埋め込まれたビアが形成される。ビア172は、導電性のポリシリコンによって埋め込まれてもよい。コンタクト構造123は、図25Dに示されているように、埋め込まれたビアと接触し得る。
または、コンタクト構造123の形成に先立って、図25Cの構造上にさらなる処理を施して図25Eに示されているようにダイのデバイス領域148の製造を完了して続けてダイのデバイス領域148の上部にコンタクト構造123が形成され得る。例えば、多層配線構造は、導電性材料、例として金属、および絶縁性材料、例として典型的なCMOSウェハ製造と同様または同じものから構成され得る。典型的な金属には、銅、アルミニウムが含まれ、典型的な絶縁性材料には酸化シリコン、低誘電率絶縁体が含まれる。ダイ114乃至116内のコンタクト構造123が、第4実施形態において説明されているとともに図25Eに示されているように、形成されることが可能である。デバイス領域148は導電性材料176を形成してコンタクト構造123を金属で埋め込まれたビア174に電気的に接続することを含み得る。導電性材料176は、図25Eにおいて、導電性材料174とコンタクト構造123との間で垂直であることが示されているが、典型的な集積回路の形成、例としてCMOSウェハ製造における階層間金属の引き回しによって設けられるように、横方向成分を含んでいるか、あるいは完全に横方向成分であってもよい。導電性材料178を有する図25Fを参照されたい。
こうして、金属で埋め込まれたビア174からコンタクト構造123への電気的な接続が、例えば典型的なCMOSウェハ製造に従って、集積回路の配線構造を用いて提供されることが可能である。こうして、電気的接続を達成するために配線構造のデザイン・ルールを変更する必要性が最小になるか無くなるかして、結果、既存の製造能力のスケーリングおよび手段が改善する。導電性材料176は、横方向成分を含むか主としてこれから構成され得るが、ビア172は横方向成分を必要としないことに留意されたい。例えば、ビア172が、ダイのデバイス領域148、例としてダイのデバイス領域171内にあり、導電性材料176が集積回路の製造において典型的に用いられる階層間金属からなる場合、ビア172は、導電性材料176から垂直に配置され、また、導電性材料176が金属で埋め込まれたビア174と電気的に接触していることを除いて導電性材料176の製造から原則独立したデザイン・ルールで製造され得る。さらに、この例におけるビア172は、例えばビア155がダイのデバイス領域148の全体を貫いて延びるこの実施形態で先に説明されたのより実質的に短い。より短いビア172によって、ビア172の横方向の寸法が小さく、例として、実質的に1ミクロン未満になり、非常に高い面密度、例として1平方センチメートル当たり100,000,000を超えるビアが形成されることが可能になって、結果、スケーリングが向上する。デバイス146内において、絶縁性の側壁膜177および絶縁性の表面膜180が、導電性材料176と別の表面コンタクトとを絶縁する必要がある場合に含められることに留意されたい。
この変形体では、接着後に薄くすることによって、例えば図23Lの左側に示されているように、金属によって埋め込まれていないビアの代わりに、金属で埋め込まれたビアが露出される。どの変形体でも、ダイの基板部は、第6実施形態で説明されているように、完全に除去され得る。また、どの変形体でも、デバイス領域を有さないが第4実施形態に説明されているように用意されたコンタクト構造を有する基板への接着が、例えばボール・グリッド・アレイICパッケージにおけるチップ対パッケージ・インターポーザ基板の代わりとしても可能である。
さらに、どの変形体でも、露出された表面は、金属で埋め込まれたビアを具備し得る。この表面は、必要であれば、第1実施形態に説明されているように表面を平坦化するための埋め込み材料と、第10実施形態において説明されているようなビア露出およびコンタクト構造形成を用いて、第4実施形態において説明されているような電気的接続を伴った接着のために適切に準備され得る。次に、露出されたコンタクト構造を有する、同じまたは異なるウェハからのさらなるダイが、第4実施形態において説明されているように、露出されたコンタクト構造を有する接着後に薄くされた表面に接着されることが可能である。または、フリップチップ・パッケージングが先の実施形態において説明されているように実現されることができるように、アンダーバンプ金属被覆が形成され得る。このことが、図23Mおよび図23Nに示されている。これらの図において、第2のダイが第1のダイに接着されている。上記または後述の構成を用いて導電性材料および/または1つのダイのコンタクトを別のダイに接続する際に多くの組合せが可能である。図23Mは、3つの例を示している。図23Mにおいて、ダイ181は、導電性材料168がコンタクト構造179を用いて下側のダイの導電性材料168に接続されており、ダイ182は、コンタクト147(154)が下側のダイのコンタクト147および導電性材料168に接続されており、ダイ183は、コンタクト147および導電性材料168が下側のダイのコンタクト147および導電性材料168に接続されている。
図23Nにおいて、左側の構造は、ダイ・ダウン構成で接着された2つのダイを有している。真ん中の構造は、コンタクト構造142を有する基板149、例としてインターポーザ、と接着されたコンタクト構造147(154)を有するダイを有している。コンタクト構造147(154)と導電性材料168とは、接着後に形成された導電性材料187を介して接続されている。右側の構造は、基板149内の導電性材料168とコンタクト構造154とを接続する導電性材料187を有している。
上記のように、本発明に係る方法は、ウェハ対ウェハ接着に適用され得る。図23Oは、図23Lの左側のダイのような、複数のコンタクト構造147および導電性材料168を有する上側基板140が、下側基板140に接着されて、コンタクト構造142とそれぞれ接続を形成していることを示している。ダイまたは別のウェハが、上記および後述の構成を用いて、ウェハ149に接着され得る。任意の数のウェハおよびダイが、接着および接続され得る。
接着および電気的接続に関して第9実施形態と同様で、ダイ144乃至146の接着面の向きおよびハンドル・ウェハの任意付加的な使用法について第8実施形態と同様の第10実施形態も可能であり、図26Aに示されている。この実施形態は、ビアがエッチングされ、必要であれば絶縁され、例えば図25Cに示されているように導電性材料によって埋め込まれる第9実施形態において説明されたように開始する。上記のように、ビアは、様々な導電性材料によって埋め込まれ得る。この導電性材料には、必要であれば絶縁層およびバリア層を用いて、化学的気相成長法を含むがこれらに限定されない様々な方法で堆積されたポリシリコンまたは例えばタングステンまたは銅を含むがこれらに限定されない様々な金属が含まれる。次に、ダイ(またはウェハ)基板、例として図25F内の140が薄くされて、第8実施形態において説明されているようにハンドル・ウェハを任意選択的に用いて、導電性材料で埋め込まれたビア、例として図25F内の174が露出される。ビアを露出することは、裏面研削、CMP、およびエッチングの組合せによってなされることが可能である。この露出することによって、好ましいことに表面が平坦となるか、あるいは、基板のCMPまたはエッチングの選択性によって表面が非平坦になり得る。例えば、銅よりも低いレートでのCMP処理の最中にシリコンが除去され、結果、第4実施形態において説明されているように導電性のビアが後退させられるか、あるいはシリコン基板表面より低くまでへこませられることになる。または、ビアが露出されるか、あるいは露出されているビアが、導電性ビアに対して基板を優先的にエッチングして導電性ビアがシリコン基板表面上方に亘ることになる選択的エッチングでエッチングされ得る。例えば、シリコンが、SFに基づいた反応性イオン・エッチングを用いて、銅またはビアが埋め込まれたビアに対して優先的にエッチングされ得る。導電体で埋め込まれたビアを露出することによって、第4実施形態において説明されているような適切に接着可能な表面が得られることになるのであれば、第8実施形態において説明されているようにダイは切り分けられるとともに接着され得る。
導電体で埋め込まれたビアを露出することによって、第4実施形態において説明されているような適切に接着可能な表面が得られる結果にならない場合、第4実施形態において説明されているようにコンタクト構造が形成されて適切な表面が形成され得る。例えば、露出されている導電性のビアの埋め込みが、接着面を下回っている場合、コンタクト構造179が、第4実施形態において説明されているのと同様の方法で導電性材料174上に形成され得る。このように形成することは、コンタクト構造および絶縁体、例として酸化シリコンを堆積すること、続いて研磨することを含み、結果、接着面が、コンタクト構造を除いて適切に平坦且つ電気的に絶縁となる。このことが、導電性材料174と接触して形成されたコンタクト構造179およびPECVD酸化シリコンのような絶縁膜169を有する図26Bに示されている。
または、本工程は、絶縁体有りまたは無しで、コンタクトを堆積および研磨することを含み得る。結果、接着面が、コンタクト構造と適切に平坦になるとともに、基板、例として図25Fの基板140から構成される。さらに、露出されている導電性埋め込みが、接着面より高い場合、コンタクト構造が、第4実施形態において説明されているのと同様の方法で導電性材料174上に形成されてもよい。この形成することは、コンタクト構造および絶縁体、例として酸化シリコンを堆積および研磨することを含み得る。結果、コンタクト構造179を除いて、接着面が適切に平坦になるとともに電気的に絶縁になる。コンタクト構造179は、導電性材料174と同程度に、またはこれより小さく、またはこれより大きく形成され得る。
次に、第8実施形態において示されているように、ダイは切り分けられるとともに接着される。こうして、ダイ144乃至146は、第9実施形態において説明されているように形成および埋め込まれた接着前ビアによって基板140に接着され、また、必要であればコンタクト構造を含んだ接着面が第4実施形態において説明されているように準備され、接着され、電気的に接続される。ダイ144乃至146を基板140に接着した後、ダイ144乃至146はコンタクト構造142に電気的に接続されている必要はなく、また、ダイ1114乃至116の露出されている表面は、先の実施形態において説明されているようにフリップリップ・パッケージングの準備のためにアンダーバンプ金属被覆のために利用可能である。
第10実施形態において、ビアは、第9実施形態において示されているようにデバイス領域148の全体をまたはデバイス領域148の半導体部分を貫いて形成されることが可能である。第9実施形態における場合のように、デバイス領域148の半導体領域内にビアを形成することによって、デバイス領域の完成前にビアを形成することによるより深くより広いビアが回避される。このことは、デバイス密度を向上させるとともに、半導体のビアの形成の結果消費される部分を減少させる。結果、スケーリングが向上する。さらに、ダイ基板部が、第6実施形態において説明されているように完全に除去され得る。さらに、露出されている表面はコンタクト構造を具備し得る。この表面は、必要であれば、第1実施形態において説明されているように表面を平坦化するための埋め込み材料を用いて、第4実施形態において説明されているように電気的接続を伴った接着に向けて適切に準備され得る。次に、露出された金属で埋め込まれたビアを有する同じまたは異なるウェハに由来するさらなるダイが、第4実施形態において説明されているように適切なコンタクト構造を有する接着後表面に接着されることが可能である。または、先の実施形態において説明されているようにフリップチップ・パッケージングが実現されることが可能になることに備えてアンダーバンプ金属被覆が形成され得る。また、第10実施形態は、図23Mと同様に複数のダイを積み上げるために、または図23Nと同様にウェハ対ウェハ・フォーマットで実行されてもよい。
本発明の望ましい特徴が、垂直積み上げおよび接続構成に向けられる。例えば、ダイは、IC側を下にまたはIC側を上にして接着され得る。また、ダイ対ウェハ・フォーマット、ウェハ対ウェハ・フォーマットも、IC側を上にまたは下にした上側のウェハを下側のウェハにIC側を上にして接着されることが可能である。さらに、これらのダイ対ウェハおよびウェハ対ウェハ・フォーマットは、IC機能のために基板を必要としない基板を用いて製造されたICとともに用いられることが可能である。例えば、シリコン・オン・インシュレータ(SOI)基板または例えばIII/V材料、SiC、サファイア等の非シリコン基板を用いて製造されたICは、IC機能のために基板の存在を必要としない。これらの場合、基板のトランジスタ製造のために必要でない全部分が除去されて、垂直電気的配線を形成するのに必要なビアのエッチングが最小にされ得る。
基板がデバイス領域を具備していることが示されているが、デバイス領域を有さずにコンタクト構造を有する基板も、例えば、ボール・グリッド・アレイICパッケージ内でのチップ対パッケージ・インターポーザ基板の代わりとして可能である。また、ダイがデバイスを有していることが示されているが、デバイスを有さないまたはデバイスを有さないがコンタクト構造を有するその他のダイまたは素子が、本発明に従った方法を用いて、基板に接着され得る。
本発明の多くの変更体および変形体が、上記の技術に照らして可能である。よって、添付の請求項の範囲内で、本明細書に具体的に説明されたのとは別のやり方で実施されてよいことが理解されるべきである。
本発明の多くの変更体および変形体が、上記の技術に照らして可能である。よって、添付の請求項の範囲内で、本明細書に具体的に説明されたのとは別のやり方で実施されてよいことが理解されるべきである。
以下に、本出願時の特許請求の範囲に記載された発明を付記する。
[付記1] 第1コンタクト構造を有する第1素子を第2コンタクト構造を有する第2素子と集積する方法であって、
少なくとも前記第1コンタクト構造に対して露出されたビアを前記第1素子内に形成し、
少なくとも前記第1コンタクト構造と接続された導電性材料を前記ビア内に形成し、
前記第1コンタクト構造および前記導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着する、
ことを具備する方法。
[付記2] 前記第2コンタクト構造に対して露出された前記ビアを形成し、
前記第2コンタクト構造と接触する前記導電性材料を形成する、
ことを具備する、付記1の方法。
[付記3] 前記空洞の側壁上に絶縁膜を形成することを具備する、付記1の方法。
[付記4] 前記第1コンタクト構造の上を除いて前記ビアに対して露出された前記第1素子の実質的に導電性部分のみに前記絶縁膜を形成することを具備する、付記3の方法。
[付記5] 前記第2コンタクト構造と、前記第1コンタクト構造の側部および上部と、に接触する前記導電性材料を形成することを具備する、付記1の方法。
[付記6] 前記第2コンタクト構造と、実質的に前記第1および第2コンタクト構造の側部のみと、に接触する前記導電性材料を形成することを具備する、付記1の方法。
[付記7] 前記第1素子は、基板を含んだ第1部分と、前記第1部分上に形成された第2部分とを具備し、前記第1コンタクト構造は前記第2部分内に配置され、前記方法は、
実質的に前記第2部分に達するまで前記第1部分をエッチングして前記第1部分内に空洞を形成し、
前記空洞内に絶縁膜を形成し、
前記絶縁膜の形成後に前記第1部分をエッチングして前記第1コンタクト構造を露出させる
ことを具備する、付記1の方法。
[付記8] 前記接着する工程の後に前記ビアを形成することを具備する、付記1の方法。
[付記9] 前記接着する工程の前に前記ビアを形成し、
前記第1素子の一部を除去して前記ビアを露出させる、
ことを具備する、付記1の方法。
[付記10] 前記接着することの前に、前記ビア内に前記第1コンタクト構造と接触する前記導電性材料を形成することを具備する、付記1の方法。
[付記11] 前記第1素子の一部を除去して前記導電性材料を露出することを具備する付記10の方法。
[付記12] 化学的機械研磨を用いて前記第1素子の前記一部を除去することを具備する、付記10の方法。
[付記13] 前記第1素子の前記一部と実質的に同じ研磨レートを有するように前記導電性材料を選択することを具備する、付記12の方法。
[付記14] 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記10の方法。
[付記15] 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記10の方法。
[付記16] 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記1の方法。
[付記17] 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記1の方法。
[付記18] 前記接着することの後に、400℃未満の温度で前記第1、第2コンタクトを熱することを具備する、付記1の方法。
[付記19] 前記第1、第2コンタクトが劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、付記1の方法。
[付記20] 前記第1素子は、基板を含んだ第1部分と前記第1部分上に形成された第2部分とを具備し、
前記第1コンタクト構造は、前記第2部分内に配置され、
前記方法は、前記基板の実質的に全てを除去することを具備する、
付記1の方法。
[付記21] 前記第1素子はデバイスを具備し、
前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
付記1の方法。
[付記22] 前記第1素子は、デバイスを有する切り分けられたダイを具備し、
前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
付記1の方法。
[付記23] 前記第1素子はデバイスを具備し、
前記第2素子は基板を具備する、
付記1の方法。
[付記24] 各々が第1コンタクト構造を有する複数の第1素子を、複数の第2コンタクト構造を有する第2素子に、前記第1コンタクト構造のそれぞれが前記第2コンタクト構造の1つと直接接続されるように、接着し、
各々が前記第1コンタクト構造の少なくとも1つに対して露出されたビアを前記第1素子の各々の中に形成し、
前記ビアの各々の中に前記第1コンタクト構造の少なくとも1つと接続された導電性材料を形成する、
ことを具備する、付記1の方法。
[付記25] 前記ビアの各々の中に前記第1コンタクト構造の少なくとも1つおよび前記第2コンタクト構造の少なくとも1つと接続された導電性材料を形成することを具備する、付記24の方法。
[付記26] 室温において、約500乃至2000mJ/m の範囲内の接着強度で前記第1、第2素子を接着することを具備する、付記1の方法。
[付記27] ほぼ室温において前記第1、第2素子を化学的に接着することを具備する、付記1の方法。
[付記28] 前記第1コンタクト構造は、間に間隙を有する1対のコンタクト構造と開口を有するコンタクト素子との一方を具備し、
前記方法は、前記間隙および前記開口の一方を貫いて、前記第2コンタクト構造と接触する導電性材料を形成することを具備する、
付記1の方法。
[付記29] 前記間隙または前記開口の幅より大きい幅を有するように前記ビアを形成することを具備する、付記28の方法。
[付記30] 前記ビアを形成することは、
前記第1コンタクト構造をエッチングして開口を形成し、
前記開口を貫いて前記第1素子をエッチングする、
ことを具備する、付記1の方法。
[付記31] 前記第1コンタクト構造をエッチングして第1コンタクト部を形成し、
前記ビアをエッチングして、前記コンタクト部の少なくとも1つの上面および下面の各々の上にコンタクト棚を露出する、
ことを具備する、付記1の方法。
[付記32] 前記第1素子の前記第1コンタクト構造に近い側を前記基板に接着し、
前記第1素子を薄くして前記ビアを露出し、
前記薄くすることの後、前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着し、
前記基板を除去する、
ことを具備する、付記1の方法。
[付記33] 前記ビアに対して露出された前記第1素子の導電性部分上に絶縁層を形成することを具備する、付記1の方法。
[付記34] 前記ビアの側壁上に絶縁層を形成することを具備する、付記1の方法。
[付記35] 第3コンタクト構造を有する第3素子を、前記第3コンタクトが前記導電性材料に接触するように前記第1素子に接着することを具備する、付記1の方法。
[付記36] 第1コンタクト構造を有する第1素子を第2コンタクト構造を有する第2素子と集積する方法であって、
前記第1素子内にビアを形成し、
前記ビア内に第1導電性材料を形成し、
前記第1導電性材料を前記第1コンタクト構造に接続し、
前記第1素子を、前記第1コンタクト構造および前記第1導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように、前記第2素子に接着する、
ことを具備する方法。
[付記37] 前記第1コンタクト構造の形成前に、前記ビアおよび前記第1導電性材料を形成し、
前記第1素子内に第2ビアを形成し、
前記第2ビア内に第2導電性材料を形成し、
前記第1コンタクト構造と前記第1導電性材料とを前記第2導電性材料を用いて接続する、
ことを具備する、付記36の方法。
[付記38] 実質的に水平部分を有するように前記第2導電性材料を形成することを具備する、付記37の方法。
[付記39] 実質的に垂直に前記第2導電性材料を形成することを具備する、付記36の方法。
[付記40] 前記第1素子はデバイスを具備し、
前記デバイスは前記第1コンタクト構造を具備し、
前記方法は、前記デバイスの形成前に、前記ビアを形成するとともに前記ビア内に前記導電性材料を形成することを具備する、
付記36の方法。
[付記41] 前記第1素子の一部を除去して前記導電性材料を露出することを具備する、付記36の方法。
[付記42] 化学的機械研磨を用いて前記第1素子の前記一部を除去することを具備する、付記41の方法。
[付記43] 前記第1素子の前記一部と実質的に同じ研磨レートを有するように前記導電性材料を選択することを具備する、付記42の方法。
[付記44] 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記41の方法。
[付記45] 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記41の方法。
[付記46] 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記36の方法。
[付記47] 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、付記36の方法。
[付記48] 前記接着することの後に、400℃未満の温度で前記第1、第2コンタクトを熱することを具備する、付記36の方法。
[付記49] 前記第1、第2コンタクトおよび前記第1、第2導電性材料が劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、付記36の方法。
[付記50] 前記第1素子はデバイスを具備し、
前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
付記36の方法。
[付記51] 前記第1素子は、デバイスを有する切り分けられたダイを具備し、
前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
付記36の方法。
[付記52] 前記第1素子はデバイスを具備し、
前記第2素子は基板を具備する、
付記36の方法。
[付記53] 各々が第1コンタクト構造と、ビアと、前記ビア内に形成された第1導電性材料とを有する複数の第1素子を、複数のコンタクト構造を有する第2素子に、前記第1素子の各々が前記第2コンタクト構造の1つと直接接続された前記第1導電性材料および前記第1コンタクト構造のうちの1つを有するように、接着することを具備する、付記36の方法。
[付記54] 室温において、約500乃至2000mJ/m の範囲内の接着強度で前記第1、第2素子を接着することを具備する、付記36の方法。
[付記55] ほぼ室温において前記第1、第2素子を化学的に接着することを具備する、付記36の方法。
[付記56] 前記第1素子の前記第1コンタクト構造に近い側を前記基板に接着し、
前記第1素子を薄くして前記ビアを露出し、
前記薄くすることの後、前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着し、
前記基板を除去する、
ことを具備する、付記36の方法。
[付記57] 前記ビアに対して露出された前記第1素子の導電性部分上に絶縁層を形成することを具備する、付記36の方法。
[付記58] 前記ビアの側壁上に絶縁層を形成することを具備する、付記36の方法。
[付記59] 第1基板を有する第1素子内にビアを形成し、
前記ビア内に導電性材料を形成し、
前記ビアおよび前記導電性材料の形成後、前記導電性材料に電気的に接続されたコンタクト構造を形成し、
少なくとも1つの第2コンタクト構造を有する第2素子を形成し、
前記第1基板の一部を除去して前記ビアおよび前記導電性材料を露出し、
前記第1基板を前記第2基板に接着し、
前記接着する工程の一部として、前記第2コンタクト構造と、前記第1コンタクト構造および前記導電性材料のうちのの1つと、の間を接続する、
ことを具備する、集積方法。
[付記60] 前記接着する工程の結果として、前記導電性材料を前記第2コンタクト構造に直接接続することを具備する、付記59の方法。
[付記61] 前記接着する工程の結果として、前記第1コンタクト構造を前記第2コンタクト構造に直接接続することを具備する、付記59の方法。
[付記62] 前記接着する工程は、前記導電性材料および前記第1、第2コンタクト構造を熱することを具備する、付記59の方法。
[付記63] 400℃未満の温度で熱することを具備する、付記62の方法。
[付記64] 前記第1、第2コンタクトおよび前記第1、第2導電性材料が劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、付記62の方法。
[付記65] 400℃未満で熱することを具備する、付記64の方法。
[付記66] 前記第1コンタクト構造を具備するデバイスを前記第1素子内に形成し、
前記デバイスへの害を回避するように選択された温度で前記導電性材料および前記第2コンタクト構造を熱する、
ことを具備する、付記59の方法。
[付記67] 前記導電性材料および前記第1コンタクト構造と接触する導電性部材を形成することを具備する、付記59の方法。
[付記68] 前記導電性部材として導電性のビア構造を形成することを具備する、付記67の方法。
[付記69] 前記導電性部材として実質的に水平の配線を形成することを具備する、付記67の方法。
[付記70] 第3コンタクト構造を有する第3素子を、前記第3コンタクト構造が前記導電性材料に接続されるように、前記第1素子に接着することを具備する、付記59の方法。
[付記71] 第1コンタクト構造を有する第1素子と、
第2コンタクト構造を有する第2素子と、
前記第1素子内に形成された第1ビアと、
前記第1ビア内に形成された、前記第1コンタクト構造と接続された第1導電性材料と、
を具備し、
前記第1導電性材料および前記第1コンタクト構造のうちの1つが前記第2コンタクト構造に直接接続されるように前記第1素子が前記第2素子に接着される、集積構造。
[付記72] 前記第1コンタクト構造は前記第2コンタクト構造に直接接続されている、付記71の構造。
[付記73] 前記第1導電性材料は前記第2コンタクト構造に直接接続されている、付記71の構造。
[付記74] 前記第1導電性材料は、前記第1コンタクト構造の実質的に側面のみと接触している、付記71の方法。
[付記75] 前記第1素子の前記第1ビアに露出された導電性部分の側壁上に形成された絶縁性の側壁を具備する、付記71の方法。
[付記76] 前記第1素子は基板を具備し、
前記第1コンタクト構造は前記基板上に形成されたデバイス領域内に形成され、
前記第1ビアは前記基板内において、前記デバイス領域におけるよりも広い、
付記71の方法。
[付記77] 前記第1素子は基板を具備し、
前記コンタクト構造は前記基板上に形成されたデバイス領域内に形成され、
前記第1ビアは前記デバイス領域において、前記基板におけるよりも広い、
付記71の方法。
[付記78] 前記第1コンタクト構造は、水平の導電性部材を用いて、前記第1導電性材料に接続されている、付記71の方法。
[付記79] 前記第1素子内に形成された第2ビアと、
前記第1コンタクト構造および前記第1導電性材料と接続された、前記第2ビア内に形成された第2導電性材料と、
を具備する、付記71の構造。
[付記80] 第3コンタクト構造が前記第1導電性材料に接続されるように前記第1素子に接着された前記第3コンタクト構造を有する第3素子を具備する、付記71の構造。

Claims (80)

  1. 第1コンタクト構造を有する第1素子を第2コンタクト構造を有する第2素子と集積する方法であって、
    少なくとも前記第1コンタクト構造に対して露出されたビアを前記第1素子内に形成し、
    少なくとも前記第1コンタクト構造と接続された導電性材料を前記ビア内に形成し、
    前記第1コンタクト構造および前記導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着する、
    ことを具備する方法。
  2. 前記第2コンタクト構造に対して露出された前記ビアを形成し、
    前記第2コンタクト構造と接触する前記導電性材料を形成する、
    ことを具備する、請求項1の方法。
  3. 前記空洞の側壁上に絶縁膜を形成することを具備する、請求項1の方法。
  4. 前記第1コンタクト構造の上を除いて前記ビアに対して露出された前記第1素子の実質的に導電性部分のみに前記絶縁膜を形成することを具備する、請求項3の方法。
  5. 前記第2コンタクト構造と、前記第1コンタクト構造の側部および上部と、に接触する前記導電性材料を形成することを具備する、請求項1の方法。
  6. 前記第2コンタクト構造と、実質的に前記第1および第2コンタクト構造の側部のみと、に接触する前記導電性材料を形成することを具備する、請求項1の方法。
  7. 前記第1素子は、基板を含んだ第1部分と、前記第1部分上に形成された第2部分とを具備し、前記第1コンタクト構造は前記第2部分内に配置され、前記方法は、
    実質的に前記第2部分に達するまで前記第1部分をエッチングして前記第1部分内に空洞を形成し、
    前記空洞内に絶縁膜を形成し、
    前記絶縁膜の形成後に前記第1部分をエッチングして前記第1コンタクト構造を露出させる
    ことを具備する、請求項1の方法。
  8. 前記接着する工程の後に前記ビアを形成することを具備する、請求項1の方法。
  9. 前記接着する工程の前に前記ビアを形成し、
    前記第1素子の一部を除去して前記ビアを露出させる、
    ことを具備する、請求項1の方法。
  10. 前記接着することの前に、前記ビア内に前記第1コンタクト構造と接触する前記導電性材料を形成することを具備する、請求項1の方法。
  11. 前記第1素子の一部を除去して前記導電性材料を露出することを具備する請求項10の方法。
  12. 化学的機械研磨を用いて前記第1素子の前記一部を除去することを具備する、請求項10の方法。
  13. 前記第1素子の前記一部と実質的に同じ研磨レートを有するように前記導電性材料を選択することを具備する、請求項12の方法。
  14. 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項10の方法。
  15. 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項10の方法。
  16. 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項1の方法。
  17. 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項1の方法。
  18. 前記接着することの後に、400℃未満の温度で前記第1、第2コンタクトを熱することを具備する、請求項1の方法。
  19. 前記第1、第2コンタクトが劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、請求項1の方法。
  20. 前記第1素子は、基板を含んだ第1部分と前記第1部分上に形成された第2部分とを具備し、
    前記第1コンタクト構造は、前記第2部分内に配置され、
    前記方法は、前記基板の実質的に全てを除去することを具備する、
    請求項1の方法。
  21. 前記第1素子はデバイスを具備し、
    前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
    請求項1の方法。
  22. 前記第1素子は、デバイスを有する切り分けられたダイを具備し、
    前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
    請求項1の方法。
  23. 前記第1素子はデバイスを具備し、
    前記第2素子は基板を具備する、
    請求項1の方法。
  24. 各々が第1コンタクト構造を有する複数の第1素子を、複数の第2コンタクト構造を有する第2素子に、前記第1コンタクト構造のそれぞれが前記第2コンタクト構造の1つと直接接続されるように、接着し、
    各々が前記第1コンタクト構造の少なくとも1つに対して露出されたビアを前記第1素子の各々の中に形成し、
    前記ビアの各々の中に前記第1コンタクト構造の少なくとも1つと接続された導電性材料を形成する、
    ことを具備する、請求項1の方法。
  25. 前記ビアの各々の中に前記第1コンタクト構造の少なくとも1つおよび前記第2コンタクト構造の少なくとも1つと接続された導電性材料を形成することを具備する、請求項24の方法。
  26. 室温において、約500乃至2000mJ/mの範囲内の接着強度で前記第1、第2素子を接着することを具備する、請求項1の方法。
  27. ほぼ室温において前記第1、第2素子を化学的に接着することを具備する、請求項1の方法。
  28. 前記第1コンタクト構造は、間に間隙を有する1対のコンタクト構造と開口を有するコンタクト素子との一方を具備し、
    前記方法は、前記間隙および前記開口の一方を貫いて、前記第2コンタクト構造と接触する導電性材料を形成することを具備する、
    請求項1の方法。
  29. 前記間隙または前記開口の幅より大きい幅を有するように前記ビアを形成することを具備する、請求項28の方法。
  30. 前記ビアを形成することは、
    前記第1コンタクト構造をエッチングして開口を形成し、
    前記開口を貫いて前記第1素子をエッチングする、
    ことを具備する、請求項1の方法。
  31. 前記第1コンタクト構造をエッチングして第1コンタクト部を形成し、
    前記ビアをエッチングして、前記コンタクト部の少なくとも1つの上面および下面の各々の上にコンタクト棚を露出する、
    ことを具備する、請求項1の方法。
  32. 前記第1素子の前記第1コンタクト構造に近い側を前記基板に接着し、
    前記第1素子を薄くして前記ビアを露出し、
    前記薄くすることの後、前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着し、
    前記基板を除去する、
    ことを具備する、請求項1の方法。
  33. 前記ビアに対して露出された前記第1素子の導電性部分上に絶縁層を形成することを具備する、請求項1の方法。
  34. 前記ビアの側壁上に絶縁層を形成することを具備する、請求項1の方法。
  35. 第3コンタクト構造を有する第3素子を、前記第3コンタクトが前記導電性材料に接触するように前記第1素子に接着することを具備する、請求項1の方法。
  36. 第1コンタクト構造を有する第1素子を第2コンタクト構造を有する第2素子と集積する方法であって、
    前記第1素子内にビアを形成し、
    前記ビア内に第1導電性材料を形成し、
    前記第1導電性材料を前記第1コンタクト構造に接続し、
    前記第1素子を、前記第1コンタクト構造および前記第1導電性材料のうちの1つが前記第2コンタクト構造に直接接続されるように、前記第2素子に接着する、
    ことを具備する方法。
  37. 前記第1コンタクト構造の形成前に、前記ビアおよび前記第1導電性材料を形成し、
    前記第1素子内に第2ビアを形成し、
    前記第2ビア内に第2導電性材料を形成し、
    前記第1コンタクト構造と前記第1導電性材料とを前記第2導電性材料を用いて接続する、
    ことを具備する、請求項36の方法。
  38. 実質的に水平部分を有するように前記第2導電性材料を形成することを具備する、請求項37の方法。
  39. 実質的に垂直に前記第2導電性材料を形成することを具備する、請求項36の方法。
  40. 前記第1素子はデバイスを具備し、
    前記デバイスは前記第1コンタクト構造を具備し、
    前記方法は、前記デバイスの形成前に、前記ビアを形成するとともに前記ビア内に前記導電性材料を形成することを具備する、
    請求項36の方法。
  41. 前記第1素子の一部を除去して前記導電性材料を露出することを具備する、請求項36の方法。
  42. 化学的機械研磨を用いて前記第1素子の前記一部を除去することを具備する、請求項41の方法。
  43. 前記第1素子の前記一部と実質的に同じ研磨レートを有するように前記導電性材料を選択することを具備する、請求項42の方法。
  44. 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項41の方法。
  45. 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項41の方法。
  46. 前記第1コンタクト構造が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項36の方法。
  47. 前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1、第2素子を接着することを具備する、請求項36の方法。
  48. 前記接着することの後に、400℃未満の温度で前記第1、第2コンタクトを熱することを具備する、請求項36の方法。
  49. 前記第1、第2コンタクトおよび前記第1、第2導電性材料が劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、請求項36の方法。
  50. 前記第1素子はデバイスを具備し、
    前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
    請求項36の方法。
  51. 前記第1素子は、デバイスを有する切り分けられたダイを具備し、
    前記第2素子は、少なくとも1つのデバイスを有する基板を具備する、
    請求項36の方法。
  52. 前記第1素子はデバイスを具備し、
    前記第2素子は基板を具備する、
    請求項36の方法。
  53. 各々が第1コンタクト構造と、ビアと、前記ビア内に形成された第1導電性材料とを有する複数の第1素子を、複数のコンタクト構造を有する第2素子に、前記第1素子の各々が前記第2コンタクト構造の1つと直接接続された前記第1導電性材料および前記第1コンタクト構造のうちの1つを有するように、接着することを具備する、請求項36の方法。
  54. 室温において、約500乃至2000mJ/mの範囲内の接着強度で前記第1、第2素子を接着することを具備する、請求項36の方法。
  55. ほぼ室温において前記第1、第2素子を化学的に接着することを具備する、請求項36の方法。
  56. 前記第1素子の前記第1コンタクト構造に近い側を前記基板に接着し、
    前記第1素子を薄くして前記ビアを露出し、
    前記薄くすることの後、前記導電性材料が前記第2コンタクト構造に直接接続されるように前記第1素子を前記第2素子に接着し、
    前記基板を除去する、
    ことを具備する、請求項36の方法。
  57. 前記ビアに対して露出された前記第1素子の導電性部分上に絶縁層を形成することを具備する、請求項36の方法。
  58. 前記ビアの側壁上に絶縁層を形成することを具備する、請求項36の方法。
  59. 第1基板を有する第1素子内にビアを形成し、
    前記ビア内に導電性材料を形成し、
    前記ビアおよび前記導電性材料の形成後、前記導電性材料に電気的に接続されたコンタクト構造を形成し、
    少なくとも1つの第2コンタクト構造を有する第2素子を形成し、
    前記第1基板の一部を除去して前記ビアおよび前記導電性材料を露出し、
    前記第1基板を前記第2基板に接着し、
    前記接着する工程の一部として、前記第2コンタクト構造と、前記第1コンタクト構造および前記導電性材料のうちのの1つと、の間を接続する、
    ことを具備する、集積方法。
  60. 前記接着する工程の結果として、前記導電性材料を前記第2コンタクト構造に直接接続することを具備する、請求項59の方法。
  61. 前記接着する工程の結果として、前記第1コンタクト構造を前記第2コンタクト構造に直接接続することを具備する、請求項59の方法。
  62. 前記接着する工程は、前記導電性材料および前記第1、第2コンタクト構造を熱することを具備する、請求項59の方法。
  63. 400℃未満の温度で熱することを具備する、請求項62の方法。
  64. 前記第1、第2コンタクトおよび前記第1、第2導電性材料が劣化することを避けるように選択された温度で前記第1、第2コンタクトを熱することを具備する、請求項62の方法。
  65. 400℃未満で熱することを具備する、請求項64の方法。
  66. 前記第1コンタクト構造を具備するデバイスを前記第1素子内に形成し、
    前記デバイスへの害を回避するように選択された温度で前記導電性材料および前記第2コンタクト構造を熱する、
    ことを具備する、請求項59の方法。
  67. 前記導電性材料および前記第1コンタクト構造と接触する導電性部材を形成することを具備する、請求項59の方法。
  68. 前記導電性部材として導電性のビア構造を形成することを具備する、請求項67の方法。
  69. 前記導電性部材として実質的に水平の配線を形成することを具備する、請求項67の方法。
  70. 第3コンタクト構造を有する第3素子を、前記第3コンタクト構造が前記導電性材料に接続されるように、前記第1素子に接着することを具備する、請求項59の方法。
  71. 第1コンタクト構造を有する第1素子と、
    第2コンタクト構造を有する第2素子と、
    前記第1素子内に形成された第1ビアと、
    前記第1ビア内に形成された、前記第1コンタクト構造と接続された第1導電性材料と、
    を具備し、
    前記第1導電性材料および前記第1コンタクト構造のうちの1つが前記第2コンタクト構造に直接接続されるように前記第1素子が前記第2素子に接着される、集積構造。
  72. 前記第1コンタクト構造は前記第2コンタクト構造に直接接続されている、請求項71の構造。
  73. 前記第1導電性材料は前記第2コンタクト構造に直接接続されている、請求項71の構造。
  74. 前記第1導電性材料は、前記第1コンタクト構造の実質的に側面のみと接触している、請求項71の方法。
  75. 前記第1素子の前記第1ビアに露出された導電性部分の側壁上に形成された絶縁性の側壁を具備する、請求項71の方法。
  76. 前記第1素子は基板を具備し、
    前記第1コンタクト構造は前記基板上に形成されたデバイス領域内に形成され、
    前記第1ビアは前記基板内において、前記デバイス領域におけるよりも広い、
    請求項71の方法。
  77. 前記第1素子は基板を具備し、
    前記コンタクト構造は前記基板上に形成されたデバイス領域内に形成され、
    前記第1ビアは前記デバイス領域において、前記基板におけるよりも広い、
    請求項71の方法。
  78. 前記第1コンタクト構造は、水平の導電性部材を用いて、前記第1導電性材料に接続されている、請求項71の方法。
  79. 前記第1素子内に形成された第2ビアと、
    前記第1コンタクト構造および前記第1導電性材料と接続された、前記第2ビア内に形成された第2導電性材料と、
    を具備する、請求項71の構造。
  80. 第3コンタクト構造が前記第1導電性材料に接続されるように前記第1素子に接着された前記第3コンタクト構造を有する第3素子を具備する、請求項71の構造。
JP2016019820A 2005-08-11 2016-02-04 3dic方法および装置 Active JP6266665B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/201,321 2005-08-11
US11/201,321 US7485968B2 (en) 2005-08-11 2005-08-11 3D IC method and device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008526104A Division JP2009505401A (ja) 2005-08-11 2006-08-07 3dic方法および装置

Publications (2)

Publication Number Publication Date
JP2016106420A true JP2016106420A (ja) 2016-06-16
JP6266665B2 JP6266665B2 (ja) 2018-01-24

Family

ID=37743066

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2008526104A Withdrawn JP2009505401A (ja) 2005-08-11 2006-08-07 3dic方法および装置
JP2012246660A Active JP6195704B2 (ja) 2005-08-11 2012-11-08 3dic方法および装置
JP2013246782A Withdrawn JP2014123722A (ja) 2005-08-11 2013-11-28 3dic方法および装置
JP2016019820A Active JP6266665B2 (ja) 2005-08-11 2016-02-04 3dic方法および装置

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2008526104A Withdrawn JP2009505401A (ja) 2005-08-11 2006-08-07 3dic方法および装置
JP2012246660A Active JP6195704B2 (ja) 2005-08-11 2012-11-08 3dic方法および装置
JP2013246782A Withdrawn JP2014123722A (ja) 2005-08-11 2013-11-28 3dic方法および装置

Country Status (9)

Country Link
US (9) US7485968B2 (ja)
EP (2) EP2685491A2 (ja)
JP (4) JP2009505401A (ja)
KR (2) KR101346127B1 (ja)
CN (2) CN101558483B (ja)
CA (1) CA2618191A1 (ja)
IL (1) IL189173A0 (ja)
TW (3) TWI596704B (ja)
WO (1) WO2007021639A2 (ja)

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
KR100975332B1 (ko) * 2008-05-30 2010-08-12 이상윤 반도체 장치 및 그 제조 방법
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7425499B2 (en) * 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
SG120200A1 (en) 2004-08-27 2006-03-28 Micron Technology Inc Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
JP2006310454A (ja) 2005-04-27 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7622377B2 (en) * 2005-09-01 2009-11-24 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7378339B2 (en) * 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7344959B1 (en) * 2006-07-25 2008-03-18 International Business Machines Corporation Metal filled through via structure for providing vertical wafer-to-wafer interconnection
US7629249B2 (en) * 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7829438B2 (en) 2006-10-10 2010-11-09 Tessera, Inc. Edge connect wafer level stacking
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US8569876B2 (en) 2006-11-22 2013-10-29 Tessera, Inc. Packaged semiconductor chips with array
US8143719B2 (en) * 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
JP5302522B2 (ja) * 2007-07-02 2013-10-02 スパンション エルエルシー 半導体装置及びその製造方法
CN101809739B (zh) 2007-07-27 2014-08-20 泰塞拉公司 具有后应用的衬垫延长部分的重构晶片堆封装
US8193092B2 (en) * 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
CN101861646B (zh) 2007-08-03 2015-03-18 泰塞拉公司 利用再生晶圆的堆叠封装
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
KR101162450B1 (ko) * 2008-03-21 2012-07-05 인터디지탈 패튼 홀딩스, 인크 피드백 시그널링의 방법 및 장치
CN102067310B (zh) 2008-06-16 2013-08-21 泰塞拉公司 带有边缘触头的晶片级芯片规模封装的堆叠及其制造方法
US7800238B2 (en) 2008-06-27 2010-09-21 Micron Technology, Inc. Surface depressions for die-to-die interconnects and associated systems and methods
CN101656217B (zh) * 2008-08-18 2011-03-23 中芯国际集成电路制造(上海)有限公司 系统级封装的方法
US8222097B2 (en) 2008-08-27 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
EP2338171B1 (en) * 2008-10-15 2015-09-23 ÅAC Microtec AB Method for making an interconnection via
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
US8158515B2 (en) * 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
US8288207B2 (en) * 2009-02-13 2012-10-16 Infineon Technologies Ag Method of manufacturing semiconductor devices
US8451012B2 (en) 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
US8466542B2 (en) 2009-03-13 2013-06-18 Tessera, Inc. Stacked microelectronic assemblies having vias extending through bond pads
JP5985136B2 (ja) 2009-03-19 2016-09-06 ソニー株式会社 半導体装置とその製造方法、及び電子機器
JP5773379B2 (ja) * 2009-03-19 2015-09-02 ソニー株式会社 半導体装置とその製造方法、及び電子機器
US8975753B2 (en) 2009-04-03 2015-03-10 Research Triangle Institute Three dimensional interconnect structure and method thereof
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US7939369B2 (en) * 2009-05-14 2011-05-10 International Business Machines Corporation 3D integration structure and method using bonded metal planes
US7902851B2 (en) 2009-06-10 2011-03-08 Medtronic, Inc. Hermeticity testing
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
FR2947571B1 (fr) * 2009-07-03 2011-09-09 Commissariat Energie Atomique Procede de restauration d'un element en cuivre
FR2947570B1 (fr) * 2009-07-03 2011-09-09 Commissariat Energie Atomique Procede de creation d'une zone cristalline de metal, notamment dans un circuit integre
HUE048827T2 (hu) * 2009-07-30 2020-08-28 Qualcomm Inc Egytokos rendszerek
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
DE102009049102B4 (de) * 2009-10-13 2012-10-04 Austriamicrosystems Ag Halbleiterbauelement mit Durchkontaktierung und Verfahren zur Herstellung einer Durchkontaktierung in einem Halbleiterbauelement
JP5509818B2 (ja) * 2009-12-01 2014-06-04 富士通株式会社 配線基板の製造方法
US20110156195A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US20110156197A1 (en) * 2009-12-31 2011-06-30 Tivarus Cristian A Interwafer interconnects for stacked CMOS image sensors
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
KR101107627B1 (ko) * 2010-02-22 2012-01-25 (주)실리콘화일 3차원 구조를 갖는 웨이퍼의 패드 형성 방법
US20110215465A1 (en) * 2010-03-03 2011-09-08 Xilinx, Inc. Multi-chip integrated circuit
JP5853351B2 (ja) * 2010-03-25 2016-02-09 ソニー株式会社 半導体装置、半導体装置の製造方法、及び電子機器
US8629053B2 (en) * 2010-06-18 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment for semiconductor devices
US8426961B2 (en) * 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
KR101692434B1 (ko) * 2010-06-28 2017-01-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9530726B2 (en) 2010-06-28 2016-12-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9831164B2 (en) 2010-06-28 2017-11-28 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US9640437B2 (en) 2010-07-23 2017-05-02 Tessera, Inc. Methods of forming semiconductor elements using micro-abrasive particle stream
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
DE102010045055B4 (de) * 2010-09-10 2019-03-28 Austriamicrosystems Ag Verfahren zur Herstellung eines Halbleiterbauelementes mit einer Durchkontaktierung
US8686565B2 (en) * 2010-09-16 2014-04-01 Tessera, Inc. Stacked chip assembly having vertical vias
US8685793B2 (en) 2010-09-16 2014-04-01 Tessera, Inc. Chip assembly having via interconnects joined by plating
US8847380B2 (en) 2010-09-17 2014-09-30 Tessera, Inc. Staged via formation from both sides of chip
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US8736066B2 (en) 2010-12-02 2014-05-27 Tessera, Inc. Stacked microelectronic assemby with TSVS formed in stages and carrier above chip
US8587126B2 (en) * 2010-12-02 2013-11-19 Tessera, Inc. Stacked microelectronic assembly with TSVs formed in stages with plural active chips
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
JP5658582B2 (ja) * 2011-01-31 2015-01-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
WO2012120659A1 (ja) * 2011-03-09 2012-09-13 国立大学法人東京大学 半導体装置の製造方法
JP2012231096A (ja) * 2011-04-27 2012-11-22 Elpida Memory Inc 半導体装置及びその製造方法
US8912017B2 (en) 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
CN102820268B (zh) * 2011-06-10 2016-01-20 华进半导体封装先导技术研发中心有限公司 键合结构及其制备方法
US8587127B2 (en) 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
FR2983638A1 (fr) 2011-12-02 2013-06-07 St Microelectronics Sa Procede de formation d'un circuit integre
KR101931491B1 (ko) 2011-12-02 2018-12-24 삼성전자주식회사 구리를 포함하는 전극 연결 구조체
US20130199831A1 (en) * 2012-02-06 2013-08-08 Christopher Morris Electromagnetic field assisted self-assembly with formation of electrical contacts
JP2013219319A (ja) * 2012-03-16 2013-10-24 Sony Corp 半導体装置、半導体装置の製造方法、半導体ウエハ、及び、電子機器
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
WO2014021777A1 (en) * 2012-07-31 2014-02-06 Nanyang Technological University Semiconductor device and method for forming the same
US8735219B2 (en) * 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
JP6128787B2 (ja) 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
JP6140965B2 (ja) * 2012-09-28 2017-06-07 キヤノン株式会社 半導体装置およびその製造方法
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
CN103066016A (zh) * 2013-01-14 2013-04-24 陆伟 一种晶圆自对准硅通孔连接方法
US9997443B2 (en) * 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9257647B2 (en) * 2013-03-14 2016-02-09 Northrop Grumman Systems Corporation Phase change material switch and method of making the same
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9000344B2 (en) * 2013-03-15 2015-04-07 Sensors Unlimited, Inc. Focal plane array periphery through-vias for read out integrated circuit
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
CN103354210B (zh) * 2013-06-27 2016-08-10 清华大学 一种键合方法及采用该键合方法形成的键合结构
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
JP6341554B2 (ja) * 2013-12-19 2018-06-13 国立大学法人東京工業大学 半導体装置の製造方法
FR3016474A1 (fr) * 2014-01-14 2015-07-17 Commissariat Energie Atomique Procede de placement et de collage de puces sur un substrat recepteur
KR102168173B1 (ko) 2014-01-24 2020-10-20 삼성전자주식회사 적층형 이미지 센서
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
SG11201610771SA (en) * 2014-07-08 2017-01-27 Massachusetts Inst Technology Method of manufacturing a substrate
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
TWI651874B (zh) * 2014-10-27 2019-02-21 財團法人工業技術研究院 發光裝置及其製造方法
US9536853B2 (en) 2014-11-18 2017-01-03 International Business Machines Corporation Semiconductor device including built-in crack-arresting film structure
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
FR3030881A1 (fr) * 2014-12-22 2016-06-24 Commissariat Energie Atomique Procede de realisation d'un circuit integre en trois dimensions
US10319701B2 (en) * 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9666514B2 (en) 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
EP3113216B1 (en) 2015-07-01 2021-05-19 IMEC vzw A method for bonding and interconnecting integrated circuit devices
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9881884B2 (en) * 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9633917B2 (en) * 2015-08-20 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit structure and method of manufacturing the same
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10269701B2 (en) * 2015-10-02 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with ultra thick metal and manufacturing method thereof
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10163859B2 (en) 2015-10-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method for chip package
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10025029B2 (en) 2015-10-28 2018-07-17 International Business Machines Corporation Integration of bonded optoelectronics, photonics waveguide and VLSI SOI
US11037904B2 (en) 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
JP6662015B2 (ja) * 2015-12-11 2020-03-11 株式会社ニコン 半導体装置および半導体装置の製造方法
US9881896B2 (en) 2015-12-17 2018-01-30 International Business Machines Corporation Advanced chip to wafer stacking
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
WO2017111847A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Techniques for forming electrically conductive features with improved alignment and capacitance reduction
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) * 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
CN107492533B (zh) * 2016-06-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 封装结构及其封装方法
JP7020407B2 (ja) * 2016-06-15 2022-02-16 ソニーグループ株式会社 半導体装置、及び、半導体装置の製造方法
US10700270B2 (en) 2016-06-21 2020-06-30 Northrop Grumman Systems Corporation PCM switch and method of making the same
EP3531445B1 (en) * 2016-09-07 2020-06-24 IMEC vzw A method for bonding and interconnecting integrated circuit devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
KR102393946B1 (ko) 2016-10-07 2022-05-03 엑셀시스 코포레이션 직접-접합된 네이티브 상호접속부 및 능동 베이스 다이
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
JP6783688B2 (ja) * 2017-03-14 2020-11-11 エイブリック株式会社 半導体装置および半導体装置の製造方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
TW201836447A (zh) * 2017-03-24 2018-10-01 致伸科技股份有限公司 具擴充功能之薄膜線路結構
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
JP6385515B2 (ja) * 2017-04-26 2018-09-05 キヤノン株式会社 半導体装置およびその製造方法
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10163864B1 (en) 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) * 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
WO2019079625A1 (en) 2017-10-20 2019-04-25 Xcelsis Corporation HIGH DENSITY 3D CALCULATION CIRCUIT FOR Z-AXIS INTERCONNECTIONS
CN111418060A (zh) 2017-10-20 2020-07-14 艾克瑟尔西斯公司 具有正交的顶部互连层的、面对面安装的ic裸片
CN107731680B (zh) * 2017-11-16 2019-10-15 长江存储科技有限责任公司 一种采用硬掩膜的沟道孔刻蚀工艺
US10510696B2 (en) * 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Pad structure and manufacturing method thereof in semiconductor device
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
CA3088034A1 (en) * 2018-01-23 2019-08-01 Lumiense Photonics Inc. Method of manufacturing of advanced three-dimensional semiconductor structures and structures produced therefrom
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) * 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) * 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) * 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
JP6905040B2 (ja) * 2018-08-08 2021-07-21 キヤノン株式会社 半導体デバイスの製造方法
JP6632670B2 (ja) * 2018-08-08 2020-01-22 キヤノン株式会社 半導体装置およびその製造方法
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN109449091B (zh) * 2018-11-05 2020-04-10 武汉新芯集成电路制造有限公司 半导体器件的制作方法
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
CN109545811A (zh) * 2018-11-26 2019-03-29 豪威科技(上海)有限公司 堆叠式cmos图像传感器及其制作方法
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
JP7332304B2 (ja) * 2019-02-14 2023-08-23 キオクシア株式会社 半導体装置およびその製造方法
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20200402913A1 (en) * 2019-06-19 2020-12-24 Invensas Corporation Connecting multiple chips using an interconnect device
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11443981B2 (en) * 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
KR102302563B1 (ko) * 2019-12-05 2021-09-15 고려대학교 산학협력단 비아 형성 방법, 이를 기반으로 하는 반도체 장치의 제조 방법 및 반도체 장치
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210265253A1 (en) 2020-02-25 2021-08-26 Tokyo Electron Limited Split substrate interposer with integrated passive device
US11854879B2 (en) 2020-02-26 2023-12-26 Raytheon Company Cu3Sn via metallization in electrical devices for low-temperature 3D-integration
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11462453B2 (en) 2020-07-10 2022-10-04 Nanya Technology Corporation Semiconductor device with protection layers and method for fabricating the same
US11502038B2 (en) * 2020-08-03 2022-11-15 Nanya Technology Corporation Semiconductor structure having via through bonded wafers and manufacturing method thereof
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US20220084884A1 (en) * 2020-09-15 2022-03-17 Nanya Technology Corporation Semiconductor structure and method of forming the same
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11546010B2 (en) 2021-02-16 2023-01-03 Northrop Grumman Systems Corporation Hybrid high-speed and high-performance switch system
US11658116B2 (en) * 2021-03-02 2023-05-23 International Business Machines Corporation Interconnects on multiple sides of a semiconductor structure
US20220375892A1 (en) * 2021-05-21 2022-11-24 Institute of semiconductors, Guangdong Academy of Sciences Chip packaging method and chip packaging structure
US11869872B2 (en) 2021-08-05 2024-01-09 Institute of semiconductors, Guangdong Academy of Sciences Chip stack packaging structure and chip stack packaging method
TWI797790B (zh) * 2021-10-21 2023-04-01 友達光電股份有限公司 電子裝置

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
JPH01168040A (ja) * 1987-11-18 1989-07-03 Grumman Aerospace Corp 複合ウェーハ型集積回路チップの製造方法
JPH04259249A (ja) * 1991-02-14 1992-09-14 Mitsubishi Electric Corp 半導体装置
JPH05198739A (ja) * 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
JPH08125121A (ja) * 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPH08186235A (ja) * 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2000299379A (ja) * 1999-04-13 2000-10-24 Tadatomo Suga 半導体装置及びその製造方法
JP2002516033A (ja) * 1997-04-04 2002-05-28 グレン ジェイ リーディ 三次元構造メモリ
JP2003023071A (ja) * 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
JP2003528466A (ja) * 2000-03-22 2003-09-24 ジプトロニクス・インコーポレイテッド 三次元デバイスの一体化方法および一体化されたデバイス
WO2005043584A2 (en) * 2003-10-21 2005-05-12 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) * 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法

Family Cites Families (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JP3013624B2 (ja) * 1992-09-01 2000-02-28 日本電気株式会社 半導体集積回路装置
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
EP0610709B1 (de) * 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
US5489304A (en) 1994-04-19 1996-02-06 Brigham & Women's Hospital Method of skin regeneration using a collagen-glycosaminoglycan matrix and cultured epithelial autograft
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) * 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6333206B1 (en) 1996-12-24 2001-12-25 Nitto Denko Corporation Process for the production of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6147000A (en) 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP2000150810A (ja) 1998-11-17 2000-05-30 Toshiba Microelectronics Corp 半導体装置及びその製造方法
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3293792B2 (ja) 1999-01-12 2002-06-17 日本電気株式会社 半導体装置及びその製造方法
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6348709B1 (en) 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP2000311982A (ja) * 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
JP3619395B2 (ja) * 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
EP1130654A1 (de) 2000-03-01 2001-09-05 Infineon Technologies AG Integriertes Bauelement mit Metall-Isolator-Metall-Kondensator
US6373137B1 (en) 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4123682B2 (ja) * 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP2002009248A (ja) 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
JP3440057B2 (ja) * 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
TW515223B (en) 2000-07-24 2002-12-21 Tdk Corp Light emitting device
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
US6583460B1 (en) 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
SG148819A1 (en) * 2000-09-14 2009-01-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6713402B2 (en) 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
CN1248304C (zh) 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP2004133384A (ja) 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
DE60235267D1 (de) * 2002-12-20 2010-03-18 Ibm Herstellungsverfahren einer dreidimensionalen vorrichtung
US7354798B2 (en) * 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
KR100598245B1 (ko) 2002-12-30 2006-07-07 동부일렉트로닉스 주식회사 반도체 금속 배선 형성 방법
JP4173374B2 (ja) 2003-01-08 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3981026B2 (ja) * 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) * 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
JP4082236B2 (ja) 2003-02-21 2008-04-30 ソニー株式会社 半導体装置及びその製造方法
JP4001115B2 (ja) 2003-02-28 2007-10-31 セイコーエプソン株式会社 半導体装置及びその製造方法
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) * 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP4130158B2 (ja) * 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040245636A1 (en) 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
TWI229930B (en) 2003-06-09 2005-03-21 Advanced Semiconductor Eng Chip structure
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) * 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
DE102004001853B3 (de) 2004-01-13 2005-07-21 Infineon Technologies Ag Verfahren zum Herstellen von Kontaktierungsanschlüssen
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4897201B2 (ja) 2004-05-31 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置
JP4376715B2 (ja) * 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
KR100618855B1 (ko) 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
KR100580212B1 (ko) 2004-12-20 2006-05-16 삼성전자주식회사 급지장치 및 이를 구비하는 화상형성장치
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7348648B2 (en) 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100825648B1 (ko) 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
DE102008007001B4 (de) 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8101517B2 (en) 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
KR101559617B1 (ko) 2010-03-01 2015-10-12 오사카 유니버시티 반도체장치 및 반도체장치용 접합재
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
CN105938825B (zh) 2011-05-24 2019-04-05 索尼公司 半导体图像接收装置
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
FR2986904A1 (fr) 2012-02-14 2013-08-16 St Microelectronics Crolles 2 Systeme d'assemblage de puces
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
TWI498975B (zh) 2012-04-26 2015-09-01 Asian Pacific Microsystems Inc 封裝結構與基材的接合方法
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8772946B2 (en) 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9159610B2 (en) 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107748879A (zh) 2017-11-16 2018-03-02 百度在线网络技术(北京)有限公司 用于获取人脸信息的方法及装置
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
CN116529867A (zh) 2020-10-29 2023-08-01 美商艾德亚半导体接合科技有限公司 直接接合方法和结构
TW202236439A (zh) 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
JPH01168040A (ja) * 1987-11-18 1989-07-03 Grumman Aerospace Corp 複合ウェーハ型集積回路チップの製造方法
JPH04259249A (ja) * 1991-02-14 1992-09-14 Mitsubishi Electric Corp 半導体装置
JPH05198739A (ja) * 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
JPH08125121A (ja) * 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPH08186235A (ja) * 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2002516033A (ja) * 1997-04-04 2002-05-28 グレン ジェイ リーディ 三次元構造メモリ
JP2000299379A (ja) * 1999-04-13 2000-10-24 Tadatomo Suga 半導体装置及びその製造方法
JP2003528466A (ja) * 2000-03-22 2003-09-24 ジプトロニクス・インコーポレイテッド 三次元デバイスの一体化方法および一体化されたデバイス
JP2003023071A (ja) * 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
WO2005043584A2 (en) * 2003-10-21 2005-05-12 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) * 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
CN101558483A (zh) 2009-10-14
US20210280461A1 (en) 2021-09-09
CN104576519A (zh) 2015-04-29
EP1913631A4 (en) 2011-10-05
KR20130086365A (ko) 2013-08-01
WO2007021639A3 (en) 2009-04-30
WO2007021639A2 (en) 2007-02-22
CN104576519B (zh) 2017-12-26
US8709938B2 (en) 2014-04-29
US20190148222A1 (en) 2019-05-16
EP2685491A2 (en) 2014-01-15
KR101346127B1 (ko) 2013-12-31
TWI490978B (zh) 2015-07-01
US11011418B2 (en) 2021-05-18
US11515202B2 (en) 2022-11-29
US20130178062A1 (en) 2013-07-11
US10147641B2 (en) 2018-12-04
US20070037379A1 (en) 2007-02-15
TWI596704B (zh) 2017-08-21
US8389378B2 (en) 2013-03-05
TWI562280B (en) 2016-12-11
US9716033B2 (en) 2017-07-25
US11289372B2 (en) 2022-03-29
US9171756B2 (en) 2015-10-27
US20090068831A1 (en) 2009-03-12
IL189173A0 (en) 2008-06-05
EP1913631A2 (en) 2008-04-23
JP2013058781A (ja) 2013-03-28
US7485968B2 (en) 2009-02-03
CA2618191A1 (en) 2007-02-22
US20140187040A1 (en) 2014-07-03
KR20080039899A (ko) 2008-05-07
JP2009505401A (ja) 2009-02-05
CN101558483B (zh) 2015-04-29
TW200729398A (en) 2007-08-01
US20150340285A1 (en) 2015-11-26
JP6266665B2 (ja) 2018-01-24
US20210313225A1 (en) 2021-10-07
JP6195704B2 (ja) 2017-09-13
TW201530694A (zh) 2015-08-01
TW201701407A (zh) 2017-01-01
US20170316971A1 (en) 2017-11-02
JP2014123722A (ja) 2014-07-03
KR101382237B1 (ko) 2014-04-08

Similar Documents

Publication Publication Date Title
JP6266665B2 (ja) 3dic方法および装置
US7410884B2 (en) 3D integrated circuits using thick metal for backside connections and offset bumps
US5380681A (en) Three-dimensional multichip package and methods of fabricating
EP1470583A1 (en) Chip and wafer integration process using vertical connections
US9123829B2 (en) Method for producing chip stacks
CN114864545A (zh) 半导体装置的制造方法
WO2023088849A2 (en) Bridge chip with through via

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171121

R150 Certificate of patent or registration of utility model

Ref document number: 6266665

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250