TW408369B - Plasma processes for depositing low dielectric constant films - Google Patents

Plasma processes for depositing low dielectric constant films Download PDF

Info

Publication number
TW408369B
TW408369B TW88102101A TW88102101A TW408369B TW 408369 B TW408369 B TW 408369B TW 88102101 A TW88102101 A TW 88102101A TW 88102101 A TW88102101 A TW 88102101A TW 408369 B TW408369 B TW 408369B
Authority
TW
Taiwan
Prior art keywords
layer
gas
patent application
scope
dielectric layer
Prior art date
Application number
TW88102101A
Other languages
English (en)
Inventor
David Cheung
Wai-Fan Yau
Robert P Mandal
Shin-Puu Jeng
Kuo-Wei Liu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/021,788 external-priority patent/US6054379A/en
Priority claimed from US09/162,915 external-priority patent/US6287990B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW408369B publication Critical patent/TW408369B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

經濟部智慧財產局員工消费合作社印髮 408369 A7 ___ B7 五、發明説明() 發明領A : 本發明係關於積體電路之製造,特别是在基材上沉積 介電層之製程和裝置3 發明眢景: 現代半導體7C件製造中一項主要的步驟為在基材上 以化學氣體反應形成金屬和介電層薄膜。此類沉積製程又 私作化學氣相沉積或CVD。傳統之熱傳CVD製程提供反 應性氣體到基材表面上並產生熱感化學反應並得到所需 <薄膜。某些熱傳CVD製程中的高溫操作可能將先前基 材上所形成之元件鍍層損壞。在較低溫沉積金屬和介電層 薄膜之較佳的方法為電漿增強CVD(PECVD)技術,例如描 述於美國專利第5,362,526號中,其名稱為,,Plasma_ Enhanced CVD Process Using TEOS for Depositing Silicon Oxide ’’在此則列為參考文件。應用射頻(RF)能量於靠近 基材表面之反應區的電漿增強CVD技術提高了反應氣體 之激發和/或解離,因而產生了高度反應性離子電漿。由於 此釋出離子之高度反應性可降低發生化學反應所需之能 量’因而也使得PECVD製程所需之溫度也降低了。 半導體元件幾何形狀之大小比幾十年前剛出來的尺 寸明顯減小許多。從那時候起,積體電路就依循著兩年/ 只剩一半尺寸之規則(通常稱之為Moore’s定律),意謂著 每兩年一個晶片上的元件數目為倍數成長。今曰的製造麻 已經能生產具有0,35μιη甚至0.1 8μΐη大小之元件,且可預 第S頁 本紙張从遑用中國國家標準(c叫从祕(21GX297公釐) ' I---- ---裝----一---^訂------線 (讀先閲讀背面之注項再4'窝本頁) 經濟部智慧財產局W工消夤合作社印製 408369 A7 ____ B7____—__ 五、發明説明() 期的是未來將會生產更小尺寸之元件。 為了在積體電路上更降低其尺寸大小,因此需要使用 具有低阻值之導電材料和低k(介電常數<4.〇)之絕緣體以 降低鄰近金屬線之問的電容耦合。線狀/阻障層已經在導電 材料和絕緣體之間使用,以避免例如溼氣之副產物擴散到 導電材料上1如國際公開號碼WO94/01885中所描述的。 例如’在形成低k絕緣體時所產生的溼氣很容易擴散到導 電金屬的表面而増加導電金屬表面的阻抗。由傳統氧化矽 或氮化矽材料所形成之阻障/線狀層可阻擋副產品之擴 散。然而,阻障/線狀層之介電常數一般要超過4.0,而此 高介電常數和絕緣體之組合並不能有效的降低介電常 數。 第1 A圖例舉了在國際公開號碼w〇 94/0 1 885中所描 述的以PECVD製程來沉積阻障/線狀層。peCVD製程沉積 了多層的不同介電層,首先在形成於基材4之上的圖案化 金屬層的金屬線3上沉積一層二氧化矽(Si〇2)線狀層2 « 線狀層2係由矽曱烷和一氧化二氮(N2〇)於3〇〇°c時 以電壓增強反應沉積而成。接著於線狀層2之上則以矽甲 境元素和過氧化物元素反應而沉積—層可自我平坦化之 低k介電層5。可自我平坦化之介電層5中的水氣則在固 化(cure)時移除。線狀層2為氧化的矽甲烷薄膜且當其沉 積成介電常數至少在4.5以上時則具有效的阻障特性。此 氧化的妙甲烷薄膜之介電常數可由減少薄膜之溼氣阻障 性質的製程參數改變而降低到約4.1左右。傳統的線狀 第6頁 本紙張尺度適用争國國家揉準(CNS) A4規格(2丨〇><297公羞) (請先閲讀背面之注意事^ί填寫本頁) .裝. 訂 線 A7 B7 五 _ 經濟部智慧財產局5Κ工消費合作杜印製 4〇8369 發明説明( 八兩例如氮.化砂(SiN) ’甚至有更高的介電常數,而低k ‘數和此阿k介電常數線狀層之组合對於整個堆疊之 介电$數和电容耦合的改善只能提供些至
助= — 毛…、I 如弔!B圖中所示的,W0 94/01885更進一步的描述 選擇【生的—氧化碎(Si〇2)遮蓋層6由碎甲烷和-氧化二 氮反應而沉積於低k介電層5之上。此遮蓋潛6亦 為氧化的矽甲 '故薄膜且當其沉積之介電常數約為4 5左右 時則具極佳的Μ特性。線狀層2和选蓋f 6兩者都具有 大於4.5之介電常數且此高介電常數層都會減少低匕介電 層5之優勢。 當元件變得更小時,則具有高介電常數之線狀和遮蓋 層對多層介電層結構之整個介電常數佔有更大的比例。再 者’已知的低k介電材料一般具有低的氧化物成分,在介 層洞及/或内連線蚀到時並不適合做為触刻中止層。氮化碎 已為低k介電材料中做内連線之中止層材料選項之一。然 而和周圍疋低k介電層比較起來,氮化發具有相當高的 介電常數(介電常數約為7左右)。同時氮切也會增加内 連線之間的電容镇合,即便另一種低k介電材料作為主要 的絕緣層。如此則會產生_擾訊號及/或阻抗-電容(rc)延 遲而使元件之整禮效能退化。目此,在底層之介電層蚀刻 完成之後’通常將氮化矽中止層移除。 低k介電層具有良好的阻障特性以做為線性層及足夠 的氧化物含量β做為蝕刻中止層兩種特性,並且可在相同 第7肓 本紙張尺度適用中國國家揉準(CNS ) Α4说格(2丨0ΧΜ7公釐)
I t r•'—1—.' —r « - I .Γ'J I I 訂— I — I — I 線 (請先閲讀背面之注意事Hv*填寫本頁J 4〇8369 絰濟部智慧財產局員工消費合作社印t A? __B7五、發明説明() 的反應室中於存在的低k介電材料中加以識別及沉積。此限障層不會增加介電屬之整個令電常數,且此姓刻中止層 不用在底層蝕刻熳移除。 美國專利第5,554,57〇號描述了以熱傳CVD氧化碎所 形成的障廣’其中將M C_H群之有機珍烷氧化而非碎 ’坡化,以增加沉積薄膜之密度並改善鍍層之間的黏附性^ 例如,由四乙氧基矽酸鹽(TEOS)和臭氧所產生之熱傳CVD層,可沉積於由有機矽烷和Νι0或〇2所產生之PECVD氧 化矽薄膜之間。 描述於’5 70專利中的阻障層最好是具有低碳含量之 高密度氧化矽層。雖然較低頻率之射頻(RF)功率可改善薄 暎之應力,此大密度鍍層仍利用4〇〇w之高頻率射頻(RF) 功率加以沉積。阻障層最好由烷氧基矽烷或氣化烷基矽烷 和N2〇來製造’以降低碳含量並增加鍵層之密度。 5 70專利並沒有揭露製造具低介電常數之阻障層或 t造具高含氧量之蝕刻中止層的製程條件。而且,57〇之專 利並沒有利用所描述之鍍層作為鄰近低k介電層之阻障層 或作為一蝕刻中止層。 在次微米之元件中,仍然需要具有低介電常數,民好之阻障特性,及高含氧量之介電層作為阻障層或蝕刻中止層。 目的及挺埤:本發明提供了沉積低介電常數之氧化矽層的方法和 第8頁 ^紙涑尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) ' (請先閲讀背面之注意事ί填寫本頁) •装. ,ιτ -線 經濟部智慧財產局員工消费合作杜印製 408369 A7 ________£7_ ____ _ 五、發明説明() 裝置氧化矽層之製造由有機梦境,有機碎氧貌或其組合 之私淡辅助化學氣相沉積法產生,利用RF或微波功率來 產生反應性氧氣原子。氧化矽層之性質很容易由製程參數 之曼化來控制,且不同鍍層可在相同之沉積反應室中造行 以改善雙重鑲嵌製程。另外,氧化矽層可作為不同鍍層之 間的黏考·層,或作為内金屬介電層。較佳的氧化矽層可由 一氧化二氮(Nz〇),和包含Si_H鍵結之矽化合物,例如甲 基矽烷(CH3SiH3)'二甲基矽烷((CH3)2SiH2)或m3,-四 〒基二矽氧烷((CH3)2-SiH-(CH3)2)。 此氧化矽層乃在低壓和高溫時加以固化(cure)以穩定 其特性。氧化矽層最好利用分開的射頻(RF)功率應用到沉 積反應至中以增加沉積層之多孔性。在反應室壓力小於約 1 〇 t〇rr時最好使用較低喈之RF功率。在離子化反應室中 則最好提供微波功率給氧化氣體以控制反應性氧化予的 形成而不需増加沉積反應室中的功率能階。 在一較佳實施例中,氧化矽層乃由一或多種包含si_ Η鍵結之有機矽烷及/或有機矽氧烷化合物之電漿反應而. 沉積在圖案化之金屬層之上。 對於在一沉積反應室中製造具信賴度之雙重鑲嵌結 構來說,本發明之氧化矽層積體電路製程更進—步的利用 性為降低内連線之間的電容耦合^於一較佳實拖例中,具 兩含竣量之低k介電薄膜’大於約20%之原子重量百分比 的含破量’乃沉積作為介層洞等級之介電層。—具低碳含 量之低k介電薄膜,低於约丨〇%之原子重量百分比的含碳 第9頁 本紙張尺度適用中圃S家棋準(CNS ) A4规格(210X297公釐) -------------装---.--.—訂--------線 (請先閲1#背面之注意事填寫本頁) 408369 經濟部智廷財產局負工消費合作社印製 Μ _____ Β7 ____ • — I ~ ~·" _五、發明説明() 量’則接著沉積於高含碳量之鍍層上以形成溝渠等級之介 電層。一雙重鑲嵌蝕刻接著在溝渠等級之介電層中形成溝 渠且中止於介層洞等級之介電層上。在介層洞等級之介電 層中领刻介層洞之後,上表面接著平坦化而在溝渠等級介 電層上留下平坦之表面。 本發明更提供了至少包含氧化矽之内金屬介電材料 (IMD) ’沉積於傳統之蝕刻中止層如氧化矽或氮化矽之 上°此氧化矽層也可沉積做為薄的黏著層。 圈式餹單說明: 本發明所描述之特徵,優點和目的經由上述之發明 概述’下面詳細的發明說明,並參考附圖所例舉之實施例 之後,將更明瞭其細節。 另外’附圖所例舉的只為本發明之典型實施例而 已’並非本發明之限制條件,對於其它等效之實施例亦包 含於本發明中。其中: 第1 A-1 B圖(先前技術)為習知的製程中於基材上沉積介電 層之圖示; 第2圖為依照本發明例舉之CVD電漿反應室結構之截面 视圖: 第3圖為第2圖之CVD電漿反應室之系統監控的圖示; 第4圖為第2圖所例舉之CVD電漿反應室的製程控制♦ 腦程式產品之流程圖; 第5圖為依照本發明之一實施例在孔洞充填過程 τ <積缘 笫10頁 本紙張尺度通用中國囷家標準(CNS )人4洗格(2ΐ〇χ297公釐〉 {請先閲讀背面之注意事Μ:填寫本頁) -装. 訂 r 1-- I i -. 408369 A7 B7 _________— 五、發明説明() 狀和遮蓋層之步驟的流程圖; 第6A-6E圖為第5圖中於基材上沉積鍍層之圖示; 第7圖為包含本發明之氧化矽層雙重鑲嵌結構的截面視 圖: 第8A-8H圖為本發明之雙重鑲嵌沉積順序實施例的截面 視圖, 第9圖為前金屬介電層和内金屬介電層之間’包含本發明 之氧化矽層黏著層的截面視圖; 第10A-10H圖為雙重鑲嵌沉積順序中,以本發明之氧化矽 做為黏著内金屬介電薄膜到傳統之蝕刻中止層的 截面視圖;及 第11A-I1D圖為積集化雙重鎮欣沉積順序中,以本發明之 氧化矽做為替代傳統之蚀刻中止層之截面視圖。 為了要更加瞭解本發明’則可參考後面之詳細說明。 (請先閱讀背面之注意事^:填寫本頁) 装· 經濟部智慧財產局員工消費合作社印製 圈號對Μ說明: 200 放置晶圓 205 沉積線狀層 210 沉積縫隙充填層 215 沉積遮蓋層 220 移除晶圚 420 製程選擇器 430 製程序列器 440 反應室管理 450 晶座控制 460 製程氣體控制 470 壓力控制 480 加熱器控制 490 電槳控制 第11頁 本紙張尺度適用中國國家搮導(CNS > A4規格(210X297公嫠) 408369 A7 B7 五、發明説明( 發明掸細說明: 本發明提供了沉積具有料電常數,“氧量,和足 夠之礙含量以提供㈣特性的氧切層之方法和裝置。此 氧化矽層至少包含氧化的有嬙 機碎規*或有機矽氧烷且可做 為鄰近其它介電材料之線狀層, 滑做為鄰近介電材料且具低 含氧量之蝕刻中止層,做為内金 η坌屬介电層,及做為不同材 質之間的黏著層。氧化的有機妙 艰矽烷材料由電漿辅助氧化的 有機矽烷或有機矽氡烷化合物利 α切刊用攸约l〇W到約2〇〇w之 固定RF功率’或從約2GW到约5崎之脈衝式RF功率 加以沉積。此氧化梦層之沉積也可利用經常性的中斷來改 善其多孔性’例如更換反應室或提供冷卻時間,功率 則最好提供I3MHz和14MHz>f^0A合虹
Hz <間的高頻。RF功率最好在
很短的循環時提供,且其中的功率只在循環小於約200HZ 時才開啟到上述的能階’而此功率開啟的循環只佔全部循 環約丨0%到約30%。此斷斷續續的RF功率可在較高的峰 值能階操作,並JL和韓低功率能階之固定RF功率有相同 的整體功率輸入》 經濟部智.%財產局50:工消費合作杜印«. 氡化矽層中留存的碳對於低介電常數和阻障特性具 有供獻。而留存的碳在約1 %和約5〇%原子重量之間, 最好包含足夠的C-H或C-F鍵結以提供氧化矽層親水的特 性’以產生相當的低介電常數和改善的溼氣阻障特性。 氧化矽層由包括碳之有機物群集的矽化合物所製 造’且不容易於製程條件中以氧化來去除.而-C_H鍵結最 好包括例如烷基或芳香基群集。或氟化碳衍生物。適當的 第12頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210XM7公釐) 408369 A7 B7 甲基矽烷 二甲基矽烷 三甲基矽烷 二曱基矽烷二醇 乙基矽烷 笨基矽烷 二苯基矽烷 二苯基矽烷二醇 曱基苯基矽烷 二矽烷甲烷 雙(甲基矽烷)甲烷 1,2-二碎坑乙燒 1.2- 雙(甲基矽烷)乙烷 2.2- 二碎坑丙貌 1,3,5-三矽烷-2,4,6-三亞甲基 1.3- 二甲基二矽氧烷 1.1.3.3- 四甲基二矽氧烷 六甲基二碎氧燒 U-雙(矽亞甲基)二矽氧烷 雙(1-甲基二矽氧烷基)甲烷 2,2-雙(1-甲基二矽氧烷基)丙坑 經濟部智慧財產局員工消費合作社印製 五、發明説明() 有機物群集也可包括烯 能。有機矽化合物包括: 基和環烯基群集及其衍生之功 CH3-SiH3 (CH3)2-SiH2
(CH3)3-SiH (CH3)2-Si-(OH)2 CH3-CH2-SiH3 C6H5-SiH3 (C6H5)2-SiH2 (C6H5)2-Si-(OH)3 C6H5-SiH2-CH3
SiH3-CH2-SiH3 CH3-SiH2-CH2-SiH2-CH3
SiH3-CH2-CH2-SiH3 CHrSiH2-CH2-CH2-SiH2-CH3
SiH3-C(CH3)2-SiH3 -(-SiH2CH2-)3-(cyclic) CH3-SiH2-0-SiH2-CH3 (CH3)2-SiH-0-SiH-(CH3)2 (CH3)2-Si-0-Si-(CH3)2 (SiH3-CH2-SiH2-)2-〇 (CH3-SiH2-0-SiH2-)2-CH2 (CH3-SiH2-0-SiH2-)2-C(CH3)2 攀 第13頁 --------,---择-------1T------^ (請先聞讀背面之注意事填寫本育) 本紙張尺度通用争國國家橾準(CNS ) Α4現格(210X297公釐) -(-SiHCH3-〇-)4-(cyclic) -(-Si(CH3)2-〇-)4-(cyclic) -(-SiHCH3-0-)5-(cyclic) -(-SiH2-CH2-SiH2-0-)2-(cyclic) -SiHrCH2-SiHrCH2-SiH2-CKcyclic) ,SiH2-CH2-CH2-SiHrO-(cyclic) 408369 A7 __________B7 五、發明説明() 2,4,6,8-四甲基環四矽氧燒 八甲基環四矽氧烷 2,4,6,8,10-五甲基環五碎氧境 1,3,5,7-四矽燒-2,6-二氧基_4,8-二亞甲基 2,4,6-三珍燒四氫p比喃 2,5-—秒燒四氣咬喃 有機砂化合物於,y & '儿積時和氧氣(02)或含氧之化合物 如一氧化二氮(N2〇),奠·签^ ;及礼(03)’二氧化碳((:〇2),和水(Η2〇) 反應而氧化,且最好是釦…A > 取疋和N:〇反應,而沉積薄膜的碳含量 從1到5 0 %的原子重奮,耘 X 較佳則约為5到30%。氧化的有 機妙層具有約3.0的介雷當缸 J J屯*數且具極佳的阻障特性。此氧 化的有機碎層和傳统的供l Λ β 啊寻.无旳低k介電層比較起來更具有高含氧 量且有良好的黏著特性。 當需要在沉積薄膜中得到所需的碳含量時,氧氣和含 氧的化合物最好將其解離以增加反應性。RF功率可耦合 到沉積反應室中來增加氧化之化合物的解離性。氧化之化 合物也可在進入沉積反應室之前於微波反應室中解離’以 降低多餘的含矽化合物之解離。氧化矽之沉積可為連續性 或非連續性。雖然最好是在一個反應室中進行沉積,但也 可在兩個或多個沉積反應室中進行沉積順序。再者,Rp 功率可由循環或脈衝方式來降低基材的熱度並提升沉積 薄膜的多孔性。於氧化矽層沉積時,基材的溫度則維持從 約-20°C到約400°C ’且最好維持在約_2〇°c到4〇°C的溫 第14育 本紙張尺度逋用中國國家搮準(CNS ) A4規格(210X29?公釐) ------------裝---r--.—、tr------^ (請先鬩讀背面之注^^<^填寫本頁) 經濟部智慧財產局員工消費合作社印製 408369 A7 B7 五、發明説明() 度 有機矽烷和有機矽氧烷化合物最好包括下列·结構1 I I —C— Si— ΗI I 其中每個碎乃結合到一或.兩個破原子,JL破乃包括於 有機物群集中,且最好是烷基或#基群集例如-CH3 ’ · CH2-CH3 ’ -CH2-,或·CH2_CH2·,或氟化碳之衍生物。在 氟化物衍生物的碳·原子為部分或全部的氟化以替代I原 子3當有機.碎境·和有機碎氧燒化合物包括兩個或多個硬原 子時,每個珍都由_C.’或- C- C-和另一個梦分開,其 中的碳則包含於有機物群集中,且最好為烷基或亞燒基群 集如-CH2-,_CH2-CH2· , -CH(CH3)-或 _C(CH3)2-,或氟化 物之衍生物。 較佳的有機矽虎和有機碎氧炫·化合物在室溫時為氣 體或液體卫可揮發到約1 0 torr以上。較佳的有機矽燒和 有機矽氧烷包括: Ί ^ ! Ik n n n n n 線 (請先閱讀背面之注意事亨名填寫本頁) 經濟部智慧財產局員工消費合作社印製 甲基矽烷 二甲基矽燒 三甲基矽烷 二矽烷甲烷 雙(甲基矽烷)甲烷 1,2·二矽烷乙烷 1,2-雙(甲基矽烷)乙坑 CH3-SiH3 (CH3)2*SiH2 (CH3)3-SiH SiHj-CH2-SiH3 CH3-SiH2-CH2-SiH2'CH: SiH3-CH2-CH2-SiH3 CHrSiH2-CH2-CH2-SiH2-CH3 笫15頁 本纸張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) 408369 A 7 B7 五、發明説明( 經濟部智慧財產局員工消費合作社印製 2.2- 二矽烷丙烷 1.3,5-三矽烷-2.4,6-三亞甲基 1.3- 二甲基二矽氧烷 1.1,3,3 -四甲基二矽氧淀 U-雙(矽亞甲基)二矽氧烷 雙(1-甲基二矽氧烷基)甲烷 雙(1-曱基二矽氧烷基)丙烷 2·4,6,8,Ι0-五甲基環五矽氧烷 U,5,7-四矽坑-2,6-二氡基-4,8-二亞甲基 2,4,6 -三矽烷四氫吡喃 2,5 -二妙燒四氫块喃 及氟化碳衍生物,例如: 三氟甲基矽烷 1,2 -二矽烷四氟乙缔 1.2- 雙(三氟甲基矽烷)四氟乙烷 2.2- 二矽烷六氟丙烷 1,3-雙(碎烷二氟亞曱基)二碎氡烷
SiH3-C(CH3)2-SiHj -(-SiH2CH;-)3-(cyclic) CH3-SiH2-0-SiH2-CH3 (CH3)2-SiH-0-SiH-(CH3)2 (SiH3-CH2-SiH2-)2-〇 (CH3-SiH2-0-SiH2-)2-CH2 (CH3-SiH2-0-SiH2-)2-C(CH3)2 -(-SiHCH3-〇-)5-(cyclic) -(-SiH2-CH2-SiH2-0-)2-(cycUc) -SiH2-CH2-SiH2-CH2-SiH2-CKcycIic) -SiH2-CH2-CH2-SiH2-0-(cyclic) CF3-S1H3 SiH3-CF2-CF2-SiH3 CF3-SiH2-CF2*CF2-SiH2-CF3 SiH3-C(CF3)2-SiH3 (SiH3'CF2-SiH2-)2-〇 雙(1'三氟甲基二矽氧烷基)二氟甲烷(CF3-SiH2-0-SiH2-)2-CF2 2,4,6 -三矽垸四氟吡喃 -SiH2-CFrSiHrCF2-SiH2-CKcycIic) 2,5、二矽烷四氟呋喃 -SiH2-aVCF2-SiH2-0-(cydic) 於有機矽烷和有機矽氧烷中的碳氫群集可以部分的 第16頁 本紙張尺度適用中國國家標隼(CNS ) A4規格(21〇χ297公釐 -------,---^-----訂------^ (請先閲讀背面之注意事項#/填寫本頁) X- 408369 A7 經濟部智慧財產局S工消費合作社印製 發明説明( B7 或全部將C-Η鍵结氟化而轉換成c 有機砂坡和有機碎氧燒化合物都可/結。有許多較佳的 得》而兩種或多種的有機碎境 '易的以商業行為獲 以應用以提供所需特性的^如介電t錢❹合更可加 性,菹瞄豳* ,备恭欣 吊數,含氣量’疏水 4膜應力和電漿蝕刻特性等。 有機矽烷和有機矽氡烷化 辅助J5 i !Ϊ1 δ « ^ 物瑕好在沉積時由電漿 稀助瓦應利用轧礼加以氧化, —资仆-m η、工 乳乳則在沉積製程時分解 氧化—亂(關而得。没有電衆”.氧化二氣不合 和有機矽烷或有機矽氧烷反應 ^ , 匕起有機矽烷和有機矽氧 纪中的鍵結來說,N-0鍵結很釔 „ 易在·低能量時就被打斷。 氧化之化合物則黏著到例如丰 導體基材之圖案層的接觸 表面以形成沉積薄膜。沉猜的落_丄 積的4膜在低壓和溫度從约1 00 到約450X:時固化,且最好 ^ ^ . 仅q 4〇0 c以上以穩足洚膜的 阻障特性a沉積的薄膜且古 存膜具有足夠的碳含量以提供阻障特 性°碳含量則最好包括C-H< C-F鍵結以提供疏水的薄摸 而具有極佳的溼氣阻障特性。 本發明更提供了一個基材處理系統,其具有/容器包 括反應區,於瓦應區中放置基材的基材承載座,和真空系 統。處ί里系統t包含了連接到《器之反應區的氣體/液體分 配系统,以提供有機矽烷和有機矽氧烷化合物,氧化的氣 ,隋性氣體,和耦合到氣體分配系統的RF產生器,以 在反應區中產生電漿,處理系统更包含了控制器,此控 .,器含有電腦以控制容器,氣體分配系統,和RF產生器, 而一記憶體則Μ合到控制器上,此記憶體至少包含了電腦 體,隋 便 制 第17頁 本紙浪尺度逋用中國國家梯準(CNS )八4祕(210X297^7 (請先聞讀背面之注意事項4填寫本頁) ij. -棄. t 'τ Γ A7 B7 五、發明説明() 可使用之媒介’ h電腦可讀出的程式碼,以便選擇製程步 跟而利用有機碎和有機碎氧燒化合物及氧化氣體所產 生的電漿來沉積低介電常數的薄膜 於一實施例中’處理系統更包含了電腦可讀出的程式 碼以便選擇製程步驟而沉積線狀的氧化有機碎化合物’ 沉積不同的介電層,且選擇性的沉積氧化之有機矽化合物 之遮蓋層。 本發明更進一步的描述乃有關於特定的裝置以沉積 本發明的氧化碎層和較佳的氧化碎薄膜層^ CVD電漿反應室範例 本發明之方法中適合的CVD電漿反應室如第2圖中 所示,其為垂直方向,而此平行板化學氣相沉積反應室1 〇 的截面視圖則顯示其具有一高真空區15。反應室1〇包含 一氣體分散噴氣頭丨1以便經由噴氣頭中的多個孔洞將製 程氣體分佈到位於基材支撐平台或晶座12上的基材或晶 圓(未顯示出來)上’而晶座12則由升降馬達14來控制高 度。液fia '/主入系統(未顯tf出來)’例如一般用來注入Τ Ε Ο S 液體’也可作為有機矽烷和有機矽氧烷化合物液體注入之 用。而較佳的甲基矽烷則為氣體。 反應室1 0包括將製程氣體和基材加熱,例如使用阻 抗加熱線圏(未顯示出來)或外部燈源(未顯示出來)。參考 第2圖,晶座1 2係放置於支撐架1 3上使得晶座1 2(及晶 座12之上表面所支撐的晶圓)可以在較低負載/離開負載
第18X 本紙浪尺度適用中國國家標準(CNS ) A4規格(2ί〇Χ297公釐) ----·------裝-- (請先閲讀背面之注意事暑填寫本頁} -訂 線 經濟部智慧財產局SK工消費合作杜印製 經濟部智慧財產局員工消費合作社印製 408369 __ Λ? ^ ----Β7 立、發明説明(' ~ 置和靠近噴氣孔11之上半處理位置之間控制移動。 W 00座1 2和晶圆位於處理位置1 4時’則其會被絕緣 斤園繞且製程氣體排放到喷氣孔2 4之中》於製程 進入貪氣孔1 1的氣體乃均勻的徑向分佈到晶圓的表 面畜 ' 。一真2背浦32具有節流閥來控制反應室中氣體的排 故。 在到達噴氣孔1 1之前,沉積和載氣乃經由氣體線18 入到δ系統1 9並將其結合後送到喷氣孔1 1。選擇性 /微波應用器2 8放置於輸入氣體線上以提供額外的能量 來解離氡化氣體且只解離氧化氣體。微波應用器提供的功 率從〇到6〇〇〇W。—般來說,製程氣體供應線18對每個 製程氣體也包括了⑴安全關閉閑(未顯示出來),可用來自 動的或手動的關閉製程氣體流入反應室中’和(ii)質流控 制器(也未顯示出來)可以測量氣體流過氣體供應線的量。 每製程中使用有毒氣體時,傳統的氣體供應線結構中放置 了許多安全關閉閥。 於反應1: 1 0中所執行的沉積製程可為熱傳製程或電. 衆増強製程。於電漿製程中,所控制的電漿一般靠近晶圓 形成,且由RF電源供應器25將RF能量加到分配的噴氣 頭1 1上(晶座12則接地)。另外,RF功率可提供到晶座i 2 或RF功率可在不同頻率提供到不同的元件上。RF電源供 應器25可提供單頻或混頻之RF功率以加強導入高真空區 丨5之反應氣體的分解效率。混頻之RF電源供應器一般可 供應13.56MHz的高RF頻率(rfi)到分配喷氣孔丨丨及 ____ 笫19頁 本纸張尺度4用中S國家樣準(CNS > A4規格(210X297公釐) ----- ----:---:---裝--------:訂------線 {請先閱讀背面之注意事填寫本頁) 經濟部智慧財產局MR工消費合作社印製 A7 ______B7 五、發明説明() ^ 360ΚΗζ的低RF頻車(RF2)到晶座12。本發明之氧化碎層 最好利用低能階之固定髙頻RF功率或脈衝能階之高頻Rf 功率來製造。脈衝式RF功率最好於約2〇w到約5〇〇W提 供1 3.5 6 Μ Η z之R F功率’而最佳則是從约2 〇 w到約 250W,且為整個循環約1〇%到約30%。固定之RF功率於 約10W到約200W最好提供13.56MHz之RF功率,且最 好從約20W到約1 00W。低功率沉積最好在溫度範圍從約 -20°C到約4〇°C時發生。在較佳的溫度範圍時,沉積薄膜 於沉積時乃部分的聚合化且在接下來的薄膜固化時完全 的聚合化。 當需要額外的氧化氣體解離時,則在氧化氣體輸入沉 積反應室之前輸入從0到3000W的微波功率到選擇性的微 波反應室中。分開的微波功率之加入可在氧化氣體反應之 前避免多餘的矽化合物的解離。當微波功率加到氧化氣體 時,最好使用矽化合物和氧化氣體具不同路徑之氣體分配 板。 一般說來,反應室的内層’分配噴氣孔1 1,晶座1 2, 和許多不同的反應室硬體都由鋁或電鍍鋁所製成,此類 CVD反應室的範例則描述於美國專利第5,〇〇〇,113號之 中,名稱為"Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and
In-situ Multi-step Planarized Process",為 Wang 等人所取 得’且Applied Materials為本發明之受讓人。 升降馬達1 4在製程處理位置和較低、晶圓載入位置 第20頁 本紙張尺度逍用中國S家梂準(CNS ) Α4規格(210Χ297公釐) ----r--.---^------ir—------Μ (請先閲讀背面之注意事^寫本頁} 408369 A7 ______ B7 五、發明説明() 之間將晶座1 2并起和降下。而馬達,氣體混合系統1 9, 和RF電源供應2 5則經控制線3 6由系統控制器3 4加以控 制。反應室包括了類比的組合,例如質流控制器(MFCs) 和標準或脈衝的RF產生器,由系統控制器34經由儲存於 記憶體3 8中系統控制軟體的執行而加以控制,此記憶體 3 8最好是硬碟。馬達和光學感測器則用來移動並決定可移 動式機械組合的位置’例如真空幫浦3 2的節流閥和定位 晶座1 2之位置的馬達。 系統控制器3 4控制C V D反應室的所有作動,jj_控制 器3 4的較佳實施例包括了硬碟,軟碟,及卡夾^此卡爽 包含了單一主機板電腦(SBC)’類比和數位輸入/輸出板, 界面板和步進馬達控制板。系統控制器符合Versa Modular Europeans(VME)的規格標準來定義主機板,卡夾外殼,和 連接器的尺寸及型式。VME標準也定義了匯流排的結構為 16位元資料匯流排和2 4位元位址匯流排。 系統控制器3 4由儲存於硬碟3 8中的電腦程式來操作 控制。電腦程式將指定時序,氣體的混合,RF功率能階, 晶座位置’和其它的相關參數給一特定的製程。至於使用 者和系統控制器之間的溝通界面則經由第3圖中所描續的 CRT螢幕40和光筆44。於較佳實施例中,則使用第二 個螢幕42,第一個螢幕40位於無塵室的牆壁上給操作者 使用’另一個螢幕42則位於牆壁之後專給維修技術人員 使用。此兩個螢幕40,42都顯示相同的訊息,但是只有 一支光筆44可加以使用。在光筆44的尖端具有光線感刻 _ 第21頁 本紙張尺度適用中國國家標準(CNS ) A4規格(2IOX297公釐) ~~~- (請先閲讀背面之注意事I填寫本頁) 裝. 訂 經濟部智慧財產局員工消費合作杜印製 A7 B7 408369 五、發明説明( 器可用來偵測CRT顯+哭於 , — .'、器所發出的光線。如果要選擇特及 的螢幕或功能,則操作去扯+ w , 者將光筆碰觸顯示慕特定的區域击 按下光筆44上面的括缺^、丄— 被碰觸的區域將變成特定的靡 色’或者新的選平_或勞慕合翁_山* “ 瓦恭19顯不出來,以確保光筆和顯牙 幕之間的溝通。 參閱第4圖’製程之程序可利用電腦程式410在例如 系統控制器34上面執行而知以完成。電腦程式碼可利用 任何傳統上電腦可讀取的程式語言例如68〇〇〇組合語言、 C C + +、或pascai來編寫。此類程式碼可利用傳統的文 字編輯器輸入到單一拎奩,+ 丄 』早擋案或多個檔案中,並在電腦可利 用的儲存或執行媒介例如記憶體系統中加以儲存或執 行。假如所輸入的程式碼為高階語言,則程式碼將先經過 編譯,編譯過之程式碼接著和已經過編譯之視窗程式庫常 式目的碼互相連結。為了執行所連結的編譯目的碼,電腦 系統會將此目的碼載入記憶體中,經由cpu讀取和執行此 目的碼來執行程式 第4圖顯不了此電腦程式4丨〇之控制結構的方塊圖。 使用者利用光筆44界面在CRT顯示器40上的顯示選單或 螢幕上設定製程組別號碼和製程反應室號碼到製程選擇 器副常式420中。製程組別為製程參數的預定組別用以執 行特定的製程’並由預定之級别數目所識別。製裎選擇器 副常式420會⑴在一群工具機台中,例如Centura@平台(可 由Applied Materials公司購得),選擇所需的製程反應室, 及(ii)選擇所需的製程參數組別來操作製程反應室,以執 第22貫 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) Γ--.---^-------訂------^ (請先閲讀背面之注意事嚷戎填寫本頁) 經濟部智慧財產局W工消費合作杜印製 經濟部智慧財產局員工消費合作社印製 4〇83β9 Α7 -— ____ 五、發明説明() 行所需的製程。執行特定製程的製程參數例如製程氣體之 紐合及流量’溫度,壓力,RF偏壓功率能階和磁場功率 能階等電漿條件,冷卻氣體壓力,和反應室壁的溫度等等 都為使用者的製程配方。此配方所指定的參數則利用光筆 /CRT顯示器界面輸入。 監督製程的訊息都由系統控制器的類比輸入和數位 輸入板所提供,而製程控制訊息則由系統控制器34的類 比輸出和數位輸出板來輸出。 氣程序列器副常式4 3 0包含程式碼來接收製程選擇器 常式420已識別的製程反應室和製程參數組,以控制不同 之製程反應室的操作^多個使用者可輸入不同的製程组別 數目和製程反應室數目’或者相同的使用者也可輸入多筆 製程反應室數目,序列器副常式430以所需的順序將選定 的I紅分別執行。序列器副常式4 3 〇最好包括電腦可讀取 的程式碼來執行下列的步驟(i)監督製程反應室的操作來 決定是否反應室已使用過,及(ii)決定什麼樣的製程在已 使用的反應室中已經加以執行,及(Ui)以可使用之製程反 應室和所要執行的製程型態為基礎來執行所需的製程。傳 統上監督製程反應室的方法也可加以利用,例如輪詢法。 當安排所要執行的製程時,序列器副常式43〇可設計成考 慮使用中之製程反應室的現有狀況,並和選定製程所需之 製程條件比較,或和每個使用者所輪人的特殊需求之”時 間,,作比較,或和系統程式設計者所要包括之排程順序相 關的任何參數作比較。 __第 23 頁 本紙張尺®•適用中 1國家標準(CNS )八4祕(210X 297公______ -----τ-----裝---------訂------Μ (請先閱讀背面之注項J填寫本頁} 408369 A7 B7 經濟部智慧財產局員工消費合作社印教 五、發明説明() 一旦序列器副常式430決定哪個製程反應室和製程組 之组合接著要執行,則序列器副常式430將此特別的製程 絚參數傳給反應室管理副常式44〇來執行此製程,反應室 管理副常式440依照序列器副常式430所決定的製程组來 控制製程反應室I 〇中的多個處理工作。例如,反應室管 理副常式440包含了程式碼來控制製程反應室1〇中的 CVD製程操作《反應室管理副常式440也控制了不同之反 應室元件副常式的執行,其控制了需要執行選擇之製程组 之反應室元件的操作。反應室元件副常式之範例為晶座控 制副常式450,製程氣體控制副常式460,壓力控制副常 式4 7 0,加熱器控制副常式4 8 0 ’和電漿控制副常式4 9 〇。 熟悉此項技藝的人應該可以很容易的瞭解,如果反應室i 〇 中要執行其它的製程,則可包括其它的反應室控制副常 式。 於操作中,反應室管理副常式440依照所執行的特別 製程组選擇性地安排或呼叫製程元件副常式。和序列器副 常式430安排哪個製程反應室1 〇和製程组別將在下次執 行類似地’反應室管理副常式440也以同樣方式安排製程 元件副常式。典型地,反應室管理副常式44〇包括監督不 同反應室元件的步驟’以製程組別所要執行的製程參數為 基礎’決定哪個元件需要操作,並且基於此監督和決定的 步驟來執行反應室元件副常式。 特殊反應室元件副常式的操作現在參考第4圖來描 述。晶座控制放置常式450包含程式碼以控制使用的反應 笫24頁 尺度適用中國國家搮準^CNS ) A4規格(2丨0乂297公€ — --- I----.------^------,訂------線 (請先閣讀背面之注意事項^填寫本頁) 4〇8369 a? B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 主元件將晶圓載入晶座1 2 ’並選擇性的將反應室1 0中的 基材舉起到所需的高度來控制基材和氣體分配喷氣孔i i 之間的距離。當基材載入反應室1 〇中時,晶座丨2會降低 來承接基材,之後,晶座1 2將升到反應室中所需的高度, 並在CVD製程中讓基材和氣體分配噴氣孔η維持一定的 距離。於操作中,晶座控制副常式4 5 〇由反應室管理副常 式440所傳來的製程組參數來控制晶座1 2的移動。 製程氣體控制副常式460具有程式碼以控制製程氣體 的組成及流量。製程氣體控制副常式46〇控制安全關閉閥 門的開啟/關閉位置,並可將質流控制器上/下調整以得到 所需的氣體流動率。製程氣體控制副常式460由反應室管 ί里副常式440而得,其它所有的反應室元件副常式亦為如 此’且接收來自反應室管理副常式有關氣體流量率的製程 參·數。典型地,製程氣體控制副常式460由開啟氣體供應 線來操作,且重覆地⑴讀取需要的質流控制器,(ii)將讀 到的讀數和反應室管理副常式440所接收到的所需流量作 比較’和(iii)調節氣體供應線的流量到所需的程度。再者,· 製程氣體控制副常式460包括了監督不安全之氣體流量的 步驟’並在偵測到不安全的情況時啟動安全關閉閥門, 於某些製程中,氦或氬氣的隋性氣體乃流入反應室10 中以便在反應室製程氣體導入反應室前將反應室中的壓 力穩定。對這些製程來說,製程氣體控制副常式460乃程 式化並包括將隋性氣體流入反應室丨〇 一段時間,以便將 反應室中的壓力穩定,且接著上面所描述的步驟便可加以 _^第25頁 r--;---裝-- (請先閲讀背面之注意事填寫本頁) 訂 線 本紙从適用中關家揉準(CNS ) A4it^ ( 21GX297公釐) A7 B7 40836 五、發明説明( 實行。另外,當製程氣體由液體前導氣化時,例如由13 $ 三矽烷-2,4,6-三亞甲基(即丨,3,5_三矽烷環己烷),製程氣 體控制副常式460將寫入包括在發泡組合中把氦氣等輸= 氣體在液體前導中泡沐化的步驟。在此類製程中,製程氣 體控制副常式460會調節輪送氣體之流動,發泡器中的壓 力,和發泡器的溫度,以便得到所需的製程氣體流率。如 上面所描述的,所需的製程氣體流率乃傳送到製程氣體控 制副常式460作為製程參數。再者’製程氣體控制副常式 460由進入包含了所需之製程氣體流動率數值的儲存表而 得到所需之製程氣體流動率相關的輸送氣體流動率,發泡 器壓力,和發泡器溫度等步騾。一旦得到所需的數值,則 輸送氣體流率,發泡器壓力和發泡器溫度將加以監控,和 所需的數值比較後並依此調整。 壓力控制副常式470包含了程式碼來調節排故寶浦 3 2中節流閥的開口大小,以控制反應室丨〇中的壓力。節 流閥開口的大小乃加以設定,以便控制反應室的壓力到達 所需的位階,並和全部的製程氣體流量,製程反應室的大 小,和排放幫浦32之設定點壓力有關。當利用壓力控制 βϋ常式470時’則由反應室管理副常式440可得到需要 的,或目的壓力位階參數。壓力控制副常式47〇可由讀取 一或多個連接到反應室中的傳統壓力計來測量反應室 中的壓力,將測量值和目的壓力作比較,則從相當於目的 壓力的儲存壓力表得到PID(比例,積分,和微分)值,並 依壓力表所得到的PID值來調整節流閥。另外,壓力控制 第26頁 --------:---^------ir------^ c请先閲讀背面之注意事項^^寫本頁) 經濟部智慧財產局8工消費合作杜印製 經濟部智毪財產局資工消費合作社印製 4〇8369 A7 ---—_B7___ 五、發明説明() ' - 副常式470可開啟或關閉節流閥到特殊的開口大小以調節 反應室1 0中的壓力。 加熱器控制副常式480包含程式碼以控制用來加熱晶 座12的加熱模組或幅射熱的溫度,加熱器控制副常式 也由反應室管理副常式440而得’並接收目的溫度和設定 點溫度的參數。加熱器控制副常式48〇測量位於晶座 中熱電耦的輸出電壓而得到溫度’並和設定點溫度作比 較,然後根據結果増加或減少加到熱模組的電流來得到設 定點溫度。此溫度的獲得係從量測到的電壓並參考轉換表 中相對的溫度而得,或利用四階的多項式而計算出溫度。 加熱器控制副常式480將逐漸控制加熱模組的電流升降。 而逐漸的升降電流則可增加此加熱模組的壽命和信賴 度。另外’可包括内建失效和安全模式以偵測製程安全, 且假如反應室1 0没有適當的設定時可關閉加熱模組的操 作。 電漿控制副常式490包含程式碼以設定RF偏壓功率 到反應室10中的製程電極上,且選擇性的設定反應室中 所產生之磁場的能階。類似於先前所描述的反應室元件副 常式,電漿控制副常式49〇也由反應室管理副常式44〇而 來。 上述的C V D系統描述主要乃為例舉之目的’其它的 電漿CVD設備如電極循環共振(ECR)電漿CVD元件,感 應耦合RF鬲密度電漿CVD元件,或其它類似的系統都可 以使用。另外,上述之系統的變化如晶座設計的變化,加 _ __ 第 本^度適用中國围家揉準(CNS )7^7^/297公着了~----- ^---:---^------------Μ (請先聞讀背面之注意事填寫本頁) ^08369 經濟部智慧財產局員工消費合作社印製 A7 B7 五'發明説明() 熱器設計’ RF功率連接位置和其它的變化都是可能的。 例如’晶圓可由阻抗性加熱晶座所支撐及加熱。本發明之 預處理和形成預處理層的方法並不限制在特定的裝置或 住何特定的電漿激發方法。 三層缝味充填製程中氧化有機矽坑或有機矽氧统介電層 之沉積 本發明之氧化的有機矽烷或有機矽氧烷層可在第5圖 中利用第2圖的PECVD反應室所顯示的三層缝隙充填製 中使用。參考第5圖’放置晶圓200到反應室10中, 且低介電常數的氧化有機矽烷層以包含有機矽烷化合物 及/或有機碎氧烷化合物電漿之PECVD製程沉積205。沉 積步驟205可依照習知技術包括製程反應室丨5中的電容 耦合電漿或電感及電容耦合電漿兩者。隋性氣體如氦氣一 般用於P E C V D沉積中辅助電漿的產生。缝隙充填層接著 利用已知的方法沉積2 1 〇於線狀層上。缝隙充填層最好可 自行平坦化,如自旋聚合物或氧化物以液態形式由甲基梦 烷和過氧化氫反應而沉積。一遮蓋層接著沉積215於缝隙 无填層之上,且最好利用沉積線狀層相同的製程。晶圓接 著從反應室10移除220。 參考第6A-6E圖’三層縫隙充填製程提供了 PECvd 氧化的有機矽烷或有機矽氧烷聚合物線狀層3〇〇。線狀層 300的作用如同接了來的縫陈充填$ 3〇2和底層之基材表 面304和形成於基材表面的金屬線3〇6, 3〇8, 31〇之間的 第28頁 本紙張尺度適用中國國家標準(CNS ) A4劫& j----------:—.---裝— (請先閲讀背面之注^填寫本I ) 訂 線 經濟郜智慧財產局員工消費合作社印製 4〇8369 A7 _______ B7___ 五、發明説明() ^ 隔離層。縫隙充填層302則由氧化的有機矽烷或有機#〜 烷聚合物的PECVD遮蓋層3 1 2所遮蓋。此製裎利用c 反應室10儲存於電腦控制器34之記憶體38中的電腦^ 式來控制和完成。 參閱第6A圖,PECVD線狀層300利用氧化氣體如 N20,有機矽烷或有機矽氧烷化合物如 CH3SiH & (CHshSiH2,和載氣如氦氣的導入在反應室1〇中沉積。基 材在整個PECVD線狀層沉積過程中維持在大約-201到約 400°C,且最好維持在約-2〇t:到4〇°C的溫度。PECVD線 狀層3 00的沉積係利用有機矽烷及/或有機矽氧烷化合物 在約5sccm到約50 0sccm的流量’和氧化氣體在約5scem 到約200Osccm之製程氣體的混合。製程氣體由隋性氣體 如He ’ Ar ’ Ne ’或較偏隋性氣體的氮氣所攜帶,流量從 約0.2到約2 Ο 1 p m ’且一般不會和薄嫉結合。製程氣體從 约0.2到約20 Torr的壓力時反應,JL最好是小於1〇 Torr , 以便在基材表面304和金屬線306,308’ 310之上形成均 勻的氧化矽層。此反應為電漿增強反應,功率密度範圍則· 從0.05W/cm2到1 OOOW/cm2,最好是小於1 W/cm2的功率 密度’而最佳的範圍則從約0.1到約〇_3W/cm2。 對於8"的單晶圓反應室來說,大約1 3.56MHz的高頻 RF電源功率最好連接到氣體分配系統且以約〖〇到約 500W的功率來驅動,而大約35〇κΗζ到1MHz的低頻RF 電源功率則選擇性的連接到晶座上且以約〇到约100W的 功率驅動。在一較佳實施例中,高頻之RF電源功率則以 ___ 第29頁 { CNS ) ( 210X297/^* ) ~ --------:---装---^--—IT------^ f碕先閲讀背面之注填寫本頁) 經濟部智慧財產局員工涓費合作社印裝 A7 B7 五、發明説明() 約20W到約25 0W的脈衝RF功率來驅動,且低頻RF電 源功率以約〇到約50W的脈衝功率在丨〇%到3〇%的操 作循環中驅動。脈衝RF功率最好有短期間的循環,而最 佳的循環頻率為小於約200Hz。當高頻RF功率固定時, 功率能階的範圍最好從約20 W到約1 〇〇 w。 氧化的有機矽烷或有機矽氧烷層接著在壓力小於約 1 〇 Torr ’溫度從約1 〇〇。(3到約4501時固化。另外,固化 也可選擇性的在額外的介電層沉積之後才實施。 上述的製程條件對於第6B圖中所示的線狀層300之 後的縫隙充填層302來說’可產生具改善之阻障特性的 PECVD線狀層300的沉積(沉積速率約為每分鐘2〇〇〇人)。 從甲基矽烷所得到的線狀層具足夠的C_H鍵結而可具疏 水性’且具極佳的溼氣阻障特性。疏水的線狀層之沉積對 之後的親水層轉換成疏水層會有令人意想不到的結果。 縫隙充填層302的製程氣體最好為SiH4,CH3SiH3, 或(CH^SiHs和50%重量百分比的過氧化氩(h2〇2),並且 利用隋性載氣’例如氦氣來加以蒸發並混合。然而,缝隙. 充填層可為任何具有適當之介電常數的介電層。假如副產 物在適當的沉積條件蒸發時也可使用替換的含较化合 物。較佳的替換化合物結合了矽氧烷或矽烷群集,例如, 三甲基矽烷 二矽烷甲烷 雙(甲基矽烷)甲烷 1,2-二矽烷乙烷
第 3CTT 本紙張尺度逋用中菌囷家揉隼(CNS ) A4規格(210X297公釐) --—---1 ΐ —Jill 裝 — ^^1—_ 訂 I 1 線 (請先閲讀背面之注意事填寫本頁) 408369 經 濟 部 智 慧 財 產 局 消 費 合 社 印 製 Α7 五、發明説明() 2,2 -二矽烷丙烷 1,3,5-三矽烷-2,4,6-三亞甲基(環狀) 1,3-雙(矽烷亞甲基)矽氧烷 雙(丨-甲基二矽氧烷基)甲烷 2,4,6,8-四甲基環四矽氧烷或 1,2 -二矽烷四氟乙烷 製程氣體流量範圍的氦氣為從0-2000 sccin,CH3SiH3 為it 10-200sccm,和h2〇2為從0.1到3g/min»較佳的氣 體流量範圍則為氦氣從1〇〇_50〇 seem,CH3SiH3從20-1 〇〇 seem,和H2〇2從0_ 1到1 g./min。這些流量為反應室的體 積約為5.5到6 5升時的氣體流量。反應室1 〇最好在沉積 縫隙充填層302時維持約〇·2到約5 Torr的壓力。缝隙充 填層302如第6C圖中所示的加以部分固化以便在第6D圖 所示的沉積遮蓋層3 1 2之前移除水氣等溶劑。在反應室i 〇 中的固化則由加入隋性氣體壓力在1 〇 i〇rr以下而完成。 由甲基矽烷所製造的縫隙充填層一般為親水性且具. 有較差的溼氣阻障特性。當其沉積於由甲基矽烷所製造的 線狀層之上時,由甲基矽烷所製造的縫隙充填層竟然為疏 水的且具有極佳的溼氣阻障特性。 參閱第6D圖,在縫隙充填層3〇2沉積之後,本發明 之反應室10則繼續的沉積氧化的有機矽坡或有機矽氧虼 層作為遮蓋層3丨2。參閱第6E圖,在遮蓋層沉積之後,Z 果有需要的話,則將沉積的薄膜層放置於反應爐或另外的 第Μ頁 本紙張尺度適用中國國家揉準(CNS ) Α4規格(2Ι0Χ297公釐} ------;---裝---1--—訂丨.-----線 (請先閱讀背面之注意事f填寫本頁) 經濟部智慧財產局員工消#合作社印製 «08369 A7 _B7 五、發明説明() 反應室中,溫度保持從約1 00°C到約45 0°C以驅離剩下的 溶劑或水份。而製程條件自然也會根據沉積薄膜層的特性 加以改變β 雙童鑪甚結嫌的沉積 包括氧化的有機矽烷或有機矽氧烷層且作為蝕刻中 止或内金屬介電層之雙重鑲嵌結構則顯示於第7圖中。當 氧化的有機矽烷或有機矽氧烷作為蝕刻中止層時,第一介 電層510先沉積於基材512之上,且接著氧化的有機矽烷 或有機矽氧烷蝕刻中止層514沉積於第一介電層之上並加 以固化。接著將蝕刻中止層514圖案化蝕刻而形成接觸窗 /介層洞開口 5 1 6。第二介電層5 1 8接著於圖案化之蝕刻中 止層上沉積,且接著以傳統方法將其蝕刻圖案化以定義出 内連線520。然後執行單一蚀刻製程以定義内連線一直到 蝕刻中止層,且蝕刻到由圖案化蝕刻中止層所曝露的介電 層以定義出接觸窗/介層洞。 再一次參閱第7圖’鑲嵌結構另外也包括了氧化的有. 機碎烷或有機矽氣烷層作為内金屬介電層。第一介電層 5 1〇 ’最好由氧化的有機矽烷或有機矽氡烷所組成,乃沉 積於基材512之上,且接著一傳統的氧化矽’氮化矽,或 氫化碳化矽蝕刻中止層514沉積於第一介電層之上3蝕刻 中止層接著圖案化以定義接觸窗/介層洞開口 516。第二介 電層518,由氧化的有機矽烷或有機矽氧烷所组成,接著 '儿積於圖案化的蚀刻中止層之上1接著圖案化以定義出 _ 第32頁 本紙張尺度迷用>國國家轉(CNS )----~ n J. i*— I I 裝 I I I I __ 訂 I n n· ^ {請先聞讀背面之注意Ϋ填寫本頁) 408369 A7 ______B7_ 五、發明说明() 内連線5 2 0。然後執行單一蝕刻製程以定義内連線—直到 蝕刻中止層,且蝕刻到由圖案化蝕刻中止層所曝露的介電 層以定義出接觸窗/介層洞。 依照本發明所製造之較佳雙重鑲嵌結構包括第8h圖 中所示的線狀層,且製造此結構的方法則於第8A_8H圖中 依序加以繪圖描述,其為具有本發明之步驟的基材截面視 圖。 如第8A圖中所示的,最初第一介電層51〇,例如聚 對-二甲笨基,FSG,氧化矽,或類似的材料,乃沉積於基 材5 1 2之上約5000到約1 0000A,並和所製造的結構大小 有關。如第8B圖中所示的,氧化的有機矽烷或有機矽氧 烷材料之低k蝕刻中止層5 14,接著利用低能階的RF功 率沉積約200到約1 000A的厚度到第—介電層之上。然 後,低k蝕刻中止層5 1 4蝕刻圖案化以定義出接觸窗/孔洞 開口 516並曝露出接觸窗/孔洞所要形成的第一介電層510 區域,如第8C圖中所示。低k蝕刻中止層514最好是利 用傳統的微影蝕刻製程並利用氟,碳,和氧離子加以蝕刻· 圖案化。在低k蚀刻中止層5 1 4已經蝕刻且形成接觸窗/ 孔洞之圖案而光阻也移除之後,則第二介電層5 1 8如第8D 圖中所示以約5000到約1 0000A的厚度沉積於蚀刻中止層 514之上。第二介電層518接著圖案化定義出内連線5 20, 且最好是利用傳統的微影製程及光阻層522,如第8E圖中 所示。内連線和接觸窗/介層洞接著利用反應性離子蚀刻或 其它的非等向性蝕刻技術加以蝕刻而定義出金屬層结構 第33百 本紙張尺度適用中國S家標率(CNS〉A4規格(210X2们公釐) ™ (請先閱讀背面之注意事填寫本頁) -裝· • - 丁 _ 經濟部智慧財產局員工消費合作社印製 A7 ----------- B7_ 五、發明説明() (也就是’内連線和接觸窗/介層洞)’如第8F圖中所示。 4何用來圖案化蝕刻中止層514或第二介電層5丨8的光阻 或其它材質則利用氧氣剝離或其它適合的方法加以移 除。 金屬層結構接f利用導電性材料如鋁,銅,鎢或其組 »疋素形成》現在的趨勢為利用銅來形成較細的導線特 徵且飼具有較低之電阻率(和銘的3.1仁Q-Cm比較起來 ^、有I·7仁Ω-cm)»如第8Θ圖中所示的,適當的阻障層 5 24例如氮化短最好先在金屬層圖案中均勻的沉積以避免 鋼原子遷移到周圍的矽及/或介電材料層。之後,銅526 利用化學氣相沉積法’物理氣相沉積法,電予被覆法,或 其组合方法來形成導電結構^一旦結構中填入銅或其它的 金屬’則其表面將利用化學機械研磨法加以平坦化,如第 8 Η圖中所示。 ^JL3之笳續 經濟部智慧財產局員工消費合作社印製 包括氧化的有機碎坑或有機等氧現廣之雙重鑲嵌緒 構作為前金屬介電層和内金屬介電層之間的黏著層則顯 示於第9圖中。氧化的有機矽烷或有機矽氧烷黏著層612 如傳統的PSG或BPSG層則沉積於前金屬介電層61〇之上 並固化内金屬介電層614,最好是低k介電聚合物層, 接著沉積於黏著層612之上。一傳統的氧化矽或氮化矽蝕 刻層616接著利用傳統方法定義介層洞620。第二内金屬 介電層622’最好是低k介電聚合物層,接著沉積於圖案 __ 第34頁 本紙張尺度遑用中國國家揉準(CNS ) A4規格(21〇χ297公釐) 4〇8369 A7 B7 經濟部智慧財產局員工消費合作杜印製 五、發明説明( 化lii刻中止層並圖妾介d令呈 _茉化以疋義出内連線。然後執行單一蝕 刻製程以定義内連線一直f丨丨钻 直幻钱刻中止層,且蚀刻到由圖案 化蝕刻中止層所曝露的介骨麻 丨電層以便在金屬化之前定義出 接觸窗/介層洞。 依照本發明之較佳的雙重 * 叉里银欣結構包含黏著層則顯 示於第10H圖中,且產生迚处姐1
座生此、4構的万法則在第1 0A-1 0H 圖中順序描讀出來,其a其只、a . 、:基材疋截面視圖並具有本發明之 實施步驟。 如第10A圖中所示的,具、 最初的弟一内金屬介電層710
如聚對-二甲苯基’ FSG ’氧化 + _ . . M 乳化硬’或類似疋材料,乃沉積 於基材7丨2之上約5000到約1〇〇〇〇八的厚度,並和所製造 的結構大小有關。如第_圖中所示的,氧化的有機矽烷 材料之低k黏著層714,接菩.v接认贫 , „ 伐贵,儿積於第一内金屬介電層710 之上約5 0到約2 0 0 A的厚麼。使社s儿a上Λ 予反傳統的乳化矽或氮化矽蝕刻 中止層716接著沉積於黏著層714之上约5〇到約2〇〇α的 厚度。氧化的有機錢廣之第二低k黏著層718接著沉積 於蝕刻中止層716之上約50到约2〇〇八的厚度。蝕刻中止 唐716和黏著層714’ 718接著加以蝕刻圖案化以定義出 接觸窗/介層洞開口 720並曝露出第一内金屬介電層71〇 位於接觸窗/介層洞的區域,如第1〇c圖中所示。蝕刻中 止層716最好利用傳統之微影製程和蝕刻技術以氟,碳, 和氧離子來蝕刻圖案化。在蝕刻中止層716和黏著層 714, 718已蝕刻形成接觸窗/介層洞圖案且光阻層也剝離 之後,第二内金屬介電層722則沉積於第二黏著層718之 第35頁 本紙張尺度適用中國國家揉準(CNS ) A4说格(210X297公釐) ----7--,---^-------訂------^ (請先閲讀背面之注意事f填寫本頁) 408^69 A7 B7 五、發明説明( 上約5000到約10_A的厚度,如第i〇d圖中所示。第二 内金屬介電層722接著圖案化而定義出内連線,且最 好利用傳統的微影製程以光阻層726形成,如第t⑽圖中 所^内連線和接觸窗/介層洞接著利用反應性離予触刻或 其它的非等向性㈣技術加以蝕刻而定義出金屬層結構 (也就是,内連線和接觸窗/介層洞),如第1〇F圖中所示。 任何用來圖案化蚀刻中止層716或第二内金屬介電層722 的光阻或其它材質則利用氧氣剝離或其它適合的方法加 以移除。 金屬層結構接著利用導電性材料如銘,銅,爲或其组 合元素形成。現在的趨勢為利用銅來形成較細的導線特 徵’且銅具有較低之電阻率(和銘的31"比較起來 只有1.7^-cm)。如丨10G圖中所示的,適當的阻障層 728例如氛化輕最好先在金屬廣圖案中均勾的沉積以避免 銅原子遷移到周圍的矽及/或介電材料 用化學氣相沉積法,物理氣相沉積法,電子被 組合方法來形成導電結構一I,结構真入銅或其它的金 屬,則其表面將利用化學機械研磨法加以平坦化,如第i〇h 圖中所示。 另一個較佳的雙重鑲嵌結構包含不同介電常數的氧 化矽層以抵抗鍍層之間的串擾訊號,且如第llA_nD圈中 所示加以沉積,此些圖形為基材之截面積視圖且具有本發 第36頁 本紙張尺度逍用中圉國家揉準(CNS ) A4規格(210X297公釐) (請先閣讀背面之注意事f填寫本頁) -裝· 、?! 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 4〇S369 A7 _____B7五、發明説明() 明之實施步驟。 如第11A圖中所示,具有碳含量大於2〇%原子重量百 分比的介層洞等級之介電層81〇乃沉積於基材812之上約 5000到約10000A的厚度,並和所製造之結構大小有關。 介層洞等級之介電層最好利用反應氣體Να和三甲基碎 烷於500W的RF功率能階並在10%的操作循環中沉積。 如第1 1 A圖中所示,介層洞等級之介電層接著以傳統之微 影和蝕刻製程以咼碳材料,並利用氟,碳,和氧離子蝕刻 圖案化以形成介層洞和接觸窗孔洞8丨4。 如第1 1 B圖中所示的,具碳含量小於丨〇%原子重量百 分比之溝渠等級介電層822則沉積於介層洞等級之介電層 810上約5000到約10000a的厚度。溝渠等級之介電層最 好利用反應氣體NaO和甲基矽烷於500W的RF功率能階 並在30%的操作循環中沉積。溝渠等級介電層822接著蝕 刻圖案化以定義内連線824如第1 1B圖中所示,並利用傳 統的對低碳材料有效但對高碳材料無故之微影和蝕刻製 程。任何用來蝕刻介電層之光阻或其它材料則利用化學機 械研磨法,氧氣剥離,或其它適合的方法加以移除^ 金屬層結構接著利用導電性材料如鋁,銅,鶴或其組 合元素形成。現在的趨勢為利用銅來形成較細的導線特 徵,且銅具有較低之電阻率(和鋁的比較起來 只有1.7// Ω -cm)。如第lie圖中所示的,適當的阻障層 828例如氮化钽最好先在金屬層圖案中均句的沉積以避免 銅原子遷移到周圍的矽及/或介電材料層,之後,销元素 ___ _ 第37頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公羞_了 ~--- -------.---裝---,---^訂---------線 (請先聞讀背面之注意事項r填寫本頁) A7 _____B7 五、發明説明() 8 3 0利用化學氣相沉積法,物理氣相沉積法,電子被覆法, 或其組合方法來形成導電結構,如第丨丨D圖中所示。一旦 結構中填入銅或其它的金屬,則其表面將利用化學機械研 磨法或其它的乎坦化方法加以平坦化α 本發明將更以下列之範例加以描述之。 範例
接下來氧化的有機碎燒或有機妙氧燒薄膜層之沉積 範例和展示具有極佳的阻障和黏·著特性。此範例係利用化 學乳相沉積反應主加以貫施’特別是一種稱作” £ ν T U R A
DxZ"的系統’其包括了固態RF符合單元並具有兩部分的 石英處理元件,此兩者皆由Applied Materials,Inc” Santa Clara,California所製造及販賣。 非脈》RF功阜 氧化的二甲基矽烷薄膜係在反應室壓力3.0 Torr,溫 度I5C及下列流入反應室中的氣體而沉積的,其中反應氣 體的流量為. 二甲基矽烷, ,(CH3)2SiH2, 於 5 5 seem 一氡化二氮’ -n2o 於 3 00 seem 氦,He, 於 4000sccm 基材和氣體分配噴灑頭的距離為600mil,且加上2〇W的 _ 第38頁 本紙張尺度逋用中國國家標準(CNS ) A4規格(210Χ2ί»7公釐) (請先聞讀背面之注意事乎4填寫本頁) -裝. -訂 經濟部智慈財產局員工消费合作社印製 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 高頻功率(13MHz)到噴灑頭作為氧化的二甲基矽烷之電漿 增強沉積。氧化的二甲基矽烷材質具有約2 · 5的介電常數 並為疏水性。 假設的&钏 接下來的假設範例描述了本發明之氧化的有機矽烷 或有機矽氧烷薄膜的沉積。此些範例係為先前的範例中所 描述的化學氣相沉積反應室。 脈衝式RF功率 氡化的1,3,5-三矽烷-2,4,6-三亞甲基(環狀)薄膜係在 反應室壓力3,0 Torr及溫度1 5 °C之下,由下列流入反應室 中的氣體而沉積的,其中: 1,3,5-三矽烷-2,4,6-三亞甲基,-(-3旧2012-)-((^1叫,於 20 seem 一氧化二氮,N〗◦,於 300sccm 乱 ’He 於 4000scciri 基材和氣體分配噴灑頭的距離為6〇〇mU,並加上50W 的脈衝尚頻功率(13MHz)到噴i麗頭以作為ι,3,5·三矽坑· 2,4,6-三亞曱基層之電漿増強沉積。 腺衝式RF功率 氧化的1,3 -二曱基二碎氧烷薄膜於反應室壓力30 ^_ 第39頁 ^紙張尺度通用中國國家標準(CNS ) A4规格(210X297公釐) -------<---裝------一訂--^-----線 {請先閱讀背面之注意事項再填寫本頁) 408369 A7 B7 五、發明説明() Torr和1 5 °C的溫度下,由流入反應室中的反應氣體而沉 積,其中: U-二甲基二矽氧烷,CH3-SiH2-0-SiH2-CH3,於 一氧化二氮,N20,於 氦,He,於 3 Osccm 3 OOsccm 4000sccm 基材和氣體分配噴灑頭的距離為600mil,並加上50W的 脈衝高頻功率(1 3 MHz)到噴灑頭以作為氧化的二曱基二矽 氧烷層之電漿增強沉積。 微波/RF功率 氧化的1,3 -二甲基二矽氧烷薄膜係在反應室壓力 3-OTorr,溫度15 °C時,由流入反應室中的反應氣體而沉 積,其中: 請 先 聞 讀 背 & 之 注 項, 苒 填 寫 本 頁 裝 訂 經濟部智慧財產局員工涓費合作社印製 1,3-二甲基二矽氧坑(CH3-SiH2-0-SiH2-CH3)於 30sccm ' 一氧化二氣,Ν20,於 300sccm 氦,He,於 4000sccm 於進入反應室之前,一氧化二氮先在微波器中以 2000W的微波能量解離。基材則和氣體分配噴灑頭距離 600mU ’且50W的高頻RF功率(13MHz)則在循環時加到 噴灑頭上作為氧化的二甲基二矽氧烷層之電漿增強沉 第40頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^08369 a? B7 五、發明説明() 積。每個循環則在30°/。的循環中提供RF功率。 述 例的所 施同下 實不如 佳多則 較許圍 的有範 W 仍 # 發下專 本疇請 為d 申 4Λ-ε ΐ 只—之 例I明 範基發 述之本 上明而 發。 本施 而 然 施 實 離實 偏以 不據 在可 例 ----:—;---t.— (請先聞讀背面之注意事is4填寫本頁) -丁 經濟部智慧財產局員工消費合作社印轂 頁 1 4 第 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)

Claims (1)

  1. ABCD 4〇8369 專利範圍 ----—1---裝— ·f (请先閱讀背面之注意事項-?4寫本買) • ~'種沉積低介電常數薄膜的製程,該製程至少包含將一 種或多種含碳之矽化合物和氧化氣體反應,並在固定的 功率能階約1 0W到約200W,或脈衝RF功率能階從 约2〇w到約500W時反應。 2’如中請專利範固第1項所述之製程,其中在每個硬化合 物中的每個矽原子乃和一或多個碳原子和至少—個氮 原予鍵結,且其中該相同分子中的兩個或多個矽原子係 由不超過兩個碳原子或不超過一個氧原子所分隔。 輕濟部中央樣準局員工消費合作杜印袋 如中請專利範圍第1項所述之製程,其中上述之矽化合 物係選自甲基矽烷、二甲基矽烷、三平基矽烷、二矽院 甲塊、雙(甲基矽烷)甲烷、1,2-二矽烷乙烷、1,2-雙(甲 基矽烷)乙烷、2,2-二矽烷丙烷、1,3,5-三矽烷-2,4,6-三 亞甲基、1,3-二曱基二矽氧烷、1,1,3,3-四甲基二矽氡 坡、1,3-雙(矽亞甲基)二矽氧烷、雙(1-甲基二矽氧烷基) 甲坡、2,2-雙(1-甲基二矽氧烷基)丙烷、2,4,6,8, 10-五甲 基環五矽氧烷、1,3,5,7-四矽烷-2,6·二氧基,4,8-二亞甲 基、2,4,6 -三碎燒四氫也喃、2,5 -二碎燒四氫咬味、氟化 碳衍生物及其組合。 4.如_請專利範圍第1項所述之製程,其中上述之氧化氣 體在和矽化合物混合之前就已解離。 第42瓦 本紙張尺度逋用中國國家揉準(CNS ) Α4規格(2〖〇Χ297公釐) 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 5.—種沉積低介電常數薄膜之製程’該製程至少包含: 沉積均勻之線狀層於圖案化的金屬層上,其製程氣 雜至少包含一或多種碎化合物及氧化氣體,固定的RJ7 功率能階從約1 〇W到約2〇〇W或脈衝RF功率能階從約 20W到約500W,其中该矽化合物至少包含了碳;及 沉積縫隙充填層於線狀層之上。 6 ·如申請專利範圍第5項所述之製程,其中在每個矽化合 物中的每個矽原子乃鍵結到一或兩個碳原子和至少一 個氫原子上,且其中於相同分子中的矽原子並非被兩個 以上的破原子或一個以上的氧原子所分隔。 7.如申請專利範圍第5項所述之製程,其中上述之矽化合 物係選自甲基矽烷、二甲基矽烷、三甲基矽烷、二矽烷 甲烷、雙(甲基矽烷)甲烷、1,2-二矽烷乙烷' ι,2-雙(甲 基矽烷)乙烷、2,2-二矽烷丙烷、1,3,5-三矽烷-2,4,6-三 亞甲基、1,3-二甲基二矽氧烷、1,1,3,3-四甲基二矽氧 烷、1,3-雙(矽亞甲基)二矽氧烷、雙(1-甲基二矽氧烷基) 曱烷、2,2-雙(1-甲基二矽氧虼基)丙烷、2,4,6,8,10-五甲 基環五碎氧娱》、1,3,5,7 -四梦境>-2,6 -二氧基-4,8 -二亞甲 基、2,4,6-三碎燒四氫也南、2,5·二碎燒四氫吹喃、氟化 碳的衍生物及其组合。 8-如申請專利範圍第5項所述之製程,其中上述之氧化氣 第43頁 本紙張尺度適用中國國家標準(CNS ) Α4洗格(210Χ297公釐) ~ -------------裝------訂------線 (谙先閲讀背面之注意事項再填寫本頁) ^08369 8 8 8 8 ABCD 經濟部智慧財產局員工涓費合作社印製 六、申請專利範圍 體在和該矽化合物混合前就已解離。 9.如申請專利範圍第5項所述之製程,其中上述之缝隙充 填層由包含矽烷的化合物和過氧化氫之反應而沉積。 1 0.如申請專利範圍第5項所述之製程,其中更包含了沉積 遮蓋層於縫隙充填層之上,而其製程氣體至少包含矽化 合物和氧化氣體。 1 1 · 一種基材製程系統,該系統至少包含: 一容器,其至少包含反應區,在反應區中放置基材 的基材承載器,和真空系統; 一氣體分配系統,其連接到容器之反應區中以提供 一種或多種有機矽化合物和氧化氣體; 一 RF產生器,其乃耦合到氣體分配系統而在反應區 中產生電漿: 一控制器,其至少包含了電腦以控制容器,氣體分. 配系統,和RF產生器;及 一記憶體,其耦合到控制器中且至少包含了電腦可 使用之介質,此介質至少包含電腦可讀取之程式碼,以 便選擇至少包含將一種或多種有機矽化合物和氧化氣 體於固定的RF功率能階從約10到約200W或脈衝RF 功率能階從約20到約500W下反應的製程。 第44貫 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家樣率(CNS) A4規格(210X29?公釐) 408369 經濟部智慧財產局員工消費合作社印製 A8 B8 CS D8六、申請專利範圍 1 2.如申請專利範圍第11項所述之基材製程系統,其中更 包含了電腦可讀取程式碼以沉積雙重鑲嵌結構。 1 3 . —種基材製程系統,該系統至少包含: 一容器,其至少包含反應區,在反應區中放置基材 的基材承載器,和真空系統; 一氣體分配系統,其連接到容器之反應區中以提供 一種或多種有機矽化合物和氧化氣體; 一微波反應室,係連接到反應區的有機矽化合物之 源頭; 一 RF產生器,其乃耦合到氣體分配系統而在反應區 中產生電漿; 一控制器,其至少包含了電腦以控制容器,氣體分 配系統,和RF產生器;及 一記憶體,其耦合到控制器中且至少包含了電腦可 使用之介質,此介質至少包含電腦可讀取之程式碼,以 便選擇從至少包含有機矽化合物和氧化氣體之製程氣 體,於固定的RF功率能階從約1 0W到約200W或脈衝 RF功率能階從約20W到約500W時沉積含碳介電層的 製程。 1 4.如申請專利範圍第13項所述之系統,其中更包含了電 腦可讀取之程式碼以沉積缝隙充填層於該介電層之 上,及一遮蓋層利用至少包含有機矽化合物之製程氣體 第45頁 , - 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 本紙悵尺度適用中國國家標準(CNS } A4規格(210X297公釐) ABCD 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 沉積於該縫隙充填層之上。 1 5. —種形成雙重鑲嵌結構之方法,該方法至少包含: 沉積介層洞等級之介電層薄膜於基材上*其碳含量 大於約20%原子重量百分比,且由一種或多種有機珍化 合物和氧化氣體反應而沉積; 蝕刻圖案化該介層洞等級之介電層薄膜以形成介層 洞; 沉積溝渠等級之介電層薄膜於介層洞等級之介電層 薄膜上,且碳含量小於約I 0%原子重量百分比; 蝕刻圖案化該溝渠等級之介電層薄膜以形成水平的 内連線。 1 6.如申請專利範園第1 5項所述之方法,其中上述之氧化 氣體在和有機矽化合物混合前即已解離。 1 7. —種雙重鑲嵌結構,該結構至少包含: 第一介電層,其至少包含一或多個垂直内連線;及 第二介電層,其和該第一介電層接觸且定義出一或 多個水平内連線。 18.如申請專利範圍第17項所述之結構,其中上述之第一 和第二介電層具有不同之介電常數。 第46頁 本紙張尺度適用中國國家揉準(CNS ) A4说格(210X297公釐) ------------^------1T-------^ (請先閱讀背面之注意事項再填寫本頁) 408369 AS B8 C8 D8 六、申請專利範圍 1 9 .如申請專利範圍第1 8項所述之結構,其中上述之第一 介電層至少包含氧化之有機硬化合物,且碳含量大於約 2 0%的原子重量百分比。 20.如申請專利範圍第1 9項所述之結構,其中上述之第二 介電層至少包含氧化之有機矽化合物,且碳含量小於約 10%的原子重量百分比。 Λ 》 裝 . 訂 線 (請先閱讀背面之注意事項月填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張疋度適用中a國家樓準(CNS ) Α4規冰(210Χ297公釐)
TW88102101A 1998-02-11 1999-02-10 Plasma processes for depositing low dielectric constant films TW408369B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/021,788 US6054379A (en) 1998-02-11 1998-02-11 Method of depositing a low k dielectric with organo silane
US09/114,682 US6072227A (en) 1998-02-11 1998-07-13 Low power method of depositing a low k dielectric with organo silane
US09/162,915 US6287990B1 (en) 1998-02-11 1998-09-29 CVD plasma assisted low dielectric constant films
US09/185,555 US6303523B2 (en) 1998-02-11 1998-11-04 Plasma processes for depositing low dielectric constant films

Publications (1)

Publication Number Publication Date
TW408369B true TW408369B (en) 2000-10-11

Family

ID=27487039

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88102101A TW408369B (en) 1998-02-11 1999-02-10 Plasma processes for depositing low dielectric constant films

Country Status (6)

Country Link
US (8) US6303523B2 (zh)
EP (1) EP1055012B1 (zh)
JP (1) JP4447772B2 (zh)
DE (1) DE69929771T2 (zh)
TW (1) TW408369B (zh)
WO (1) WO1999041423A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
TWI505359B (zh) * 2013-03-11 2015-10-21 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其製造方法

Families Citing this family (640)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900003245B1 (ko) * 1986-05-14 1990-05-12 삼성전자 주식회사 비디오 테이프 레코오더의 구동장치
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
DE19926501A1 (de) * 1999-06-10 2000-12-21 Siemens Ag Verfahren zur Herstellung eines Halbleiterspeicherbauelements
GB2355992B (en) 1999-06-26 2004-06-02 Trikon Holdings Ltd Method and apparatus for forming a film on a substrate
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
ATE418158T1 (de) * 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100795534B1 (ko) * 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
JP3348084B2 (ja) 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
GB2398168B (en) * 2000-01-19 2004-09-15 Trikon Holdings Ltd Methods and apparatus for forming a film on a substrate
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6812130B1 (en) * 2000-02-09 2004-11-02 Infineon Technologies Ag Self-aligned dual damascene etch using a polymer
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
EP1128421A3 (en) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
KR100772736B1 (ko) * 2000-03-13 2007-11-01 엔엑스피 비 브이 반도체 디바이스 제조 방법
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
EP1149933A1 (en) * 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Deposition method of dielectric films having a low dielectric constant
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
JP2003533025A (ja) * 2000-04-28 2003-11-05 東京エレクトロン株式会社 低誘電率膜を有する半導体装置およびその製造方法
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP4368498B2 (ja) 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP3532830B2 (ja) * 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
JP3934343B2 (ja) 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6372661B1 (en) * 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
US6500752B2 (en) * 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
TW531802B (en) * 2000-07-21 2003-05-11 Canon Sales Co Ltd Semiconductor device and semiconductor device manufacturing method
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6410968B1 (en) * 2000-08-31 2002-06-25 Micron Technology, Inc. Semiconductor device with barrier layer
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6548403B1 (en) * 2000-10-05 2003-04-15 Advanced Micro Devices, Inc. Silicon oxide liner for reduced nickel silicide bridging
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
TW468241B (en) * 2000-11-14 2001-12-11 United Microelectronics Corp Method to improve adhesion of dielectric material of semiconductor
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6441490B1 (en) * 2000-12-18 2002-08-27 Advanced Micro Devices, Inc. Low dielectric constant stop layer for integrated circuit interconnects
US20020173079A1 (en) * 2000-12-28 2002-11-21 Erdem Kaltalioglu Dual damascene integration scheme using a bilayer interlevel dielectric
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020137323A1 (en) * 2001-01-03 2002-09-26 Loboda Mark Jon Metal ion diffusion barrier layers
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
JP3418383B2 (ja) * 2001-05-31 2003-06-23 沖電気工業株式会社 半導体装置の製造方法
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6511920B2 (en) * 2001-06-14 2003-01-28 Applied Materials, Inc. Optical marker layer for etch endpoint determination
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP4160277B2 (ja) * 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
GB0118417D0 (en) * 2001-07-28 2001-09-19 Trikon Holdings Ltd A method of depositing a dielectric film
US6521300B1 (en) * 2001-08-16 2003-02-18 United Microelectronics Corp. Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
WO2003019645A1 (fr) * 2001-08-30 2003-03-06 Tokyo Electron Limited Procede et appareil de formation d'un film
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6680262B2 (en) * 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US20040002210A1 (en) * 2002-06-28 2004-01-01 Goldberg Cindy K. Interconnect structure and method for forming
US6525428B1 (en) * 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040033703A1 (en) * 2002-08-19 2004-02-19 Shyh-Dar Lee Method for forming amino-free low k material
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6846899B2 (en) * 2002-10-01 2005-01-25 Chartered Semiconductor Manufacturing Ltd. Poly(arylene ether) dielectrics
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN100352036C (zh) * 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
JP3845061B2 (ja) * 2002-10-24 2006-11-15 株式会社半導体プロセス研究所 半導体装置及びその製造方法
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US6812135B2 (en) * 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP4454242B2 (ja) 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US6911403B2 (en) * 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
KR100521436B1 (ko) * 2003-11-26 2005-10-13 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
KR100634288B1 (ko) * 2003-12-01 2006-10-16 야스히로 모리 고체물질의 표면 개질방법 및 표면 개질된 고체물질
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US7199047B2 (en) * 2004-05-28 2007-04-03 Texas Instruments Incorporated Bi-layer etch stop process for defect reduction and via stress migration improvement
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
US7259381B2 (en) 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7439111B2 (en) * 2004-09-29 2008-10-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20060115980A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for decreasing a dielectric constant of a low-k film
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP2006173349A (ja) * 2004-12-15 2006-06-29 Sony Corp 固体撮像素子の製造方法及び固体撮像素子
US7501354B2 (en) 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP4489618B2 (ja) * 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
DE102005038698A1 (de) * 2005-07-08 2007-01-18 Tridonic Optoelectronics Gmbh Optoelektronische Bauelemente mit Haftvermittler
US20070026653A1 (en) * 2005-07-26 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer on doped dielectric
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
JP4674544B2 (ja) * 2005-12-27 2011-04-20 セイコーエプソン株式会社 電気光学装置の製造方法
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
KR20090045936A (ko) 2006-08-15 2009-05-08 제이에스알 가부시끼가이샤 막 형성용 재료, 및 규소 함유 절연막 및 그의 형성 방법
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US20100178017A1 (en) * 2006-10-06 2010-07-15 Boris Kharas Method for Improving Refractive Index Control in PECVD Deposited a-SiNy Films
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US8273523B2 (en) * 2006-12-28 2012-09-25 Texas Instruments Incorporated By-die-exposure for patterning of holes in edge die
US7767589B2 (en) * 2007-02-07 2010-08-03 Raytheon Company Passivation layer for a circuit device and method of manufacture
CN101611043B (zh) * 2007-02-14 2013-03-13 Jsr株式会社 含硅膜形成用材料、以及含硅绝缘膜及其形成方法
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7878054B2 (en) * 2007-02-28 2011-02-01 The Boeing Company Barrier coatings for polymeric substrates
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP4978847B2 (ja) * 2007-06-01 2012-07-18 Nltテクノロジー株式会社 シリコン酸化膜及びその製造方法並びにそれを用いたゲート絶縁膜を有する半導体装置
WO2009008424A1 (ja) * 2007-07-10 2009-01-15 Jsr Corporation ケイ素化合物の製造方法
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100962044B1 (ko) 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
WO2009121170A1 (en) * 2008-03-31 2009-10-08 Et-Energy Corp. Chemical process for generating energy
US8283260B2 (en) 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
JP5768471B2 (ja) * 2010-05-19 2015-08-26 株式会社村田製作所 セラミック電子部品の製造方法
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8840970B2 (en) 2011-01-16 2014-09-23 Sigma Laboratories Of Arizona, Llc Self-assembled functional layers in multilayer structures
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8883638B2 (en) * 2012-01-18 2014-11-11 United Microelectronics Corp. Method for manufacturing damascene structure involving dummy via holes
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI644597B (zh) * 2014-03-28 2018-12-11 Spp科技股份有限公司 高頻電力系統及具備該系統之電漿處理裝置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6240627B2 (ja) * 2015-02-27 2017-11-29 株式会社ソニー・インタラクティブエンタテインメント 情報処理装置および画面生成方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102609357B1 (ko) * 2018-01-15 2023-12-06 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108975003A (zh) * 2018-06-15 2018-12-11 江苏英杰铝业有限公司 一种铝型材输送装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
MX2021013202A (es) 2019-05-10 2022-03-11 Berkenhoff Gmbh Electrodo de alambre para corte por electroerosion y metodo para producir este electrodo de alambre.
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN114424324A (zh) * 2019-08-16 2022-04-29 弗萨姆材料美国有限责任公司 硅化合物和使用其沉积膜的方法
WO2021034508A1 (en) 2019-08-16 2021-02-25 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11444024B2 (en) 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118251514A (zh) * 2021-10-13 2024-06-25 弗萨姆材料美国有限责任公司 烷氧基硅烷及由其制备的致密有机硅膜

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4168330A (en) 1977-10-13 1979-09-18 Rca Corporation Method of depositing a silicon oxide layer
CA1134214A (en) 1978-03-08 1982-10-26 Roy G. Gordon Deposition method
FR2522667A1 (fr) * 1982-03-04 1983-09-09 Rhone Poulenc Spec Chim Procede de preparation de polyisocyanates polyisocyanurates par cyclotrimerisation catalytique de polyisocyanates
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59222659A (ja) 1983-05-31 1984-12-14 Fuji Heavy Ind Ltd 無段変速機の油圧制御装置
US4557946A (en) * 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
EP0154483B1 (en) 1984-03-03 1989-12-27 Stc Plc Improved pulsed plasma process
US4649071A (en) * 1984-04-28 1987-03-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and process for producing the same
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
FR2591587A1 (fr) 1985-12-17 1987-06-19 Saint Gobain Vitrage Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces.
US5000178A (en) * 1986-05-23 1991-03-19 Lti Biomedical, Inc. Shielded electromagnetic transducer
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
FR2614317B1 (fr) 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH053258A (ja) 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5284730A (en) 1990-10-24 1994-02-08 Canon Kabushiki Kaisha Electrophotographic light-receiving member
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5208069A (en) 1991-10-28 1993-05-04 Istituto Guido Donegani S.P.A. Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby
US5182000A (en) 1991-11-12 1993-01-26 E. I. Du Pont De Nemours And Company Method of coating metal using low temperature plasma and electrodeposition
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
US5825078A (en) 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
EP0594175B1 (en) * 1992-10-23 1997-06-18 Mitsubishi Chemical Corporation Process for producing aromatic polycarbonate
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
EP0619576B1 (en) * 1993-04-05 1999-12-15 Canon Kabushiki Kaisha Process for manufacturing an optical recording medium
US6007878A (en) * 1993-05-27 1999-12-28 Canon Kabushiki Kaisha Process for producing an optical recording medium having a protective layer formed using a plasma processing device
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
DE4404690A1 (de) 1994-02-15 1995-08-17 Leybold Ag Verfahren zur Erzeugung von Sperrschichten für Gase und Dämpfe auf Kunststoff-Substraten
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5559367A (en) 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
EP0720223B1 (en) * 1994-12-30 2003-03-26 STMicroelectronics S.r.l. Process for the production of a semiconductor device having better interface adhesion between dielectric layers
TW285753B (zh) 1995-01-04 1996-09-11 Air Prod & Chem
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US6037274A (en) 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3388651B2 (ja) 1995-04-07 2003-03-24 株式会社アルバック 絶縁膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
WO1996036297A1 (fr) 1995-05-19 1996-11-21 Kanji Inoue Instrument de transplantation, procede pour le courber et procede pour le transplanter
US5530581A (en) 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
JPH09251997A (ja) 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
US6303391B1 (en) * 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
WO1999004911A1 (en) * 1997-07-28 1999-02-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US5875367A (en) 1997-08-12 1999-02-23 Eastman Kodak Company Camera with pivotable film deflector having integral torsion spring
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
EP0926715B1 (en) 1997-12-23 2009-06-10 Texas Instruments Incorporated Chemical mechanical polishing for isolation dielectric planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JPH11251293A (ja) 1998-03-03 1999-09-17 Hitachi Ltd 半導体集積回路装置の製造方法
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3248492B2 (ja) 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
DE19904375C2 (de) 1999-02-03 2001-01-04 Siemens Ag Verfahren zur Funktionsüberprüfung von Speicherzellen eines integrierten Halbleiterspeichers
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
KR100292409B1 (ko) 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6417098B1 (en) 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6761975B1 (en) 1999-12-23 2004-07-13 Honeywell International Inc. Polycarbosilane adhesion promoters for low dielectric constant polymeric materials
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6437433B1 (en) 2000-03-24 2002-08-20 Andrew C. Ross CSP stacking technology using rigid/flex construction
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
KR20010106905A (ko) 2000-05-24 2001-12-07 황 철 주 저유전율 SiOC 박막의 형성방법
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6472231B1 (en) 2001-01-29 2002-10-29 Advanced Micro Devices, Inc. Dielectric layer with treated top surface forming an etch stop layer and method of making the same
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US20020173157A1 (en) 2001-03-29 2002-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics
TW582086B (en) 2001-04-02 2004-04-01 United Microelectronics Corp Surface densification method of low dielectric constant film
US6803314B2 (en) 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
US20020164868A1 (en) 2001-05-02 2002-11-07 Ting-Chang Chang Method for forming a silicon dioxide-low k dielectric stack
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
US20020177303A1 (en) 2001-05-23 2002-11-28 Qing-Tang Jiang Method for sealing via sidewalls in porous low-k dielectric layers
US20030006477A1 (en) 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
US6482754B1 (en) 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
KR100432704B1 (ko) 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
TWI505359B (zh) * 2013-03-11 2015-10-21 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其製造方法

Also Published As

Publication number Publication date
DE69929771T2 (de) 2006-10-12
WO1999041423A3 (en) 1999-10-28
US20010005546A1 (en) 2001-06-28
DE69929771D1 (de) 2006-04-20
US6734115B2 (en) 2004-05-11
US20050191846A1 (en) 2005-09-01
US6303523B2 (en) 2001-10-16
US6562690B1 (en) 2003-05-13
US6596655B1 (en) 2003-07-22
WO1999041423A2 (en) 1999-08-19
US20040082199A1 (en) 2004-04-29
US20010004479A1 (en) 2001-06-21
US6869896B2 (en) 2005-03-22
EP1055012B1 (en) 2006-02-08
EP1055012A2 (en) 2000-11-29
US6541282B1 (en) 2003-04-01
US20030064610A1 (en) 2003-04-03
US6348725B2 (en) 2002-02-19
JP2002503879A (ja) 2002-02-05
US7560377B2 (en) 2009-07-14
JP4447772B2 (ja) 2010-04-07

Similar Documents

Publication Publication Date Title
TW408369B (en) Plasma processes for depositing low dielectric constant films
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
KR100696035B1 (ko) Cvd 나노포러스 실리카 저유전상수 막
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
EP1195451B1 (en) Method of decreasing the dielectric constant in a SiOC layer
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
EP1148539A2 (en) Method of depositing low K films using an oxidizing plasma
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent