JP6943314B2 - 集積回路デバイス及び方法 - Google Patents
集積回路デバイス及び方法 Download PDFInfo
- Publication number
- JP6943314B2 JP6943314B2 JP2020073929A JP2020073929A JP6943314B2 JP 6943314 B2 JP6943314 B2 JP 6943314B2 JP 2020073929 A JP2020073929 A JP 2020073929A JP 2020073929 A JP2020073929 A JP 2020073929A JP 6943314 B2 JP6943314 B2 JP 6943314B2
- Authority
- JP
- Japan
- Prior art keywords
- power supply
- body bias
- voltage
- supply voltage
- transistor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 17
- 239000002019 doping agent Substances 0.000 claims description 22
- 238000012216 screening Methods 0.000 claims description 14
- 230000000630 rising effect Effects 0.000 claims description 7
- 230000001105 regulatory effect Effects 0.000 claims 1
- 230000004044 response Effects 0.000 description 18
- 238000010586 diagram Methods 0.000 description 10
- 239000000758 substrate Substances 0.000 description 9
- 230000008878 coupling Effects 0.000 description 4
- 238000010168 coupling process Methods 0.000 description 4
- 238000005859 coupling reaction Methods 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 238000004088 simulation Methods 0.000 description 4
- 238000013459 approach Methods 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 2
- 208000031481 Pathologic Constriction Diseases 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 230000008569 process Effects 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05F—SYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
- G05F3/00—Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
- G05F3/02—Regulating voltage or current
- G05F3/08—Regulating voltage or current wherein the variable is dc
- G05F3/10—Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics
- G05F3/16—Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices
- G05F3/20—Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices using diode- transistor combinations
- G05F3/205—Substrate bias-voltage generators
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Nonlinear Science (AREA)
- Electromagnetism (AREA)
- Radar, Positioning & Navigation (AREA)
- Automation & Control Theory (AREA)
- Ceramic Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Semiconductor Integrated Circuits (AREA)
- Logic Circuits (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Electronic Switches (AREA)
- Control Of Electrical Variables (AREA)
Description
EFF=|Vout/VDDIO|
に制限され得ることが知られる。ここで、VoutはVBP又はVBNである。例えば、VBN=−0.6V及びVDDIO=2.5Vであるとすると、効率は24%に満たない。
(付記1)
集積回路デバイスであって、
当該集積回路デバイスの電源電圧とは異なる第1ボディバイアス電圧を生成するよう構成される少なくとも1つの第1ボディバイアス回路と、
第1ボディバイアスノードを第1電源電圧に設定し、その後に前記第1ボディバイアスノードが前記第1ボディバイアス電圧に設定されることを可能にするよう構成される少なくとも1つの第1バイアス制御回路と、
前記第1ボディバイアスノードへ接続されるボディを備える複数の第1トランジスタと
を有する集積回路デバイス。
(付記2)
前記第1バイアス制御回路は、前記第1電源電圧が所定レベルに達した後に前記第1ボディバイアスノードを前記第1電源電圧に設定するよう構成される、
付記1に記載の集積回路デバイス。
(付記3)
前記第1バイアス制御回路は、該第1バイアス制御回路への電源電圧がない場合に前記第1ボディバイアスノードを前記第1電源電圧に設定するよう構成される、
付記2に記載の集積回路デバイス。
(付記4)
前記第1バイアス制御回路は、前記第1電源電圧と前記第1ボディバイアスノードとの間に結合されるソース−ドレイン経路を備えるデプレッション形トランジスタを含む、
付記3に記載の集積回路デバイス。
(付記5)
当該集積回路デバイスの電源電圧及び前記第1ボディバイアス電圧とは異なる第2ボディバイアス電圧を生成するよう構成される第2ボディバイアス回路と、
第2ボディバイアスノードを第2電源電圧に設定し、その後に前記第2ボディバイアスノードを前記第2ボディバイアス電圧に設定するよう構成される第2バイアス制御回路と、
前記第2ボディバイアスノードへ接続されるボディを備える複数の第2トランジスタと
を更に有する付記1に記載の集積回路デバイス。
(付記6)
前記第1トランジスタはnチャネルトランジスタであり、前記第2トランジスタはpチャネルトランジスタである、
付記5に記載の集積回路デバイス。
(付記7)
当該集積回路デバイスは、第1の高電源電圧と、該第1の高電源電圧よりも大きい第2の高電源電圧と、低電源電圧とを受け、
前記第1バイアス制御回路は、前記第2の高電源電圧から給電され、該第2の高電源電圧に応答して前記第1ボディバイアスノードを前記第1電源電圧に設定し、前記第1の高電源電圧が所定レベルに達することに応答して前記第1ボディバイアスノードを前記第1ボディバイアス電圧に設定するよう構成される、
付記1に記載の集積回路デバイス。
(付記8)
前記第1の高電源電圧は1.5ボルトよりも小さく、前記第2の高電源電圧は1.5ボルトよりも大きい、
付記7に記載の集積回路デバイス。
(付記9)
前記第1バイアス制御回路は、前記第1電源電圧と前記第1ボディバイアスノードとの間に結合されるソース−ドレイン経路を備えるクランピングトランジスタと、前記第1ボディバイアス回路が前記第1ボディバイアス電圧を発現させている最中は前記クランピングトランジスタを有効にし、前記第1ボディバイアス電圧が確立される場合に前記クランピングトランジスタを無効にするよう構成されるゲート制御回路とを含み、
前記クランピングトランジスタは、絶縁ゲート形電界効果トランジスタ及び接合形電界効果トランジスタのグループから選択される、
付記1に記載の集積回路デバイス。
(付記10)
前記第1トランジスタの少なくとも一部はDDCトランジスタを有し、夫々のDDCトランジスタは、実質的に非ドープのチャネルの下に形成されたスクリーニング領域を備え、該スクリーニング領域は、当該DDCトランジスタのソース及びドレインの導電型とは反対の導電型のドーパントを含む1×1018ドーパントatoms/cm3に満たないドーパント濃度を有する、
付記1に記載の集積回路デバイス。
(付記11)
集積回路デバイスに第1電源電圧を印加し、
最初に少なくとも1つの第1ボディバイアスノードを前記第1電源電圧にクランピングし、
前記第1電源電圧により少なくとも1つの第1ボディバイアス電圧を生成し、
その後に前記第1ボディバイアスノードが前記第1ボディバイアス電圧によって駆動されることを可能にし、
前記第1ボディバイアスノードは、前記第1ボディバイアス電圧を複数の第1トランジスタのボディへ供給する、
方法。
(付記12)
前記第1電源電圧により前記第1ボディバイアス電圧を生成するより前に、前記第1電源電圧よりも大きい第2電源電圧によりクランプイネーブル信号を生成し、
前記第1ボディバイアスノードは、前記クランプイネーブル信号に応答して前記第1電源電圧にクランピングされる、
付記11に記載の方法。
(付記13)
最初に前記第1ボディバイアスノードを前記第1電源電圧にクランピングすることは、前記第1電源電圧が発現しようとしている最中に起こる、
付記11に記載の方法。
(付記14)
最初に第2ボディバイアスノードを第2電源電圧にクランピングし、
前記第1電源電圧により第2ボディバイアス電圧を生成し、
その後に前記第2ボディバイアスノードが前記第2ボディバイアス電圧によって駆動されることを可能にし、
前記第2ボディバイアスノードは、前記第2ボディバイアス電圧を複数の第2トランジスタのボディへ供給する、
付記11に記載の方法。
(付記15)
前記第1ボディバイアス電圧は、前記第1電源電圧よりも大きい逆方向pチャネルボディバイアス電圧(VBP)、及び低電源電圧よりも小さい逆方向nチャネルボディバイアス電圧(VBN)のグループから選択される逆方向ボディバイアス電圧である、
付記11に記載の方法。
(付記16)
逆方向VBPは、前記第1電源電圧よりも0.1ボルトから1.0ボルト大きい範囲をとり、前記逆方向VBNは、前記低電源電圧よりも0.1ボルトから1.0ボルト小さい範囲をとる、
付記15に記載の方法。
(付記17)
前記第1トランジスタの少なくとも一部はDDCトランジスタを有し、夫々のDDCトランジスタは、実質的に非ドープのチャネルの下に形成されたスクリーニング領域を備え、該スクリーニング領域は、当該DDCトランジスタのソース及びドレインの導電型とは反対の導電型のドーパントを含み且つ1×1018ドーパントatoms/cm3に満たないドーパント濃度を有する、
付記11に記載の方法。
(付記18)
第1電源電圧を受けるよう構成される第1電源接続と、
前記第1電源電圧よりも大きい第2電源電圧を受けるよう構成される第2電源接続と、
発生器電源ノードで電力を受けるよう結合され、第1ボディバイアス電圧を生成するよう構成される少なくとも1つの第1ボディバイアス発生器回路と、
前記第1電源接続又は前記第2電源接続のどちらか一方を前記発生器電源ノードへ結合するよう構成されるスイッチ回路と、
前記第1ボディバイアス電圧を受けるよう結合されるボディを備える複数の第1トランジスタと
を有する集積回路デバイス。
(付記19)
前記第2電源電圧を前記第1ボディバイアス発生器回路への印加より前にレギュレートするよう構成される電圧レギュレータ
を更に有する付記18に記載の集積回路デバイス。
(付記20)
前記第1トランジスタの少なくとも一部はDDCトランジスタを有し、夫々のDDCトランジスタは、実質的に非ドープのチャネルの下に形成されたスクリーニング領域を備え、該スクリーニング領域は、当該DDCトランジスタのソース及びドレインの導電型とは反対の導電型のドーパントを含む1×1018ドーパントatoms/cm3に満たないドーパント濃度を有する、
付記18に記載の集積回路デバイス。
102 ボディバイアス発生器回路
104 スイッチ回路
106 電圧レギュレータ
202−0,302−0 PBB発生器回路
202−1,302−1 NBB発生器回路
204−0,304−0 第1クランプ回路
204−1,304−1 第2クランプ回路
214,314 クランプ制御回路
420,520,620,720 クランピングトランジスタ
1000 クランピング回路
1171 DDCトランジスタ
1181 実質的に非ドープのチャネル
1187 スクリーニング領域
1189 閾電圧設定領域
CTRL 制御信号
VBx,VBP_Gen,VBN_Gen ボディバイアス電圧
VDD 第1電源電圧
VDDIn 電源電圧
VDDIO 第2電源電圧
Claims (8)
- 第1電源電圧を受けるよう構成される第1電源接続と、
前記第1電源電圧よりも大きく、前記第1電源電圧よりも前に立ち上がる第2電源電圧を受けるよう構成される第2電源接続と、
発生器電源ノードで電力を受けるよう結合され、第1ボディバイアス電圧を生成するよう構成される少なくとも1つの第1ボディバイアス発生器回路と、
前記第1電源電圧が立ち上がり中であるときに前記第2電源接続を前記発生器電源ノードへ結合し、前記第1電源電圧の立ち上がりが完了し、安定したレベルに達した後に、前記第1電源接続を前記発生器電源ノードへ結合するよう構成されるスイッチ回路と、
前記第1ボディバイアス電圧を受けるよう結合されるボディを備える複数の第1トランジスタと
を有する集積回路デバイス。 - 前記第2電源電圧を前記第1ボディバイアス発生器回路への印加より前にレギュレートするよう構成される電圧レギュレータ
を更に有する請求項1に記載の集積回路デバイス。 - 前記電圧レギュレータは、前記第1電源電圧の立ち上がりが完了したときの電圧を得るようにレギュレートする、
請求項2に記載の集積回路デバイス。 - 前記第1トランジスタの少なくとも一部はDDCトランジスタを有し、夫々のDDCトランジスタは、非ドープのチャネルの下に形成されたスクリーニング領域を備え、該スクリーニング領域は、当該DDCトランジスタのソース及びドレインの導電型とは反対の導電型のドーパントを含み、5×1018から1×1020ドーパントatoms/cm3の間のドーパント濃度を有する、
請求項1に記載の集積回路デバイス。 - 前記第1電源電圧は、前記第1トランジスタへと供給される、
請求項1に記載の集積回路デバイス。 - 集積回路デバイスに第1電源電圧と、前記第1電源電圧よりも大きく、且つ前記第1電源電圧よりも前に立ち上がる第2電源電圧とを印加し、
前記第1電源電圧又は前記第2電源電圧により第1ボディバイアス電圧を生成することを可能とし、
前記第1電源電圧が立ち上がり中であるときに前記第2電源電圧により前記第1ボディバイアス電圧を生成し、
前記第1電源電圧の立ち上がりが完了し、安定したレベルに達したときに前記第1電源電圧により前記第1ボディバイアス電圧を生成し、
前記第1ボディバイアス電圧を複数の第1トランジスタのボディへ供給する、
方法。 - 前記第2電源電圧は、前記集積回路デバイスの前記第1ボディバイアス電圧を生成する第1ボディバイアス発生器回路へ印加される前に、電圧レギュレータによりレギュレートされる、
請求項6に記載の方法。 - 前記電圧レギュレータは、前記第1電源電圧の立ち上がりが完了したときの電圧を得るようにレギュレートする、
請求項7に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/341,733 | 2014-07-25 | ||
US14/341,733 US9710006B2 (en) | 2014-07-25 | 2014-07-25 | Power up body bias circuits and methods |
JP2015140054A JP6746881B2 (ja) | 2014-07-25 | 2015-07-13 | 電源立ち上げ時のボディバイアス電圧を確立する集積回路デバイス及び方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015140054A Division JP6746881B2 (ja) | 2014-07-25 | 2015-07-13 | 電源立ち上げ時のボディバイアス電圧を確立する集積回路デバイス及び方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2020120402A JP2020120402A (ja) | 2020-08-06 |
JP6943314B2 true JP6943314B2 (ja) | 2021-09-29 |
Family
ID=55166728
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015140054A Active JP6746881B2 (ja) | 2014-07-25 | 2015-07-13 | 電源立ち上げ時のボディバイアス電圧を確立する集積回路デバイス及び方法 |
JP2020073929A Active JP6943314B2 (ja) | 2014-07-25 | 2020-04-17 | 集積回路デバイス及び方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015140054A Active JP6746881B2 (ja) | 2014-07-25 | 2015-07-13 | 電源立ち上げ時のボディバイアス電圧を確立する集積回路デバイス及び方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US9710006B2 (ja) |
JP (2) | JP6746881B2 (ja) |
CN (1) | CN105429618B (ja) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP3502133A1 (en) | 2002-09-27 | 2019-06-26 | Xencor, Inc. | Optimized fc variants and methods for their generation |
US20160071849A1 (en) * | 2014-09-08 | 2016-03-10 | Texas Instruments Incorporated | Mode-Variant Adaptive Body Bias Scheme For Low-Power Semiconductors |
US9811625B2 (en) * | 2015-04-28 | 2017-11-07 | Arm Limited | Computer-implemented method and computer program for generating a layout of a circuit block of an integrated circuit |
US9627529B1 (en) * | 2015-05-21 | 2017-04-18 | Altera Corporation | Well-tap structures for analog matching transistor arrays |
US10387690B2 (en) * | 2016-04-21 | 2019-08-20 | Texas Instruments Incorporated | Integrated power supply scheme for powering memory card host interface |
US10003325B2 (en) | 2016-08-01 | 2018-06-19 | Samsung Electronics Co., Ltd. | System and method for providing an area efficient and design rule check (DRC) friendly power sequencer for digital circuits |
KR102044629B1 (ko) * | 2018-05-09 | 2019-11-13 | 광운대학교 산학협력단 | 낮은 온-저항을 갖는 cmos 스위치 |
JP6970644B2 (ja) * | 2018-06-11 | 2021-11-24 | 日立Astemo株式会社 | 半導体装置およびセンサシステム |
US10739807B2 (en) | 2018-09-11 | 2020-08-11 | Stmicroelectronics (Crolles 2) Sas | Body biasing for ultra-low voltage digital circuits |
CN112564469B (zh) * | 2019-09-25 | 2022-05-20 | 圣邦微电子(北京)股份有限公司 | 开关变换器及其低压启动电路 |
US10924093B1 (en) * | 2019-10-31 | 2021-02-16 | Nxp Usa, Inc. | Integrated circuit with finFETs having dummy structures |
US10892757B1 (en) | 2019-11-25 | 2021-01-12 | Stmicroelectronics (Research & Development) Limited | Reverse body biasing of a transistor using a photovoltaic source |
CN113341212B (zh) * | 2021-06-05 | 2022-08-02 | 晶通微电子(南京)有限公司 | 一种具有宽电压输入范围的差分电压检测电路 |
Family Cites Families (488)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4021835A (en) | 1974-01-25 | 1977-05-03 | Hitachi, Ltd. | Semiconductor device and a method for fabricating the same |
US3958266A (en) | 1974-04-19 | 1976-05-18 | Rca Corporation | Deep depletion insulated gate field effect transistors |
US4000504A (en) | 1975-05-12 | 1976-12-28 | Hewlett-Packard Company | Deep channel MOS transistor |
US4276095A (en) | 1977-08-31 | 1981-06-30 | International Business Machines Corporation | Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations |
US4242691A (en) | 1978-09-18 | 1980-12-30 | Mitsubishi Denki Kabushiki Kaisha | MOS Semiconductor device |
DE3069973D1 (en) | 1979-08-25 | 1985-02-28 | Zaidan Hojin Handotai Kenkyu | Insulated-gate field-effect transistor |
US4315781A (en) | 1980-04-23 | 1982-02-16 | Hughes Aircraft Company | Method of controlling MOSFET threshold voltage with self-aligned channel stop |
JPS56155572A (en) | 1980-04-30 | 1981-12-01 | Sanyo Electric Co Ltd | Insulated gate field effect type semiconductor device |
US4518926A (en) | 1982-12-20 | 1985-05-21 | At&T Bell Laboratories | Gate-coupled field-effect transistor pair amplifier |
JPS59193066A (ja) | 1983-04-15 | 1984-11-01 | Matsushita Electric Ind Co Ltd | Mos型半導体装置 |
US4559091A (en) | 1984-06-15 | 1985-12-17 | Regents Of The University Of California | Method for producing hyperabrupt doping profiles in semiconductors |
US5060234A (en) | 1984-11-19 | 1991-10-22 | Max-Planck Gesellschaft Zur Forderung Der Wissenschaften | Injection laser with at least one pair of monoatomic layers of doping atoms |
US4617066A (en) | 1984-11-26 | 1986-10-14 | Hughes Aircraft Company | Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing |
US4578128A (en) | 1984-12-03 | 1986-03-25 | Ncr Corporation | Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants |
US4662061A (en) | 1985-02-27 | 1987-05-05 | Texas Instruments Incorporated | Method for fabricating a CMOS well structure |
JPH0770606B2 (ja) | 1985-11-29 | 1995-07-31 | 株式会社日立製作所 | 半導体装置 |
JPS62128175A (ja) | 1985-11-29 | 1987-06-10 | Hitachi Ltd | 半導体装置 |
GB8606748D0 (en) | 1986-03-19 | 1986-04-23 | Secr Defence | Monitoring surface layer growth |
US4780748A (en) | 1986-06-06 | 1988-10-25 | American Telephone & Telegraph Company, At&T Bell Laboratories | Field-effect transistor having a delta-doped ohmic contact |
DE3765844D1 (de) | 1986-06-10 | 1990-12-06 | Siemens Ag | Verfahren zum herstellen von hochintegrierten komplementaeren mos-feldeffekttransistorschaltungen. |
US5156990A (en) | 1986-07-23 | 1992-10-20 | Texas Instruments Incorporated | Floating-gate memory cell with tailored doping profile |
EP0274278B1 (en) | 1987-01-05 | 1994-05-25 | Seiko Instruments Inc. | MOS field effect transistor and method of manufacturing the same |
GB2206010A (en) | 1987-06-08 | 1988-12-21 | Philips Electronic Associated | Differential amplifier and current sensing circuit including such an amplifier |
EP0312237A3 (en) | 1987-10-13 | 1989-10-25 | AT&T Corp. | Interface charge enhancement in delta-doped heterostructure |
US5156989A (en) | 1988-11-08 | 1992-10-20 | Siliconix, Incorporated | Complementary, isolated DMOS IC technology |
JP2772530B2 (ja) * | 1988-12-05 | 1998-07-02 | 三菱電機株式会社 | 半導体集積回路装置 |
JP2569777B2 (ja) * | 1988-12-16 | 1997-01-08 | 日本電気株式会社 | 入力信号切り換え回路 |
US5034337A (en) | 1989-02-10 | 1991-07-23 | Texas Instruments Incorporated | Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices |
US4956311A (en) | 1989-06-27 | 1990-09-11 | National Semiconductor Corporation | Double-diffused drain CMOS process using a counterdoping technique |
US5208473A (en) | 1989-11-29 | 1993-05-04 | Mitsubishi Denki Kabushiki Kaisha | Lightly doped MISFET with reduced latchup and punchthrough |
JP2822547B2 (ja) | 1990-03-06 | 1998-11-11 | 富士通株式会社 | 高電子移動度トランジスタ |
KR920008834A (ko) | 1990-10-09 | 1992-05-28 | 아이자와 스스무 | 박막 반도체 장치 |
US5166765A (en) | 1991-08-26 | 1992-11-24 | At&T Bell Laboratories | Insulated gate field-effect transistor with pulse-shaped doping |
KR940006711B1 (ko) | 1991-09-12 | 1994-07-25 | 포항종합제철 주식회사 | 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법 |
JP2851753B2 (ja) | 1991-10-22 | 1999-01-27 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JPH05315598A (ja) | 1992-05-08 | 1993-11-26 | Fujitsu Ltd | 半導体装置 |
US5374569A (en) | 1992-09-21 | 1994-12-20 | Siliconix Incorporated | Method for forming a BiCDMOS |
JPH06151828A (ja) | 1992-10-30 | 1994-05-31 | Toshiba Corp | 半導体装置及びその製造方法 |
US5298763A (en) | 1992-11-02 | 1994-03-29 | Motorola, Inc. | Intrinsically doped semiconductor structure and method for making |
US5371419A (en) * | 1992-11-23 | 1994-12-06 | Mitsubishi Denki Kabushiki Kaisha | CMOS well switching circuit |
US5444008A (en) | 1993-09-24 | 1995-08-22 | Vlsi Technology, Inc. | High-performance punchthrough implant method for MOS/VLSI |
KR0169157B1 (ko) * | 1993-11-29 | 1999-02-01 | 기다오까 다까시 | 반도체 회로 및 mos-dram |
US5625568A (en) | 1993-12-22 | 1997-04-29 | Vlsi Technology, Inc. | Method and apparatus for compacting integrated circuits with standard cell architectures |
DE69516767T2 (de) | 1994-02-14 | 2000-11-23 | Koninklijke Philips Electronics N.V., Eindhoven | Referenzschaltung mit kontrollierter temperaturabhängigkeit |
JPH07254685A (ja) * | 1994-03-16 | 1995-10-03 | Toshiba Corp | 半導体記憶装置 |
KR0144959B1 (ko) | 1994-05-17 | 1998-07-01 | 김광호 | 반도체장치 및 제조방법 |
US5889315A (en) | 1994-08-18 | 1999-03-30 | National Semiconductor Corporation | Semiconductor structure having two levels of buried regions |
US5622880A (en) | 1994-08-18 | 1997-04-22 | Sun Microsystems, Inc. | Method of making a low power, high performance junction transistor |
US5818078A (en) | 1994-08-29 | 1998-10-06 | Fujitsu Limited | Semiconductor device having a regrowth crystal region |
US5559368A (en) | 1994-08-30 | 1996-09-24 | The Regents Of The University Of California | Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation |
JP2701762B2 (ja) | 1994-11-28 | 1998-01-21 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US6153920A (en) | 1994-12-01 | 2000-11-28 | Lucent Technologies Inc. | Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby |
EP0717435A1 (en) | 1994-12-01 | 1996-06-19 | AT&T Corp. | Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby |
JPH08250728A (ja) | 1995-03-10 | 1996-09-27 | Sony Corp | 電界効果型半導体装置及びその製造方法 |
US5608253A (en) | 1995-03-22 | 1997-03-04 | Advanced Micro Devices Inc. | Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits |
JP2780670B2 (ja) | 1995-04-14 | 1998-07-30 | 日本電気株式会社 | エピタキシャルチャネルmosトランジスタの製造方法 |
US5552332A (en) | 1995-06-02 | 1996-09-03 | Motorola, Inc. | Process for fabricating a MOSFET device having reduced reverse short channel effects |
US5663583A (en) | 1995-06-06 | 1997-09-02 | Hughes Aircraft Company | Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate |
JP3462301B2 (ja) | 1995-06-16 | 2003-11-05 | 三菱電機株式会社 | 半導体装置及びその製造方法 |
US5624863A (en) | 1995-07-17 | 1997-04-29 | Micron Technology, Inc. | Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate |
US5754826A (en) | 1995-08-04 | 1998-05-19 | Synopsys, Inc. | CAD and simulation system for targeting IC designs to multiple fabrication processes |
KR0172793B1 (ko) | 1995-08-07 | 1999-02-01 | 김주용 | 반도체소자의 제조방법 |
JPH0973784A (ja) | 1995-09-07 | 1997-03-18 | Nec Corp | 半導体装置及びその制御回路 |
US6127700A (en) | 1995-09-12 | 2000-10-03 | National Semiconductor Corporation | Field-effect transistor having local threshold-adjust doping |
US5712501A (en) | 1995-10-10 | 1998-01-27 | Motorola, Inc. | Graded-channel semiconductor device |
US5753555A (en) | 1995-11-22 | 1998-05-19 | Nec Corporation | Method for forming semiconductor device |
DE69609313T2 (de) | 1995-12-15 | 2001-02-01 | Koninklijke Philips Electronics N.V., Eindhoven | Halbleiterfeldeffektanordnung mit einer sige schicht |
US5698884A (en) | 1996-02-07 | 1997-12-16 | Thunderbird Technologies, Inc. | Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same |
JP3627423B2 (ja) * | 1996-03-28 | 2005-03-09 | 富士通株式会社 | 低電圧型pll半導体装置 |
JPH09270466A (ja) | 1996-04-01 | 1997-10-14 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
JPH1022462A (ja) | 1996-06-28 | 1998-01-23 | Sharp Corp | 半導体装置及びその製造方法 |
US5847419A (en) | 1996-09-17 | 1998-12-08 | Kabushiki Kaisha Toshiba | Si-SiGe semiconductor device and method of fabricating the same |
US5736419A (en) | 1996-11-12 | 1998-04-07 | National Semiconductor Corporation | Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions |
JPH10163342A (ja) | 1996-12-04 | 1998-06-19 | Sharp Corp | 半導体装置 |
US5991221A (en) * | 1998-01-30 | 1999-11-23 | Hitachi, Ltd. | Microcomputer and microprocessor having flash memory operable from single external power supply |
JPH10223853A (ja) | 1997-02-04 | 1998-08-21 | Mitsubishi Electric Corp | 半導体装置 |
US5918129A (en) | 1997-02-25 | 1999-06-29 | Advanced Micro Devices, Inc. | Method of channel doping using diffusion from implanted polysilicon |
JPH10242153A (ja) | 1997-02-26 | 1998-09-11 | Hitachi Ltd | 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法 |
JP3732914B2 (ja) * | 1997-02-28 | 2006-01-11 | 株式会社ルネサステクノロジ | 半導体装置 |
US5936868A (en) | 1997-03-06 | 1999-08-10 | Harris Corporation | Method for converting an integrated circuit design for an upgraded process |
JPH10270687A (ja) | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | 電界効果トランジスタおよびその製造方法 |
US5923067A (en) | 1997-04-04 | 1999-07-13 | International Business Machines Corporation | 3-D CMOS-on-SOI ESD structure and method |
US6060345A (en) | 1997-04-21 | 2000-05-09 | Advanced Micro Devices, Inc. | Method of making NMOS and PMOS devices with reduced masking steps |
US5933047A (en) * | 1997-04-30 | 1999-08-03 | Mosaid Technologies Incorporated | High voltage generating circuit for volatile semiconductor memories |
US6218892B1 (en) | 1997-06-20 | 2001-04-17 | Intel Corporation | Differential circuits employing forward body bias |
US6218895B1 (en) | 1997-06-20 | 2001-04-17 | Intel Corporation | Multiple well transistor circuits having forward body bias |
US6194259B1 (en) | 1997-06-27 | 2001-02-27 | Advanced Micro Devices, Inc. | Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants |
US6723621B1 (en) | 1997-06-30 | 2004-04-20 | International Business Machines Corporation | Abrupt delta-like doping in Si and SiGe films by UHV-CVD |
US5923987A (en) | 1997-06-30 | 1999-07-13 | Sun Microsystems, Inc. | Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface |
US5946214A (en) | 1997-07-11 | 1999-08-31 | Advanced Micro Devices | Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns |
US5989963A (en) | 1997-07-21 | 1999-11-23 | Advanced Micro Devices, Inc. | Method for obtaining a steep retrograde channel profile |
US5942932A (en) * | 1997-08-26 | 1999-08-24 | Nanoamp Solutions, Inc. | Circuit and method for preventing latch-up in a CMOS semiconductor device |
JP3544833B2 (ja) | 1997-09-18 | 2004-07-21 | 株式会社東芝 | 半導体装置及びその製造方法 |
FR2769132B1 (fr) | 1997-09-29 | 2003-07-11 | Sgs Thomson Microelectronics | Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
JPH11163458A (ja) | 1997-11-26 | 1999-06-18 | Mitsui Chem Inc | 半導体レーザ装置 |
US6426260B1 (en) | 1997-12-02 | 2002-07-30 | Magepower Semiconductor Corp. | Switching speed improvement in DMO by implanting lightly doped region under gate |
US6271070B2 (en) | 1997-12-25 | 2001-08-07 | Matsushita Electronics Corporation | Method of manufacturing semiconductor device |
KR100339409B1 (ko) | 1998-01-14 | 2002-09-18 | 주식회사 하이닉스반도체 | 반도체소자및그의제조방법 |
US6088518A (en) | 1998-01-30 | 2000-07-11 | Aspec Technology, Inc. | Method and system for porting an integrated circuit layout from a reference process to a target process |
US6001695A (en) | 1998-03-02 | 1999-12-14 | Texas Instruments - Acer Incorporated | Method to form ultra-short channel MOSFET with a gate-side airgap structure |
US6096611A (en) | 1998-03-13 | 2000-08-01 | Texas Instruments - Acer Incorporated | Method to fabricate dual threshold CMOS circuits |
KR100265227B1 (ko) | 1998-06-05 | 2000-09-15 | 김영환 | 씨모스 트랜지스터의 제조 방법 |
US6072217A (en) | 1998-06-11 | 2000-06-06 | Sun Microsystems, Inc. | Tunable threshold SOI device using isolated well structure for back gate |
US6492232B1 (en) | 1998-06-15 | 2002-12-10 | Motorola, Inc. | Method of manufacturing vertical semiconductor device |
US6262461B1 (en) | 1998-06-22 | 2001-07-17 | Motorola, Inc. | Method and apparatus for creating a voltage threshold in a FET |
US5985705A (en) | 1998-06-30 | 1999-11-16 | Lsi Logic Corporation | Low threshold voltage MOS transistor and method of manufacture |
KR100292818B1 (ko) | 1998-07-02 | 2001-11-05 | 윤종용 | 모오스트랜지스터제조방법 |
JP3549186B2 (ja) * | 1998-08-25 | 2004-08-04 | 株式会社東芝 | 半導体装置 |
US6252452B1 (en) * | 1998-08-25 | 2001-06-26 | Kabushiki Kaisha Toshiba | Semiconductor device |
US6320222B1 (en) | 1998-09-01 | 2001-11-20 | Micron Technology, Inc. | Structure and method for reducing threshold voltage variations due to dopant fluctuations |
US6143593A (en) | 1998-09-29 | 2000-11-07 | Conexant Systems, Inc. | Elevated channel MOSFET |
US6066533A (en) | 1998-09-29 | 2000-05-23 | Advanced Micro Devices, Inc. | MOS transistor with dual metal gate structure |
US20020008257A1 (en) | 1998-09-30 | 2002-01-24 | John P. Barnak | Mosfet gate electrodes having performance tuned work functions and methods of making same |
JP2000124787A (ja) * | 1998-10-16 | 2000-04-28 | Sanyo Electric Co Ltd | 半導体装置 |
US6380019B1 (en) | 1998-11-06 | 2002-04-30 | Advanced Micro Devices, Inc. | Method of manufacturing a transistor with local insulator structure |
US6221724B1 (en) | 1998-11-06 | 2001-04-24 | Advanced Micro Devices, Inc. | Method of fabricating an integrated circuit having punch-through suppression |
US6084271A (en) | 1998-11-06 | 2000-07-04 | Advanced Micro Devices, Inc. | Transistor with local insulator structure |
US6184112B1 (en) | 1998-12-02 | 2001-02-06 | Advanced Micro Devices, Inc. | Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile |
US6214654B1 (en) | 1999-01-27 | 2001-04-10 | Advanced Micro Devices, Inc. | Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget |
US6245618B1 (en) | 1999-02-03 | 2001-06-12 | Advanced Micro Devices, Inc. | Mosfet with localized amorphous region with retrograde implantation |
JP2000243958A (ja) | 1999-02-24 | 2000-09-08 | Toshiba Corp | 半導体装置およびその製造方法 |
US6060364A (en) | 1999-03-02 | 2000-05-09 | Advanced Micro Devices, Inc. | Fast Mosfet with low-doped source/drain |
US7145167B1 (en) | 2000-03-11 | 2006-12-05 | International Business Machines Corporation | High speed Ge channel heterostructures for field effect devices |
US6928128B1 (en) | 1999-05-03 | 2005-08-09 | Rambus Inc. | Clock alignment circuit having a self regulating voltage supply |
US6232164B1 (en) | 1999-05-24 | 2001-05-15 | Taiwan Semiconductor Manufacturing Company | Process of making CMOS device structure having an anti-SCE block implant |
US6190979B1 (en) | 1999-07-12 | 2001-02-20 | International Business Machines Corporation | Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill |
JP3506638B2 (ja) * | 1999-07-26 | 2004-03-15 | Necエレクトロニクス株式会社 | 論理回路 |
US6235597B1 (en) | 1999-08-06 | 2001-05-22 | International Business Machines Corporation | Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication |
US6271547B1 (en) | 1999-08-06 | 2001-08-07 | Raytheon Company | Double recessed transistor with resistive layer |
US6268640B1 (en) | 1999-08-12 | 2001-07-31 | International Business Machines Corporation | Forming steep lateral doping distribution at source/drain junctions |
US6426279B1 (en) | 1999-08-18 | 2002-07-30 | Advanced Micro Devices, Inc. | Epitaxial delta doping for retrograde channel profile |
US6444550B1 (en) | 1999-08-18 | 2002-09-03 | Advanced Micro Devices, Inc. | Laser tailoring retrograde channel profile in surfaces |
US6503801B1 (en) | 1999-08-18 | 2003-01-07 | Advanced Micro Devices, Inc. | Non-uniform channel profile via enhanced diffusion |
DE19940362A1 (de) | 1999-08-25 | 2001-04-12 | Infineon Technologies Ag | MOS-Transistor und Verfahren zu dessen Herstellung |
US6162693A (en) | 1999-09-02 | 2000-12-19 | Micron Technology, Inc. | Channel implant through gate polysilicon |
US7091093B1 (en) | 1999-09-17 | 2006-08-15 | Matsushita Electric Industrial Co., Ltd. | Method for fabricating a semiconductor device having a pocket dopant diffused layer |
US6506640B1 (en) | 1999-09-24 | 2003-01-14 | Advanced Micro Devices, Inc. | Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through |
TW451538B (en) * | 1999-10-16 | 2001-08-21 | Winbond Electronics Corp | Latch up protection circuit suitable for use in multi power supply integrated circuit and its method |
JP3371871B2 (ja) | 1999-11-16 | 2003-01-27 | 日本電気株式会社 | 半導体装置の製造方法 |
US6313489B1 (en) | 1999-11-16 | 2001-11-06 | Philips Electronics North America Corporation | Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device |
US6449749B1 (en) | 1999-11-18 | 2002-09-10 | Pdf Solutions, Inc. | System and method for product yield prediction |
US6541829B2 (en) | 1999-12-03 | 2003-04-01 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing the same |
GB9929084D0 (en) | 1999-12-08 | 2000-02-02 | Regan Timothy J | Modification of integrated circuits |
US7638380B2 (en) | 2000-01-05 | 2009-12-29 | Agere Systems Inc. | Method for manufacturing a laterally diffused metal oxide semiconductor device |
US6633066B1 (en) | 2000-01-07 | 2003-10-14 | Samsung Electronics Co., Ltd. | CMOS integrated circuit devices and substrates having unstrained silicon active layers |
US6297132B1 (en) | 2000-02-07 | 2001-10-02 | Chartered Semiconductor Manufacturing Ltd. | Process to control the lateral doping profile of an implanted channel region |
US6797994B1 (en) | 2000-02-14 | 2004-09-28 | Raytheon Company | Double recessed transistor |
US7015546B2 (en) | 2000-02-23 | 2006-03-21 | Semiconductor Research Corporation | Deterministically doped field-effect devices and methods of making same |
US6326666B1 (en) | 2000-03-23 | 2001-12-04 | International Business Machines Corporation | DTCMOS circuit having improved speed |
US6548842B1 (en) | 2000-03-31 | 2003-04-15 | National Semiconductor Corporation | Field-effect transistor for alleviating short-channel effects |
US6319799B1 (en) | 2000-05-09 | 2001-11-20 | Board Of Regents, The University Of Texas System | High mobility heterojunction transistor and method |
US6461928B2 (en) | 2000-05-23 | 2002-10-08 | Texas Instruments Incorporated | Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants |
JP2001352057A (ja) | 2000-06-09 | 2001-12-21 | Mitsubishi Electric Corp | 半導体装置、およびその製造方法 |
EP1231640A4 (en) | 2000-06-27 | 2008-10-08 | Matsushita Electric Ind Co Ltd | SEMICONDUCTOR COMPONENT |
DE10034942B4 (de) | 2000-07-12 | 2004-08-05 | Infineon Technologies Ag | Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung |
US6624488B1 (en) | 2000-08-07 | 2003-09-23 | Advanced Micro Devices, Inc. | Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices |
US6503783B1 (en) | 2000-08-31 | 2003-01-07 | Micron Technology, Inc. | SOI CMOS device with reduced DIBL |
US6391752B1 (en) | 2000-09-12 | 2002-05-21 | Taiwan Semiconductor Manufacturing, Co., Ltd. | Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane |
US7064399B2 (en) | 2000-09-15 | 2006-06-20 | Texas Instruments Incorporated | Advanced CMOS using super steep retrograde wells |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
US6617217B2 (en) | 2000-10-10 | 2003-09-09 | Texas Instruments Incorpated | Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride |
US6448590B1 (en) | 2000-10-24 | 2002-09-10 | International Business Machines Corporation | Multiple threshold voltage FET using multiple work-function gate materials |
DE10061191A1 (de) | 2000-12-08 | 2002-06-13 | Ihp Gmbh | Schichten in Substratscheiben |
US6522193B2 (en) * | 2000-12-19 | 2003-02-18 | Hynix Semiconductor Inc. | Internal voltage generator for semiconductor memory device |
US6300177B1 (en) | 2001-01-25 | 2001-10-09 | Chartered Semiconductor Manufacturing Inc. | Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials |
US6852602B2 (en) | 2001-01-31 | 2005-02-08 | Matsushita Electric Industrial Co., Ltd. | Semiconductor crystal film and method for preparation thereof |
US6551885B1 (en) | 2001-02-09 | 2003-04-22 | Advanced Micro Devices, Inc. | Low temperature process for a thin film transistor |
US6787424B1 (en) | 2001-02-09 | 2004-09-07 | Advanced Micro Devices, Inc. | Fully depleted SOI transistor with elevated source and drain |
US6797602B1 (en) | 2001-02-09 | 2004-09-28 | Advanced Micro Devices, Inc. | Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts |
EP1421607A2 (en) | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US6821852B2 (en) | 2001-02-13 | 2004-11-23 | Micron Technology, Inc. | Dual doped gates |
KR100393216B1 (ko) | 2001-02-19 | 2003-07-31 | 삼성전자주식회사 | 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법 |
US6432754B1 (en) | 2001-02-20 | 2002-08-13 | International Business Machines Corporation | Double SOI device with recess etch and epitaxy |
US6534373B1 (en) | 2001-03-26 | 2003-03-18 | Advanced Micro Devices, Inc. | MOS transistor with reduced floating body effect |
JP3940565B2 (ja) | 2001-03-29 | 2007-07-04 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP2002299454A (ja) | 2001-04-02 | 2002-10-11 | Toshiba Corp | 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法 |
US6576535B2 (en) | 2001-04-11 | 2003-06-10 | Texas Instruments Incorporated | Carbon doped epitaxial layer for high speed CB-CMOS |
US6620671B1 (en) | 2001-05-01 | 2003-09-16 | Advanced Micro Devices, Inc. | Method of fabricating transistor having a single crystalline gate conductor |
US6693333B1 (en) | 2001-05-01 | 2004-02-17 | Advanced Micro Devices, Inc. | Semiconductor-on-insulator circuit with multiple work functions |
US6586817B1 (en) | 2001-05-18 | 2003-07-01 | Sun Microsystems, Inc. | Device including a resistive path to introduce an equivalent RC circuit |
US6489224B1 (en) | 2001-05-31 | 2002-12-03 | Sun Microsystems, Inc. | Method for engineering the threshold voltage of a device using buried wells |
US6822297B2 (en) | 2001-06-07 | 2004-11-23 | Texas Instruments Incorporated | Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness |
US6500739B1 (en) | 2001-06-14 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect |
US6358806B1 (en) | 2001-06-29 | 2002-03-19 | Lsi Logic Corporation | Silicon carbide CMOS channel |
JP4035354B2 (ja) | 2001-07-11 | 2008-01-23 | 富士通株式会社 | 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体 |
US6444551B1 (en) | 2001-07-23 | 2002-09-03 | Taiwan Semiconductor Manufacturing Company | N-type buried layer drive-in recipe to reduce pits over buried antimony layer |
EP1427021B1 (en) | 2001-09-14 | 2011-08-31 | Panasonic Corporation | Semiconductor device |
US6831292B2 (en) | 2001-09-21 | 2004-12-14 | Amberwave Systems Corporation | Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same |
WO2003028106A2 (en) | 2001-09-24 | 2003-04-03 | Amberwave Systems Corporation | Rf circuits including transistors having strained material layers |
US6751519B1 (en) | 2001-10-25 | 2004-06-15 | Kla-Tencor Technologies Corporation | Methods and systems for predicting IC chip yield |
US6521470B1 (en) | 2001-10-31 | 2003-02-18 | United Microelectronics Corp. | Method of measuring thickness of epitaxial layer |
US20050250289A1 (en) | 2002-10-30 | 2005-11-10 | Babcock Jeffrey A | Control of dopant diffusion from buried layers in bipolar integrated circuits |
US6770521B2 (en) | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6760900B2 (en) | 2001-12-03 | 2004-07-06 | Anadigics Inc. | Integrated circuits with scalable design |
ITTO20011129A1 (it) | 2001-12-04 | 2003-06-04 | Infm Istituto Naz Per La Fisi | Metodo per la soppressione della diffusione anomala transiente di droganti in silicio. |
US6849528B2 (en) | 2001-12-12 | 2005-02-01 | Texas Instruments Incorporated | Fabrication of ultra shallow junctions from a solid source with fluorine implantation |
US7013359B1 (en) | 2001-12-21 | 2006-03-14 | Cypress Semiconductor Corporation | High speed memory interface system and method |
KR100794094B1 (ko) | 2001-12-28 | 2008-01-10 | 주식회사 하이닉스반도체 | 반도체 소자의 트랜지스터 제조 방법 |
US6662350B2 (en) | 2002-01-28 | 2003-12-09 | International Business Machines Corporation | FinFET layout generation |
US20030141033A1 (en) | 2002-01-31 | 2003-07-31 | Tht Presses Inc. | Semi-solid molding method |
US7919791B2 (en) | 2002-03-25 | 2011-04-05 | Cree, Inc. | Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same |
DE10214066B4 (de) | 2002-03-28 | 2007-02-01 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben |
CN100399576C (zh) | 2002-03-28 | 2008-07-02 | 先进微装置公司 | 于沟道区域中具有退化掺杂分布的半导体组件及用于制造该半导体组件的方法 |
US6762469B2 (en) | 2002-04-19 | 2004-07-13 | International Business Machines Corporation | High performance CMOS device structure with mid-gap metal gate |
US6957163B2 (en) | 2002-04-24 | 2005-10-18 | Yoshiyuki Ando | Integrated circuits having post-silicon adjustment control |
KR100410574B1 (ko) | 2002-05-18 | 2003-12-18 | 주식회사 하이닉스반도체 | 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법 |
KR100414736B1 (ko) | 2002-05-20 | 2004-01-13 | 주식회사 하이닉스반도체 | 반도체소자의 트랜지스터 형성방법 |
US6893947B2 (en) | 2002-06-25 | 2005-05-17 | Freescale Semiconductor, Inc. | Advanced RF enhancement-mode FETs with improved gate properties |
US6849492B2 (en) | 2002-07-08 | 2005-02-01 | Micron Technology, Inc. | Method for forming standard voltage threshold and low voltage threshold MOSFET devices |
US7673273B2 (en) | 2002-07-08 | 2010-03-02 | Tier Logic, Inc. | MPGA products based on a prototype FPGA |
US6743291B2 (en) | 2002-07-09 | 2004-06-01 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth |
JP4463482B2 (ja) | 2002-07-11 | 2010-05-19 | パナソニック株式会社 | Misfet及びその製造方法 |
US6869854B2 (en) | 2002-07-18 | 2005-03-22 | International Business Machines Corporation | Diffused extrinsic base and method for fabrication |
JP4020730B2 (ja) | 2002-08-26 | 2007-12-12 | シャープ株式会社 | 半導体装置およびその製造方法 |
KR100464935B1 (ko) | 2002-09-17 | 2005-01-05 | 주식회사 하이닉스반도체 | 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법 |
JP2004119513A (ja) | 2002-09-24 | 2004-04-15 | Toshiba Corp | 半導体装置及びその製造方法 |
US7226843B2 (en) | 2002-09-30 | 2007-06-05 | Intel Corporation | Indium-boron dual halo MOSFET |
US6743684B2 (en) | 2002-10-11 | 2004-06-01 | Texas Instruments Incorporated | Method to produce localized halo for MOS transistor |
US6864135B2 (en) | 2002-10-31 | 2005-03-08 | Freescale Semiconductor, Inc. | Semiconductor fabrication process using transistor spacers of differing widths |
DE10251308B4 (de) | 2002-11-04 | 2007-01-18 | Advanced Micro Devices, Inc., Sunnyvale | Integrierte geschaltete Kondensatorschaltung und Verfahren |
US6660605B1 (en) | 2002-11-12 | 2003-12-09 | Texas Instruments Incorporated | Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss |
JP3769262B2 (ja) | 2002-12-20 | 2006-04-19 | 株式会社東芝 | ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法 |
KR100486609B1 (ko) | 2002-12-30 | 2005-05-03 | 주식회사 하이닉스반도체 | 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법 |
US7205758B1 (en) | 2004-02-02 | 2007-04-17 | Transmeta Corporation | Systems and methods for adjusting threshold voltage |
AU2003291579A1 (en) | 2003-01-02 | 2004-07-29 | Pdf Solutions, Inc. | Yield improvement |
US6963090B2 (en) | 2003-01-09 | 2005-11-08 | Freescale Semiconductor, Inc. | Enhancement mode metal-oxide-semiconductor field effect transistor |
KR100499159B1 (ko) | 2003-02-28 | 2005-07-01 | 삼성전자주식회사 | 리세스 채널을 갖는 반도체장치 및 그 제조방법 |
US20040175893A1 (en) | 2003-03-07 | 2004-09-09 | Applied Materials, Inc. | Apparatuses and methods for forming a substantially facet-free epitaxial film |
KR100989006B1 (ko) | 2003-03-13 | 2010-10-20 | 크로스텍 캐피탈, 엘엘씨 | 씨모스 이미지센서의 제조방법 |
US7615802B2 (en) | 2003-03-19 | 2009-11-10 | Siced Electronics Development Gmbh & Co. Kg | Semiconductor structure comprising a highly doped conductive channel region and method for producing a semiconductor structure |
EP1611602A1 (en) | 2003-03-28 | 2006-01-04 | Koninklijke Philips Electronics N.V. | Method for epitaxial deposition of an n-doped silicon layer |
US7294877B2 (en) | 2003-03-28 | 2007-11-13 | Nantero, Inc. | Nanotube-on-gate FET structures and applications |
SE0300924D0 (sv) | 2003-03-28 | 2003-03-28 | Infineon Technologies Wireless | A method to provide a triple well in an epitaxially based CMOS or BiCMOS process |
EP1612861B1 (en) | 2003-04-10 | 2018-10-03 | Fujitsu Semiconductor Limited | Semiconductor device and its manufacturing method |
JP4469139B2 (ja) | 2003-04-28 | 2010-05-26 | シャープ株式会社 | 化合物半導体fet |
US7176137B2 (en) | 2003-05-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for multiple spacer width control |
US7652326B2 (en) | 2003-05-20 | 2010-01-26 | Fairchild Semiconductor Corporation | Power semiconductor devices and methods of manufacture |
US6794235B1 (en) | 2003-06-05 | 2004-09-21 | Texas Instruments Incorporated | Method of manufacturing a semiconductor device having a localized halo implant |
WO2004112145A1 (ja) | 2003-06-10 | 2004-12-23 | Fujitsu Limited | パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置 |
US6808994B1 (en) | 2003-06-17 | 2004-10-26 | Micron Technology, Inc. | Transistor structures and processes for forming same |
KR100476940B1 (ko) | 2003-06-20 | 2005-03-16 | 삼성전자주식회사 | 기판으로부터 수직으로 연장된 게이트 채널을 갖는디램기억 셀 및 그 제조방법 |
US7260562B2 (en) | 2003-06-30 | 2007-08-21 | Intel Corporation | Solutions for constraint satisfaction problems requiring multiple constraints |
US7036098B2 (en) | 2003-06-30 | 2006-04-25 | Sun Microsystems, Inc. | On-chip signal state duration measurement and adjustment |
EP1519421A1 (en) | 2003-09-25 | 2005-03-30 | Interuniversitair Microelektronica Centrum Vzw | Multiple gate semiconductor device and method for forming same |
JP2007505477A (ja) | 2003-07-23 | 2007-03-08 | エーエスエム アメリカ インコーポレイテッド | シリコン−オン−インシュレーター構造及びバルク基板に対するSiGeの堆積 |
US7521323B2 (en) | 2003-09-03 | 2009-04-21 | Nxp B.V. | Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device |
US6930007B2 (en) | 2003-09-15 | 2005-08-16 | Texas Instruments Incorporated | Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance |
JP4186774B2 (ja) | 2003-09-25 | 2008-11-26 | 沖電気工業株式会社 | 情報抽出装置,情報抽出方法,およびプログラム |
US7127687B1 (en) | 2003-10-14 | 2006-10-24 | Sun Microsystems, Inc. | Method and apparatus for determining transistor sizes |
US7109099B2 (en) | 2003-10-17 | 2006-09-19 | Chartered Semiconductor Manufacturing Ltd. | End of range (EOR) secondary defect engineering using substitutional carbon doping |
US7274076B2 (en) | 2003-10-20 | 2007-09-25 | Micron Technology, Inc. | Threshold voltage adjustment for long channel transistors |
US7141468B2 (en) | 2003-10-27 | 2006-11-28 | Texas Instruments Incorporated | Application of different isolation schemes for logic and embedded memory |
US7057216B2 (en) | 2003-10-31 | 2006-06-06 | International Business Machines Corporation | High mobility heterojunction complementary field effect transistors and methods thereof |
US7132323B2 (en) | 2003-11-14 | 2006-11-07 | International Business Machines Corporation | CMOS well structure and method of forming the same |
US6927137B2 (en) | 2003-12-01 | 2005-08-09 | Texas Instruments Incorporated | Forming a retrograde well in a transistor to enhance performance of the transistor |
US7279743B2 (en) | 2003-12-02 | 2007-10-09 | Vishay-Siliconix | Closed cell trench metal-oxide-semiconductor field effect transistor |
CN100477092C (zh) | 2003-12-18 | 2009-04-08 | Nxp股份有限公司 | 利用固相外延再生长的具有降低的结泄漏的半导体衬底及其制作方法 |
US7045456B2 (en) | 2003-12-22 | 2006-05-16 | Texas Instruments Incorporated | MOS transistor gates with thin lower metal silicide and methods for making the same |
US7111185B2 (en) | 2003-12-23 | 2006-09-19 | Micron Technology, Inc. | Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit |
DE10360874B4 (de) | 2003-12-23 | 2009-06-04 | Infineon Technologies Ag | Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren |
US7015741B2 (en) | 2003-12-23 | 2006-03-21 | Intel Corporation | Adaptive body bias for clock skew compensation |
US7005333B2 (en) | 2003-12-30 | 2006-02-28 | Infineon Technologies Ag | Transistor with silicon and carbon layer in the channel region |
KR100597460B1 (ko) | 2003-12-31 | 2006-07-05 | 동부일렉트로닉스 주식회사 | 반도체 소자의 트랜지스터 및제조방법 |
US6917237B1 (en) | 2004-03-02 | 2005-07-12 | Intel Corporation | Temperature dependent regulation of threshold voltage |
US7089515B2 (en) | 2004-03-09 | 2006-08-08 | International Business Machines Corporation | Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power |
US7176530B1 (en) | 2004-03-17 | 2007-02-13 | National Semiconductor Corporation | Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor |
US7089513B2 (en) | 2004-03-19 | 2006-08-08 | International Business Machines Corporation | Integrated circuit design for signal integrity, avoiding well proximity effects |
KR100626372B1 (ko) | 2004-04-09 | 2006-09-20 | 삼성전자주식회사 | 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법 |
US7564105B2 (en) | 2004-04-24 | 2009-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Quasi-plannar and FinFET-like transistors on bulk silicon |
US7402207B1 (en) | 2004-05-05 | 2008-07-22 | Advanced Micro Devices, Inc. | Method and apparatus for controlling the thickness of a selective epitaxial growth layer |
JP4795653B2 (ja) | 2004-06-15 | 2011-10-19 | ルネサスエレクトロニクス株式会社 | 半導体記憶装置 |
US7562233B1 (en) | 2004-06-22 | 2009-07-14 | Transmeta Corporation | Adaptive control of operating and body bias voltages |
US7221021B2 (en) | 2004-06-25 | 2007-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming high voltage devices with retrograde well |
US7491988B2 (en) | 2004-06-28 | 2009-02-17 | Intel Corporation | Transistors with increased mobility in the channel zone and method of fabrication |
US7169675B2 (en) | 2004-07-07 | 2007-01-30 | Chartered Semiconductor Manufacturing, Ltd | Material architecture for the fabrication of low temperature transistor |
US7462908B2 (en) | 2004-07-14 | 2008-12-09 | International Rectifier Corporation | Dynamic deep depletion field effect transistor |
US7186622B2 (en) | 2004-07-15 | 2007-03-06 | Infineon Technologies Ag | Formation of active area using semiconductor growth process without STI integration |
US7002214B1 (en) | 2004-07-30 | 2006-02-21 | International Business Machines Corporation | Ultra-thin body super-steep retrograde well (SSRW) FET devices |
US7119381B2 (en) | 2004-07-30 | 2006-10-10 | Freescale Semiconductor, Inc. | Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices |
US7071103B2 (en) | 2004-07-30 | 2006-07-04 | International Business Machines Corporation | Chemical treatment to retard diffusion in a semiconductor overlayer |
US7846822B2 (en) | 2004-07-30 | 2010-12-07 | The Board Of Trustees Of The University Of Illinois | Methods for controlling dopant concentration and activation in semiconductor structures |
DE102004037087A1 (de) | 2004-07-30 | 2006-03-23 | Advanced Micro Devices, Inc., Sunnyvale | Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren |
JP4469677B2 (ja) | 2004-08-04 | 2010-05-26 | パナソニック株式会社 | 半導体装置およびその製造方法 |
JP4664631B2 (ja) | 2004-08-05 | 2011-04-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
US7189627B2 (en) | 2004-08-19 | 2007-03-13 | Texas Instruments Incorporated | Method to improve SRAM performance and stability |
US8106481B2 (en) | 2004-09-03 | 2012-01-31 | Rao G R Mohan | Semiconductor devices with graded dopant regions |
US20060049464A1 (en) | 2004-09-03 | 2006-03-09 | Rao G R Mohan | Semiconductor devices with graded dopant regions |
US7425460B2 (en) | 2004-09-17 | 2008-09-16 | California Institute Of Technology | Method for implementation of back-illuminated CMOS or CCD imagers |
JP4540438B2 (ja) | 2004-09-27 | 2010-09-08 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US7095094B2 (en) | 2004-09-29 | 2006-08-22 | Agere Systems Inc. | Multiple doping level bipolar junctions transistors and method for forming |
US7332439B2 (en) | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7268049B2 (en) | 2004-09-30 | 2007-09-11 | International Business Machines Corporation | Structure and method for manufacturing MOSFET with super-steep retrograded island |
KR100652381B1 (ko) | 2004-10-28 | 2006-12-01 | 삼성전자주식회사 | 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법 |
US7226833B2 (en) | 2004-10-29 | 2007-06-05 | Freescale Semiconductor, Inc. | Semiconductor device structure and method therefor |
DE102004053761A1 (de) | 2004-11-08 | 2006-05-18 | Robert Bosch Gmbh | Halbleitereinrichtung und Verfahren für deren Herstellung |
US7402872B2 (en) | 2004-11-18 | 2008-07-22 | Intel Corporation | Method for forming an integrated circuit |
US20060113591A1 (en) | 2004-11-30 | 2006-06-01 | Chih-Hao Wan | High performance CMOS devices and methods for making same |
US7105399B1 (en) | 2004-12-07 | 2006-09-12 | Advanced Micro Devices, Inc. | Selective epitaxial growth for tunable channel thickness |
KR100642407B1 (ko) | 2004-12-29 | 2006-11-08 | 주식회사 하이닉스반도체 | 반도체 메모리 소자의 셀 트랜지스터 제조 방법 |
KR100613294B1 (ko) | 2004-12-30 | 2006-08-21 | 동부일렉트로닉스 주식회사 | 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법 |
US20060154428A1 (en) | 2005-01-12 | 2006-07-13 | International Business Machines Corporation | Increasing doping of well compensating dopant region according to increasing gate length |
US7193279B2 (en) | 2005-01-18 | 2007-03-20 | Intel Corporation | Non-planar MOS structure with a strained channel region |
US20060166417A1 (en) | 2005-01-27 | 2006-07-27 | International Business Machines Corporation | Transistor having high mobility channel and methods |
US7531436B2 (en) | 2005-02-14 | 2009-05-12 | Texas Instruments Incorporated | Highly conductive shallow junction formation |
US7404114B2 (en) | 2005-02-15 | 2008-07-22 | International Business Machines Corporation | System and method for balancing delay of signal communication paths through well voltage adjustment |
US20060203581A1 (en) | 2005-03-10 | 2006-09-14 | Joshi Rajiv V | Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions |
US7407850B2 (en) | 2005-03-29 | 2008-08-05 | Texas Instruments Incorporated | N+ poly on high-k dielectric for semiconductor devices |
JP4493536B2 (ja) | 2005-03-30 | 2010-06-30 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7338817B2 (en) | 2005-03-31 | 2008-03-04 | Intel Corporation | Body bias compensation for aged transistors |
US7170120B2 (en) | 2005-03-31 | 2007-01-30 | Intel Corporation | Carbon nanotube energy well (CNEW) field effect transistor |
US7271079B2 (en) | 2005-04-06 | 2007-09-18 | International Business Machines Corporation | Method of doping a gate electrode of a field effect transistor |
US7605429B2 (en) | 2005-04-15 | 2009-10-20 | International Business Machines Corporation | Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement |
JP4551811B2 (ja) | 2005-04-27 | 2010-09-29 | 株式会社東芝 | 半導体装置の製造方法 |
US7446380B2 (en) | 2005-04-29 | 2008-11-04 | International Business Machines Corporation | Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS |
US7441211B1 (en) | 2005-05-06 | 2008-10-21 | Blaze Dfm, Inc. | Gate-length biasing for digital circuit optimization |
US20060273379A1 (en) | 2005-06-06 | 2006-12-07 | Alpha & Omega Semiconductor, Ltd. | MOSFET using gate work function engineering for switching applications |
US7354833B2 (en) | 2005-06-10 | 2008-04-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for improving threshold voltage stability of a MOS device |
US20070040222A1 (en) | 2005-06-15 | 2007-02-22 | Benjamin Van Camp | Method and apparatus for improved ESD performance |
US7190050B2 (en) | 2005-07-01 | 2007-03-13 | Synopsys, Inc. | Integrated circuit on corrugated substrate |
JP4800700B2 (ja) | 2005-08-01 | 2011-10-26 | ルネサスエレクトロニクス株式会社 | 半導体装置およびそれを用いた半導体集積回路 |
US7409651B2 (en) | 2005-08-05 | 2008-08-05 | International Business Machines Corporation | Automated migration of analog and mixed-signal VLSI design |
US7314794B2 (en) | 2005-08-08 | 2008-01-01 | International Business Machines Corporation | Low-cost high-performance planar back-gate CMOS |
US7307471B2 (en) | 2005-08-26 | 2007-12-11 | Texas Instruments Incorporated | Adaptive voltage control and body bias for performance and energy optimization |
US7838369B2 (en) | 2005-08-29 | 2010-11-23 | National Semiconductor Corporation | Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications |
JP2007073578A (ja) | 2005-09-05 | 2007-03-22 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JP2007103863A (ja) | 2005-10-07 | 2007-04-19 | Nec Electronics Corp | 半導体デバイス |
US7569873B2 (en) | 2005-10-28 | 2009-08-04 | Dsm Solutions, Inc. | Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys |
US7465642B2 (en) | 2005-10-28 | 2008-12-16 | International Business Machines Corporation | Methods for forming semiconductor structures with buried isolation collars |
JP4256381B2 (ja) | 2005-11-09 | 2009-04-22 | 株式会社東芝 | 半導体装置 |
US8255843B2 (en) | 2005-11-14 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing strained-silicon semiconductor device |
US7462538B2 (en) | 2005-11-15 | 2008-12-09 | Infineon Technologies Ag | Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials |
US7759206B2 (en) | 2005-11-29 | 2010-07-20 | International Business Machines Corporation | Methods of forming semiconductor devices using embedded L-shape spacers |
US7666771B2 (en) | 2005-12-09 | 2010-02-23 | Semequip, Inc. | System and method for the manufacture of semiconductor devices by the implantation of carbon clusters |
JP2009521801A (ja) | 2005-12-22 | 2009-06-04 | エーエスエム アメリカ インコーポレイテッド | ドープされた半導体物質のエピタキシャル堆積 |
KR100657130B1 (ko) | 2005-12-27 | 2006-12-13 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
US7633134B2 (en) | 2005-12-29 | 2009-12-15 | Jaroslav Hynecek | Stratified photodiode for high resolution CMOS image sensor implemented with STI technology |
US7485536B2 (en) | 2005-12-30 | 2009-02-03 | Intel Corporation | Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers |
JP5145691B2 (ja) | 2006-02-23 | 2013-02-20 | セイコーエプソン株式会社 | 半導体装置 |
US7355437B2 (en) * | 2006-03-06 | 2008-04-08 | Altera Corporation | Latch-up prevention circuitry for integrated circuits with transistor body biasing |
US20070212861A1 (en) | 2006-03-07 | 2007-09-13 | International Business Machines Corporation | Laser surface annealing of antimony doped amorphized semiconductor region |
US7380225B2 (en) | 2006-03-14 | 2008-05-27 | International Business Machines Corporation | Method and computer program for efficient cell failure rate estimation in cell arrays |
JP5283827B2 (ja) | 2006-03-30 | 2013-09-04 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7351637B2 (en) | 2006-04-10 | 2008-04-01 | General Electric Company | Semiconductor transistors having reduced channel widths and methods of fabricating same |
US7681628B2 (en) | 2006-04-12 | 2010-03-23 | International Business Machines Corporation | Dynamic control of back gate bias in a FinFET SRAM cell |
US7348629B2 (en) | 2006-04-20 | 2008-03-25 | International Business Machines Corporation | Metal gated ultra short MOSFET devices |
US20070257315A1 (en) | 2006-05-04 | 2007-11-08 | International Business Machines Corporation | Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors |
KR100703986B1 (ko) | 2006-05-22 | 2007-04-09 | 삼성전자주식회사 | 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 |
US20090321849A1 (en) | 2006-05-23 | 2009-12-31 | Nec Corporation | Semiconductor device, integrated circuit, and semiconductor manufacturing method |
US7384835B2 (en) | 2006-05-25 | 2008-06-10 | International Business Machines Corporation | Metal oxide field effect transistor with a sharp halo and a method of forming the transistor |
US7941776B2 (en) | 2006-05-26 | 2011-05-10 | Open-Silicon Inc. | Method of IC design optimization via creation of design-specific cells from post-layout patterns |
JP5073968B2 (ja) | 2006-05-31 | 2012-11-14 | 住友化学株式会社 | 化合物半導体エピタキシャル基板およびその製造方法 |
US7503020B2 (en) | 2006-06-19 | 2009-03-10 | International Business Machines Corporation | IC layout optimization to improve yield |
US7469164B2 (en) | 2006-06-26 | 2008-12-23 | Nanometrics Incorporated | Method and apparatus for process control with in-die metrology |
JP4271210B2 (ja) | 2006-06-30 | 2009-06-03 | 株式会社東芝 | 電界効果トランジスタ、集積回路素子、及びそれらの製造方法 |
US7538412B2 (en) | 2006-06-30 | 2009-05-26 | Infineon Technologies Austria Ag | Semiconductor device with a field stop zone |
GB0613289D0 (en) | 2006-07-04 | 2006-08-16 | Imagination Tech Ltd | Synchronisation of execution threads on a multi-threaded processor |
KR100791072B1 (ko) * | 2006-07-18 | 2008-01-02 | 삼성전자주식회사 | 반도체 장치의 승압 전압 발생기 및 이를 이용한 반도체메모리 장치 |
CN103981568A (zh) | 2006-07-31 | 2014-08-13 | 应用材料公司 | 形成含碳外延硅层的方法 |
US7496862B2 (en) | 2006-08-29 | 2009-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for automatically modifying integrated circuit layout |
CN101351890A (zh) | 2006-09-07 | 2009-01-21 | 胜高股份有限公司 | 固态图像传感装置的半导体基板以及固态图像传感装置和其制造方法 |
US20080067589A1 (en) | 2006-09-20 | 2008-03-20 | Akira Ito | Transistor having reduced channel dopant fluctuation |
US7683442B1 (en) | 2006-09-29 | 2010-03-23 | Burr James B | Raised source/drain with super steep retrograde channel |
JP2008085253A (ja) | 2006-09-29 | 2008-04-10 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
US7642150B2 (en) | 2006-11-08 | 2010-01-05 | Varian Semiconductor Equipment Associates, Inc. | Techniques for forming shallow junctions |
US7750374B2 (en) | 2006-11-14 | 2010-07-06 | Freescale Semiconductor, Inc | Process for forming an electronic device including a transistor having a metal gate electrode |
US7696000B2 (en) | 2006-12-01 | 2010-04-13 | International Business Machines Corporation | Low defect Si:C layer with retrograde carbon profile |
US7741200B2 (en) | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7821066B2 (en) | 2006-12-08 | 2010-10-26 | Michael Lebby | Multilayered BOX in FDSOI MOSFETS |
US7897495B2 (en) | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
JP2008153415A (ja) * | 2006-12-18 | 2008-07-03 | Renesas Technology Corp | 半導体集積回路およびその製造方法 |
US8217423B2 (en) | 2007-01-04 | 2012-07-10 | International Business Machines Corporation | Structure and method for mobility enhanced MOSFETs with unalloyed silicide |
US7416605B2 (en) | 2007-01-08 | 2008-08-26 | Freescale Semiconductor, Inc. | Anneal of epitaxial layer in a semiconductor device |
KR100819562B1 (ko) | 2007-01-15 | 2008-04-08 | 삼성전자주식회사 | 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법 |
US20080169516A1 (en) | 2007-01-17 | 2008-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices for alleviating well proximity effects |
KR100862113B1 (ko) | 2007-01-22 | 2008-10-09 | 삼성전자주식회사 | 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법 |
US7644377B1 (en) | 2007-01-31 | 2010-01-05 | Hewlett-Packard Development Company, L.P. | Generating a configuration of a system that satisfies constraints contained in models |
KR100836767B1 (ko) | 2007-02-05 | 2008-06-10 | 삼성전자주식회사 | 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법 |
KR101312259B1 (ko) | 2007-02-09 | 2013-09-25 | 삼성전자주식회사 | 박막 트랜지스터 및 그 제조방법 |
US7781288B2 (en) | 2007-02-21 | 2010-08-24 | International Business Machines Corporation | Semiconductor structure including gate electrode having laterally variable work function |
US7818702B2 (en) | 2007-02-28 | 2010-10-19 | International Business Machines Corporation | Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates |
US7831873B1 (en) | 2007-03-07 | 2010-11-09 | Xilinx, Inc. | Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits |
US7602017B2 (en) | 2007-03-13 | 2009-10-13 | Fairchild Semiconductor Corporation | Short channel LV, MV, and HV CMOS devices |
US7598142B2 (en) | 2007-03-15 | 2009-10-06 | Pushkar Ranade | CMOS device with dual-epi channels and self-aligned contacts |
JP2008235568A (ja) | 2007-03-20 | 2008-10-02 | Toshiba Corp | 半導体装置およびその製造方法 |
US8394687B2 (en) | 2007-03-30 | 2013-03-12 | Intel Corporation | Ultra-abrupt semiconductor junction profile |
US7496867B2 (en) | 2007-04-02 | 2009-02-24 | Lsi Corporation | Cell library management for power optimization |
US7737472B2 (en) | 2007-04-05 | 2010-06-15 | Panasonic Corporation | Semiconductor integrated circuit device |
CN101030602B (zh) | 2007-04-06 | 2012-03-21 | 上海集成电路研发中心有限公司 | 一种可减小短沟道效应的mos晶体管及其制作方法 |
US7692220B2 (en) | 2007-05-01 | 2010-04-06 | Suvolta, Inc. | Semiconductor device storage cell structure, method of operation, and method of manufacture |
US7586322B1 (en) | 2007-05-02 | 2009-09-08 | Altera Corporation | Test structure and method for measuring mismatch and well proximity effects |
US20080272409A1 (en) | 2007-05-03 | 2008-11-06 | Dsm Solutions, Inc.; | JFET Having a Step Channel Doping Profile and Method of Fabrication |
US20080315206A1 (en) | 2007-06-19 | 2008-12-25 | Herner S Brad | Highly Scalable Thin Film Transistor |
US7759714B2 (en) | 2007-06-26 | 2010-07-20 | Hitachi, Ltd. | Semiconductor device |
CN101720463B (zh) | 2007-06-28 | 2012-09-26 | 萨格昂泰克以色列有限公司 | 基于设计规则和用户约束的半导体布图修正方法 |
US7651920B2 (en) | 2007-06-29 | 2010-01-26 | Infineon Technologies Ag | Noise reduction in semiconductor device using counter-doping |
KR100934789B1 (ko) | 2007-08-29 | 2009-12-31 | 주식회사 동부하이텍 | 반도체 소자 및 그 제조 방법 |
US7895546B2 (en) | 2007-09-04 | 2011-02-22 | Lsi Corporation | Statistical design closure |
US7795677B2 (en) | 2007-09-05 | 2010-09-14 | International Business Machines Corporation | Nanowire field-effect transistors |
JP2009064860A (ja) | 2007-09-05 | 2009-03-26 | Renesas Technology Corp | 半導体装置 |
JP5242103B2 (ja) | 2007-09-07 | 2013-07-24 | ルネサスエレクトロニクス株式会社 | 半導体集積回路のレイアウト方法 |
US7675317B2 (en) | 2007-09-14 | 2010-03-09 | Altera Corporation | Integrated circuits with adjustable body bias and power supply circuitry |
US7926018B2 (en) | 2007-09-25 | 2011-04-12 | Synopsys, Inc. | Method and apparatus for generating a layout for a transistor |
US8053340B2 (en) | 2007-09-27 | 2011-11-08 | National University Of Singapore | Method for fabricating semiconductor devices with reduced junction diffusion |
US7704844B2 (en) | 2007-10-04 | 2010-04-27 | International Business Machines Corporation | High performance MOSFET |
US8329564B2 (en) | 2007-10-26 | 2012-12-11 | International Business Machines Corporation | Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method |
US7948008B2 (en) | 2007-10-26 | 2011-05-24 | Micron Technology, Inc. | Floating body field-effect transistors, and methods of forming floating body field-effect transistors |
DE102007052220B4 (de) | 2007-10-31 | 2015-04-09 | Globalfoundries Inc. | Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation |
JP5528667B2 (ja) | 2007-11-28 | 2014-06-25 | ルネサスエレクトロニクス株式会社 | 半導体装置および半導体装置の制御方法 |
US7994573B2 (en) | 2007-12-14 | 2011-08-09 | Fairchild Semiconductor Corporation | Structure and method for forming power devices with carbon-containing region |
US7745270B2 (en) | 2007-12-28 | 2010-06-29 | Intel Corporation | Tri-gate patterning using dual layer gate stack |
US7622341B2 (en) | 2008-01-16 | 2009-11-24 | International Business Machines Corporation | Sige channel epitaxial development for high-k PFET manufacturability |
DE102008006961A1 (de) | 2008-01-31 | 2009-08-27 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet |
CN102007584B (zh) | 2008-02-14 | 2013-01-16 | 马克斯半导体股份有限公司 | 半导体装置结构及其相关工艺 |
FR2928028B1 (fr) | 2008-02-27 | 2011-07-15 | St Microelectronics Crolles 2 | Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant. |
US7867835B2 (en) | 2008-02-29 | 2011-01-11 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system for suppressing short channel effects |
US7750682B2 (en) | 2008-03-10 | 2010-07-06 | International Business Machines Corporation | CMOS back-gated keeper technique |
US7968440B2 (en) | 2008-03-19 | 2011-06-28 | The Board Of Trustees Of The University Of Illinois | Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering |
KR101502033B1 (ko) | 2008-04-11 | 2015-03-12 | 삼성전자주식회사 | Adc의 전류 제어 회로 및 방법 |
EP2112686B1 (en) | 2008-04-22 | 2011-10-12 | Imec | Method for fabricating a dual workfunction semiconductor device made thereof |
JP2009267159A (ja) | 2008-04-25 | 2009-11-12 | Sumco Techxiv株式会社 | 半導体ウェーハの製造装置及び方法 |
US8164378B2 (en) * | 2008-05-06 | 2012-04-24 | Freescale Semiconductor, Inc. | Device and technique for transistor well biasing |
JP5173582B2 (ja) | 2008-05-19 | 2013-04-03 | 株式会社東芝 | 半導体装置 |
US8225255B2 (en) | 2008-05-21 | 2012-07-17 | International Business Machines Corporation | Placement and optimization of process dummy cells |
DE102008026213B3 (de) | 2008-05-30 | 2009-09-24 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation |
JP2009302126A (ja) * | 2008-06-10 | 2009-12-24 | Renesas Technology Corp | 半導体装置 |
FR2932609B1 (fr) | 2008-06-11 | 2010-12-24 | Commissariat Energie Atomique | Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable |
US8471307B2 (en) | 2008-06-13 | 2013-06-25 | Texas Instruments Incorporated | In-situ carbon doped e-SiGeCB stack for MOS transistor |
US8129797B2 (en) | 2008-06-18 | 2012-03-06 | International Business Machines Corporation | Work function engineering for eDRAM MOSFETs |
US20100012988A1 (en) | 2008-07-21 | 2010-01-21 | Advanced Micro Devices, Inc. | Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same |
US7639041B1 (en) * | 2008-07-28 | 2009-12-29 | Altera Corporation | Hotsocket-compatible body bias circuitry with power-up current reduction capabilities |
US7951678B2 (en) | 2008-08-12 | 2011-05-31 | International Business Machines Corporation | Metal-gate high-k reference structure |
DE102008045037B4 (de) | 2008-08-29 | 2010-12-30 | Advanced Micro Devices, Inc., Sunnyvale | Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren |
US7927943B2 (en) | 2008-09-12 | 2011-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for tuning a work function of high-k metal gate devices |
US8067302B2 (en) | 2008-09-25 | 2011-11-29 | Applied Materials, Inc. | Defect-free junction formation using laser melt annealing of octadecaborane self-amorphizing implants |
US20100100856A1 (en) | 2008-10-17 | 2010-04-22 | Anurag Mittal | Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics |
JP5519140B2 (ja) | 2008-10-28 | 2014-06-11 | ルネサスエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7824986B2 (en) | 2008-11-05 | 2010-11-02 | Micron Technology, Inc. | Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions |
US8103983B2 (en) | 2008-11-12 | 2012-01-24 | International Business Machines Corporation | Electrically-driven optical proximity correction to compensate for non-optical effects |
US8170857B2 (en) | 2008-11-26 | 2012-05-01 | International Business Machines Corporation | In-situ design method and system for improved memory yield |
DE102008059501B4 (de) | 2008-11-28 | 2012-09-20 | Advanced Micro Devices, Inc. | Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse |
US20100148153A1 (en) | 2008-12-16 | 2010-06-17 | Hudait Mantu K | Group III-V devices with delta-doped layer under channel region |
US7960238B2 (en) | 2008-12-29 | 2011-06-14 | Texas Instruments Incorporated | Multiple indium implant methods and devices and integrated circuits therefrom |
DE102008063427B4 (de) | 2008-12-31 | 2013-02-28 | Advanced Micro Devices, Inc. | Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung |
JP5350815B2 (ja) | 2009-01-22 | 2013-11-27 | 株式会社東芝 | 半導体装置 |
US7829402B2 (en) | 2009-02-10 | 2010-11-09 | General Electric Company | MOSFET devices and methods of making |
US20100207182A1 (en) | 2009-02-13 | 2010-08-19 | International Business Machines Corporation | Implementing Variable Threshold Voltage Transistors |
US8048791B2 (en) | 2009-02-23 | 2011-11-01 | Globalfoundries Inc. | Method of forming a semiconductor device |
US8163619B2 (en) | 2009-03-27 | 2012-04-24 | National Semiconductor Corporation | Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone |
US8178430B2 (en) | 2009-04-08 | 2012-05-15 | International Business Machines Corporation | N-type carrier enhancement in semiconductors |
US8214190B2 (en) | 2009-04-13 | 2012-07-03 | International Business Machines Corporation | Methodology for correlated memory fail estimations |
US7943457B2 (en) | 2009-04-14 | 2011-05-17 | International Business Machines Corporation | Dual metal and dual dielectric integration for metal high-k FETs |
JP2010258264A (ja) | 2009-04-27 | 2010-11-11 | Toshiba Corp | 半導体集積回路装置およびその設計方法 |
US8183107B2 (en) | 2009-05-27 | 2012-05-22 | Globalfoundries Inc. | Semiconductor devices with improved local matching and end resistance of RX based resistors |
US8173499B2 (en) | 2009-06-12 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating a gate stack integration of complementary MOS device |
US8227307B2 (en) | 2009-06-24 | 2012-07-24 | International Business Machines Corporation | Method for removing threshold voltage adjusting layer with external acid diffusion process |
US8236661B2 (en) | 2009-09-28 | 2012-08-07 | International Business Machines Corporation | Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage |
CN102034865B (zh) | 2009-09-30 | 2012-07-04 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US20110079861A1 (en) | 2009-09-30 | 2011-04-07 | Lucian Shifren | Advanced Transistors with Threshold Voltage Set Dopant Structures |
US8273617B2 (en) * | 2009-09-30 | 2012-09-25 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
CN102640269B (zh) * | 2009-09-30 | 2015-08-12 | 苏沃塔公司 | 电子装置和系统及其制造和使用方法 |
EP2309544B1 (en) | 2009-10-06 | 2019-06-12 | IMEC vzw | Tunnel field effect transistor with improved subthreshold swing |
US8552795B2 (en) | 2009-10-22 | 2013-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Substrate bias control circuit for system on chip |
WO2011062788A1 (en) | 2009-11-17 | 2011-05-26 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
US8114761B2 (en) | 2009-11-30 | 2012-02-14 | Applied Materials, Inc. | Method for doping non-planar transistors |
US8598003B2 (en) | 2009-12-21 | 2013-12-03 | Intel Corporation | Semiconductor device having doped epitaxial region and its methods of fabrication |
JP2011135150A (ja) * | 2009-12-22 | 2011-07-07 | Renesas Electronics Corp | D/aコンバータ回路及びその電圧供給制御方法 |
TWI404209B (zh) | 2009-12-31 | 2013-08-01 | Univ Nat Chiao Tung | 高電子遷移率電晶體及其製作方法 |
US8343818B2 (en) | 2010-01-14 | 2013-01-01 | International Business Machines Corporation | Method for forming retrograded well for MOSFET |
US8697521B2 (en) | 2010-01-21 | 2014-04-15 | International Business Machines Corporation | Structure and method for making low leakage and low mismatch NMOSFET |
US8048810B2 (en) | 2010-01-29 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for metal gate N/P patterning |
US8288798B2 (en) | 2010-02-10 | 2012-10-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Step doping in extensions of III-V family semiconductor devices |
US20110212590A1 (en) | 2010-02-26 | 2011-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | High temperature implantation method for stressor formation |
US8385147B2 (en) | 2010-03-30 | 2013-02-26 | Silicon Storage Technology, Inc. | Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features |
US8530286B2 (en) | 2010-04-12 | 2013-09-10 | Suvolta, Inc. | Low power semiconductor transistor structure and method of fabrication thereof |
US8176461B1 (en) | 2010-05-10 | 2012-05-08 | Xilinx, Inc. | Design-specific performance specification based on a yield for programmable integrated circuits |
US8201122B2 (en) | 2010-05-25 | 2012-06-12 | International Business Machines Corporation | Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes |
JP5614877B2 (ja) | 2010-05-28 | 2014-10-29 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US8759872B2 (en) * | 2010-06-22 | 2014-06-24 | Suvolta, Inc. | Transistor with threshold voltage set notch and method of fabrication thereof |
US8361872B2 (en) | 2010-09-07 | 2013-01-29 | International Business Machines Corporation | High performance low power bulk FET device and method of manufacture |
JP2012060016A (ja) | 2010-09-10 | 2012-03-22 | Renesas Electronics Corp | 半導体装置の評価方法、評価装置、及びシミュレーション方法 |
US8450169B2 (en) | 2010-11-29 | 2013-05-28 | International Business Machines Corporation | Replacement metal gate structures providing independent control on work function and gate leakage current |
US8404551B2 (en) * | 2010-12-03 | 2013-03-26 | Suvolta, Inc. | Source/drain extension control for advanced transistors |
US8466473B2 (en) | 2010-12-06 | 2013-06-18 | International Business Machines Corporation | Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs |
US8656339B2 (en) | 2010-12-22 | 2014-02-18 | Advanced Micro Devices, Inc. | Method for analyzing sensitivity and failure probability of a circuit |
JP2012169810A (ja) * | 2011-02-14 | 2012-09-06 | Renesas Electronics Corp | レベルシフト回路 |
US8299562B2 (en) | 2011-03-28 | 2012-10-30 | Nanya Technology Corporation | Isolation structure and device structure including the same |
US8324059B2 (en) | 2011-04-25 | 2012-12-04 | United Microelectronics Corp. | Method of fabricating a semiconductor structure |
-
2014
- 2014-07-25 US US14/341,733 patent/US9710006B2/en active Active
-
2015
- 2015-07-13 JP JP2015140054A patent/JP6746881B2/ja active Active
- 2015-07-27 CN CN201510446073.8A patent/CN105429618B/zh active Active
-
2020
- 2020-04-17 JP JP2020073929A patent/JP6943314B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
JP2020120402A (ja) | 2020-08-06 |
JP2016032295A (ja) | 2016-03-07 |
CN105429618B (zh) | 2018-12-11 |
US9710006B2 (en) | 2017-07-18 |
US20160026207A1 (en) | 2016-01-28 |
JP6746881B2 (ja) | 2020-08-26 |
CN105429618A (zh) | 2016-03-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6943314B2 (ja) | 集積回路デバイス及び方法 | |
JP5313217B2 (ja) | SeOI上の疑似インバータ回路 | |
KR102038041B1 (ko) | 전원 선택 회로 | |
US9853019B2 (en) | Integrated circuit device body bias circuits and methods | |
JP2002343083A (ja) | 半導体装置 | |
EP3462274B1 (en) | Semiconductor devices for sensing voltages | |
US20160191041A1 (en) | Circuit and Method for Power-On Reset of an Integrated Circuit | |
US9064552B2 (en) | Word line driver and related method | |
KR20160118026A (ko) | 내부전압 생성회로 | |
US11599185B2 (en) | Internet of things (IoT) power and performance management technique and circuit methodology | |
KR100605591B1 (ko) | 반도체 소자의 승압전압 발생기 | |
US5563548A (en) | Output voltage controlling circuit in a negative charge pump | |
JP2006014371A (ja) | 半導体装置 | |
US7319357B2 (en) | System for controlling switch transistor performance | |
Goel et al. | Area efficient diode and on transistor inter‐changeable power gating scheme with trim options for SRAM design in nano‐complementary metal oxide semiconductor technology | |
TW201405275A (zh) | 驅動電路及其中之電流控制電路 | |
JP2005236654A (ja) | 半導体mos集積回路 | |
KR20180076192A (ko) | 차지 펌프 회로 및 그를 포함하는 전압 발생 장치 | |
KR100340051B1 (ko) | 반도체소자의백바이어스전압발생회로 | |
EP2372716A1 (en) | Pseudo-inverter circuit on SeOI | |
Fahim | Low-leakage current, low-area voltage regulator for system-on-a-chip processors | |
JP2004140842A (ja) | 半導体装置 | |
KR20050047883A (ko) | 반도체 기판 바이어스 전압 발생 장치 | |
US9065444B2 (en) | Power-up initial circuit | |
US20170099045A1 (en) | Semiconductor device and method for driving the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20200417 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20210326 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210406 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210519 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20210810 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20210823 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6943314 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |