CN105429618B - 上电体偏置电路和方法 - Google Patents

上电体偏置电路和方法 Download PDF

Info

Publication number
CN105429618B
CN105429618B CN201510446073.8A CN201510446073A CN105429618B CN 105429618 B CN105429618 B CN 105429618B CN 201510446073 A CN201510446073 A CN 201510446073A CN 105429618 B CN105429618 B CN 105429618B
Authority
CN
China
Prior art keywords
voltage
supply voltage
bias
transistor
body bias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510446073.8A
Other languages
English (en)
Other versions
CN105429618A (zh
Inventor
E·J·博林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Triple Fujitsu Semiconductor Co Ltd
Original Assignee
Triple Fujitsu Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Triple Fujitsu Semiconductor Co Ltd filed Critical Triple Fujitsu Semiconductor Co Ltd
Publication of CN105429618A publication Critical patent/CN105429618A/zh
Application granted granted Critical
Publication of CN105429618B publication Critical patent/CN105429618B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • G05F3/08Regulating voltage or current wherein the variable is dc
    • G05F3/10Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics
    • G05F3/16Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices
    • G05F3/20Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices using diode- transistor combinations
    • G05F3/205Substrate bias-voltage generators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Nonlinear Science (AREA)
  • Electromagnetism (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Automation & Control Theory (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electronic Switches (AREA)
  • Control Of Electrical Variables (AREA)

Abstract

一种上电体偏置电路和方法,其中该装置可包括:至少第一体偏置电路,被配置为生成第一体偏置电压,该第一体偏置电压不同于集成电路装置的电源电压;至少第一偏置控制电路,被配置为将第一体偏置节点设置为第一电源电压,并且随后使得所述第一体偏置节点被设置为所述第一体偏置电压;以及多个第一晶体管,具有连接至所述第一体偏置节点的本体。采用本公开的装置和方法,可以比传统方法更有效地生成体偏置电压。

Description

上电体偏置电路和方法
技术领域
本发明大致涉及用于集成电路装置的晶体管体偏置电路,特别涉及用于在这类装置上电后建立体偏置电压的体偏置电路。
背景技术
集成电路(IC)装置可包括多个晶体管,例如绝缘栅场效应晶体管(下文称为MOS型晶体管,但并不暗示任何特定的栅极或栅极绝缘材料)。MOS型晶体管可包括栅极、漏极、源极和本体。在某些IC装置或具有IC装置的某些电路中,晶体管的本体固定连接至电源电压。例如,p沟道MOS晶体管的本体连接至高电源电压(例如,VDD),而n沟道晶体管的本体连接至低电源电压(例如,VSS)
然而,在其他IC装置或具有IC装置的电路中,晶体管的本体可被偏置为不同于电源电压的电势。这样的体偏置可有利地改变晶体管的性能。例如,较大的反向体偏置(即,偏置到高于VDD的电压的p沟道体偏置或者偏置到低于VSS的电压的n沟道体偏置)可降低晶体管电流泄漏。较大的正向体偏置(即,偏置到低于VDD的电压的p沟道体偏置或者偏置到高于VSS的电压的n沟道体偏置)可提高晶体管切换速度。在某些情况下,IC装置可包括生成所需体偏置电压的一个或多个体偏置电压生成电路,生成的体偏置电压随后被施加到目标晶体管的本体。
实施体偏置电路的缺点是,在对IC装置进行加电的瞬态期间需要对体电压进行严格控制。如果未严格控制体电压电平,则由晶体管本体形成的p-n结会正向偏置,这会牵引大量的电流,从而可能损坏IC装置。而且,晶体管本体的初始浮置状态可导致闩锁情况(寄生双极结型晶体管的激活)。
发明内容
针对现有技术中存在的问题,本申请提供一种集成电路装置及方法。
根据本申请的一个方案,一种集成电路装置,包括:
至少一第一体偏置电路,被配置为生成第一体偏置电压,所述第一体偏置电压不同于所述IC装置的电源电压;
至少一第一偏置控制电路,被配置为将第一体偏置节点设置为第一电源电压,并且随后使得所述第一体偏置节点被设置为所述第一体偏置电压;以及
多个第一晶体管,具有连接至所述第一体偏置节点的本体。
根据本申请的第二方案,提供了一种方法,包括:
将第一电源电压施加至集成电路装置;
一开始将至少第一体偏置节点钳制在所述第一电源电压;
以所述第一电源电压生成至少第一体偏置电压;以及
随后使所述第一体偏置节点由所述第一体偏置电压驱动;其中
所述第一体偏置节点将所述第一体偏置电压提供给多个第一晶体管的本体。
根据本申请的第三方案,提供了一种集成电路装置,包括:
第一电源连接部,被配置为接收第一电源电压;
第二电源连接部,被配置为接收大于所述第一电源电压的第二电源电压;
至少以第一体偏置生成电路,被耦接以在生成器电源节点处接收电力,并且被配置为生成第一体偏置电压;
开关电路,被配置为将所述第一电源连接部或所述第二电源连接部耦接至所述生成器电源节点;以及
多个第一晶体管,具有被耦接以接收所述第一体偏置电压的本体。
采用本申请的装置和方法,避免了可能正偏p-n结和/或导致闩锁的情况,并且与传统方法相比更有效地生成体偏置电压。
附图说明
图1是根据一实施例的体偏置电路的示意框图。
图2A是根据另一实施例的体偏置电路的示意框图。
图2B是示出与图2A中电路类似的电路的上电操作的时序图。
图3A是根据另一实施例的体偏置电路的示意框图。
图3B是示出与图3A中电路类似的电路的上电操作的时序图。
图4A是可以包括在实施例中的钳位装置的示意图。
图4B是可以包括在图4A的装置中的钳位晶体管的侧剖面图。
图5A是可以包括在实施例中的另一钳位装置的示意图。
图5B是可以包括在图5A的装置中的钳位晶体管的侧剖面图。
图6A是可以包括在实施例中的另一钳位装置的示意图。
图6B是可以包括在图6A的装置中的钳位晶体管的侧剖面图。
图7A是可以包括在实施例中的另一钳位装置的示意图。
图7B是可以包括在图7A的装置中的钳位晶体管的侧剖面图。
图8是示出常规集成电路(IC)装置的上电操作的模拟结果的图。
图9是示出根据一实施例的IC装置的上电操作的模拟结果的图。
图10是根据一实施例的钳位电路的示意图。
图11A到图11C是可以包括在实施例中的深度耗尽沟道(DDC)晶体管的侧剖面图。
图12A是根据一实施例的具有体偏置的电路的图示。
图12B是示出与图12A中所表示的IC装置类似的IC装置的上电操作的时序图。
图13A是常规体偏置布置的示意框图。
图13B是示出与图13A中装置类似的装置的上电操作的时序图。
具体实施方式
下面将结合多个附图对本发明各实施例进行描述。实施例示出了能够减少电流尖峰和/或闩锁效应的用于在上电操作期间控制体偏置电压的电路、集成电路(IC)装置和方法。上电操作可包括当电源电压开始升高时(包括当装置开始上电、复位、遭遇电力中断事件或出于节约用电目的而动态上电和掉电时,当然这些只不过是例举几个例子而已)的那些操作。
在以下实施例中,类似项目由相同的附图标记指代,最开始的数字对应于图号。
图12A是IC装置中的体偏置互补MOS晶体管的图形表示。IC装置1200可包括具有连接至高电源电压(VDD)的源极的p沟道晶体管P120以及接收p沟道体偏置电压(VBP)的本体。类似地,n沟道晶体管N120可具有连接至低电源电压(VSS)的源极以及接收n沟道体偏置电压(VBN)的本体。图12A还示出了晶体管栅电压Vgate(该电压示出为施加在比如晶体管P120和N120上)。
图12B是示出用于类似于图12A中所表示的IC装置的上电操作的时序图。图12B示出了VDD、VBP、VBN和上图12A中提到的Vgate。在上电操作期间,VDD从VSS(在本示例中为0V)增加至期望电平(VDD_opt)。然而,在此期间,体偏置电路并未激活。因此,晶体管的体电压(VBP和VBN)可浮动。相应地,由于电阻和/或电容耦合,该体偏置电压可能变化。如果VBN上升到超过VSS足够数量或者VBP低于VDD足够数量,则由晶体管本体形成的p-n结会正偏,从而牵引大量电流。这样的浮动本体也会造成闩锁情况。
仍然参见图12B,一旦VDD达到稳定电平,体偏置电路就会被激活。这如时刻ta所示,在该时间处VBP被驱动至VBP_opt,VBN被驱动至VBN_opt。
图13A和13B示出在上电操作期间处理体(即,阱)偏置的一种常规方式。在图13A和13B的常规方式中,假设IC装置具有高于VDD的输入/输出电源电压(VDDIO)。而且,VDDIO先于VDD首先被上电。图13A示出了具有由VDDIO供电的体偏置电路的一种常规体偏置布置。特别地,p沟道体偏置生成电路1301可由VDDIO生成VBP,n沟道体偏置生成电路1303可由VDDIO生成VBN。
如图13B所示,体偏置电路1301/1302能够在VDD升高之前建立体偏置电压VBP/VBN。这能大幅度减少上电时的电流消耗(current draw),并且能防止和/或大幅度降低出现闩锁的可能性。
值得注意的是,该体偏置方法的效率局限于:
其中,Vout是VBP或VBN。例如,假设VBN=-0.6V且VDDIO=2.5V,则效率将不大于24%。
图1是根据第一实施例的体偏置电路100的示意框图。假设体偏置电路100被包括在集成电路装置中,该集成电路装置具有第一高电源电压(VDD)和第二高电源电压(VDDIO)。在某些实施例中,第二电源电压可高于第一高电源电压(即,VDDIO>VDD)。在某些实施例中,VDDIO可大于1.5V,比如可以是1.8V,或大于2.0V,可为2.5V左右,甚至更高,比如约为3.3V。在某些实施例中,VDD可小于2.5V,或小于2.0V,甚至小于1.5V。在一个非常具体的实施例中,VDDIO可以是大约2.5V,VDD可以是大约1.2V。在上电操作期间,VDDIO电压首先上升。体偏置电压最初可基于VDDIO电压而生成。一旦体偏置电压达到所需的电平,则另一个电源电压VDD就可以上升。在具体实施例中,VDDIO可以是向IC装置的输入/输出驱动器提供电力的输入/输出电源电压。
在所示的实施例中,体偏置电路100可在第一电源输入108-0接收第一电源电压(VDD),在第二电源输入108-1接收第二电源电压(VDDIO),并且可在体偏置节点110生成体偏置电压(VBx)。体偏置电路100可包括体偏置生成电路102、开关电路104和调压器106。调压器106可接收VDDIO,并对其进行调节使之达到预定电平VDD_Reg(可以是VDD的预期最终电平)。
开关电路104可包括第一开关输入112-0、第二开关输入112-1和开关输出112-2。第一开关输入112-0可接收基于VDDIO生成的电压VDD_Reg。第二开关输入112-1可接收电压VDD。开关电路104可由上电电路(未示出)生成的控制信号CTRL来控制。上电时,CTRL具有第一值,该第一值导致第一开关输入112-0连接至开关输出112-2并且第二开关输入112-1与开关输出112-2隔离开。然后CTRL变为第二值,该第二值导致第一开关输入112-0与开关输出112-2隔离开并且第二开关输入112-1连接至开关输出112-2。在一些实施例中,控制信号CTRL可基于VDD上电复位(POR)型电路等。
体偏置生成电路102可从开关输出112-2接收电源电压VDDIn。响应于VDDIn,体偏置生成电路102可生成体偏置电压VBx。应当理解,VBx可以是n沟道体偏置电压或p沟道体偏置电压。
仍然参见图1,在操作中,假设VDDIO先于VDD上升。进一步而言,当VDD达到稳定电平后,信号CTRL从第一值切换为第二值。相应地,在上电操作中,VDDIO首先上升,导致调压器106将VDD_Reg提供给开关电路104。CTRL具有第一值,故VDD_Reg被作为VDDIn提供给体偏置生成电路102。体偏置生成电路102生成体偏置电压VBx,从而为晶体管建立所需的体偏置。随后,当晶体管体偏置建立后,VDD就可上升,避免了可能正偏p-n结和/或导致闩锁的情况,这是由于体偏置生成电路102驱动这些本体达到所需电压之故。一旦VDD达到所需电平和/或稳定后,信号CTRL可切换到第二值,将VDD作为VDDIn施加到体偏置生成电路102。由于VDD<VDDIO,能更加有效地生成体偏置电压。
应当理解的是,IC装置可包括多个如图1所示的体偏置电路,以生成各种体偏置电压,包括正体偏置电压和负体偏置电压。进一步地,生成的体偏置电压可以是反向体偏置(即,大于p沟道装置的VDD,或小于n沟道装置的VSS),或者是正向体偏置(即,小于p沟道装置的VDD,或大于n沟道装置的VSS,除正偏p-n结本体的电压之外)。在特别具体的实施例中,p沟道装置的反向体偏置电压(VBP)的范围在大于VDD约0.1V到大于VDD约1V之间。类似地,n沟道晶体管的反向体偏置电压(VBN)的范围可以在小于VSS约0.1V到小于VSS约1V之间。正向VBP的极限取决于掺杂情况,在具体实施例中可以不超过比VDD小0.6V。同样地,正向VBN的极限取决于掺杂情况,但在具体实施例中可以不超过比VSS大0.6V。
虽然实施例可包括将电源电压切换至体偏置生成电路的布置,然而其他实施例也可以上电后将晶体管本体维持(例如,钳位)在“安全”电压。随后,一旦建立体偏置电压后,晶体管本体可从钳位电压切换到所需的体偏置电压。现在将对这样实施例的实例进行描述。
图2A是根据另一实施例的体偏置电路200的示意框图。和在图1中一样,在图2A中,假设体偏置电路200被包括在IC装置中,该IC装置具有先于第一电源电压VDD上升的较高的第二电源电压(VDDIO)。VDD和VDDIO的特定值及其之间的关系可包括以上描述的那些以及等效值(关系)。
在所示的实施例中,体偏置电路200可利用首先上升的电源电压(例如VDDIO)使得钳位电路将体偏置节点钳制在其他电源电压(例如VDD、VSS)。这样,当装置上电时,晶体管本体被钳制在这样的电源电压(例如VDD、VSS)。一旦较低的电源电压(VDD)稳定后,体偏置生成电路就能生成体偏置电压。然后体偏置节点可从电源电压“松开(unclamp)”并连接至体偏置电压。
在所示的具体实施例中,体偏置电路200可包括p沟道晶体管体偏置(PBB)生成电路202-0、n沟道晶体管体管体偏置(NBB)生成电路202-1、第一钳位电路204-0、第二钳位电路204-1和钳位控制电路214。PBB生成电路202-0可以生成用于p沟道晶体管的体偏置电压VBP_Gen。类似地,NBB生成电路202-1可以生成用于n沟道晶体管的体偏置电压VBN_Gen。PBB和NBB生成电路(202-0/1)这二者都可由第一电源电压(VDD)供电,第一电源电压可理解为在第二电源电压(VDDIO)后上升。因此,在上电操作的初始部分,在VDD上升之前,PBB和NBB生成电路(202-0/1)并未激活,故不生成其各自的体偏置电压VBP_Gen和VBN_Gen。
电源电压VDD可作为第一输入从高电源输入208-0提供到第一钳位电路204-0。第一钳位电路204-0可从PBB生成电路202-0接收VBP_Gen作为第二输入。第一钳位电路204-0的输出210-0可以在p沟道体偏置节点210-0为一些p沟道体晶体管设置体偏置电压VBP。第一钳位电路204-0可由钳位使能信号(Clamp_EnableP)和钳位禁用信号(Clamp_DisableP)来控制。钳位使能信号(Clamp_EnableP)可从VDDIO激活(或可以对应于VDDIO)。因此,VDDIO的初始上升能激活Clamp_EnableP。当Clamp_EnableP激活时,钳位电路204-0可将p沟道体偏置节点210-0钳制为VDD。相反,钳位禁用信号(Clamp_DisableP)可由钳位控制电路214激活。当激活时,信号Clamp_DisableP将覆盖信号Clamp_EnableP,导致p沟道体偏置节点210-0被连接至VBP_Gen。
以类似于第一钳位电路204-0的方式,第二钳位电路204-1可从低压电源输入208-2接收VSS,从NBB生成电路202-1接收VBN_Gen,并且可在n沟道体偏置节点210-1设置针对一些n沟道晶体管的体偏置电压VBN。第二钳位电路204-1可以与第一钳位电路204-0相同的方式来运行。通过基于VDDIO的钳位使能信号(Clamp_EnableN)的操作,可以将n沟道体偏置节点210-1钳制为VSS。响应于由钳位控制电路214提供的钳位禁用信号(Clamp_DisableN)可以禁用这样的钳位,这会导致n沟道体偏置节点210-1被连接以接收VBN_Gen。
钳位控制电路214可根据VDD来运行。换言之,一旦VDD达到所需电平,或在一段时间之后,钳位控制电路会激活钳位禁用信号(Clamp_DisableP和Clamp_DisableN)。
结合图2B将对图2A的体偏置电路的一特定上电操作进行描述。图2B是示出上述VDDIO、VDD、VBP、VBN和VSS的时序图。
参见图2A和图2B,在时刻t0,VDDIO可上升。由于电阻/电容耦合,VBN/VBP可能会有一些变化。
大约在时刻t1,VDDIO达到使能钳位电路204-0/1的电平。结果是,VBP被钳制到VDD,VBN被钳制到VSS(在所示实施例中为零)。
大约在时刻t2,VDD可上升。由于钳位电路204-0/1被使能,VBP可保持钳制到VDD。因此,VBP随着VDD上升,防止p沟道本体p-n结的任何正偏和/或防止可能的闩锁情况。类似地,VBN保持钳制到VSS,也能防止n沟道本体结的正偏和/或减少闩锁情况。一旦VDD达到足够的电平,则体偏置电路(例如,202-0/1)可被激活,从而生成VBP和VBN电压。
大约在时刻t3,VDD达到预定电平长达预定时间量。结果是,钳位控制电路214可激活钳位禁用信号,导致钳位电路204-0/1使VBP连接至VBP_Gen,或被动地使能VBP至VBP_Gen的连接,并且使VBN连接至VBN_Gen,或被动地使能VBN连接至VBN_Gen的连接。现在IC可通过具有所需体偏置电压的晶体管运行。
虽然图2B显示的是以反向体偏置电压(相对于VDD_opt和VSS电平)运行的晶体管,然而应当理解的是,针对给定应用,体偏置电压可以具有任意合适的值。进一步地,一旦建立体偏置电压后,体偏置电压可以以动态的方式变化。体偏置电压(VBP、VBN)可具有本文具体实施例所描述的关系和/或范围以及等效关系和/或范围。
如本文实施例所示,以VDD而不是VDDIO生成体偏置电压(例如,VBP和VBN),比传统方法的效率更高。在与图13A和图13B有关的如上所述中,以2.5V的VDDIO生成-0.6V的VBN具有的最高效率为24%。然而,如果VDD是0.9V,则生成相同VBN可以以最高效率67%来完成,在效率上具有明显提高。
图3A是根据另一实施例的体偏置电路300的示意框图。在所示的实施例中,体偏置电路300可包括钳位电路,该钳位电路在无施加电力的情况下运行,或者无需稳定的电力供应就能运行。通过使用这样的“零偏置”钳位电路,加电后,晶体管本体可被钳制为电源电压(例如,VDD、VSS)。一旦电源电压稳定后,体偏置生成电路就能生成体偏置电压。然后体偏置节点可从电源电压“松开”并连接至体偏置电压。
体偏置电路300可包括和图2A中类似的部件,包括PBB生成电路302-0、NBB生成电路302-1、第一钳位电路304-0、第二钳位电路304-1以及钳位控制电路314。PBB和NBB生成电路(302-0/1)可以像图2A中那样运行,一旦第一电源电压VDD稳定后,可以生成用于p沟道晶体管的体偏置电压VBP_Gen以及用于n沟道晶体管的体偏置电压VBN_Gen。类似地,一旦VDD达到所需电平,或在一段时间之后,钳位控制电路314可激活钳位禁用信号(Clamp_DisableP、Clamp_DisableN)。
然而,与图2A的实施例不同的是,钳位电路304-0/1没有以响应于第二电源电压VDDIO而运行。更确切地说,如上所述,在没有VDD或稳定的VDD电平时,第一钳位电路304-0可将p沟道体偏置节点310-0钳制为VDD,而第二钳位电路304-1可将n沟道体偏置节点310-1钳制为VSS。响应于钳位禁用信号Clamp_DisableP,第一钳位电路304-0可使p沟道体偏置节点310-0连接至由PBB生成电路302-0生成的体偏置电压VBP_Gen。类似地,响应于钳位禁用信号Clamp_DisableN,第二钳位电路304-1可使n沟道体偏置节点310-1连接至由NBB生成电路302-1生成的体偏置电压VBN_Gen。
在非常具体的实施例中,钳位电路304-0/1可利用耗尽型MOS晶体管。因此,在没有栅电压的情况下,这样的晶体管可提供钳位连接(即,源-漏路径将VBN连接至VSS和/或将VBP连接至VDD)。随后,栅电压可关闭这样的耗尽型晶体管,使VBN连接至VBN_Gen并使VBP连接至VBP_Gen。
结合图3B将对图3A的体偏置电路的一特定上电操作进行描述。图3B是示出上述VDD、VBP、VBN和VSS的时序图。
参见图3A和图3B在时刻t0,VDD可能开始上升。在常规装置中,由于电容/电阻耦合,这样的操作可导致体偏置电平(VBP和VBN)变化。然而,通过第一和第二钳位电路(304-0/1)的“零偏置”操作,VBP(p沟道晶体管的体偏置)保持钳制为VDD,而VBN(n沟道晶体管的体偏置)保持钳制为VSS。因此,可以防止基于本体的p-n结正偏和/或闩锁引起的情况。
大约在时刻t1,VDD达到所需电平长达预定时间量。结果是,钳位控制电路314可激活钳位禁用信号,导致钳位电路304-0/1将VBP连接至VBP_Gen并将VBN连接至VBN_Gen。现在IC可通过具有所需体偏置电压的晶体管运行。
在本公开其他实施例中,体偏置电压(VBN_Gen、VBP_Gen)可以是反向体偏置电压、正向体偏置电压以及动态切换的体偏置电压。在具体实施例中,电源电压(VDD)和体偏置电压(VBP、VBN)可具有本文具体实施例所描述的关系和/或范围以及等效关系和/或范围。
图4A是根据一实施例的钳位装置416的示意图。钳位装置416可包括耗尽型n沟道MOS型晶体管N40、电容C40和电流源电路418。晶体管N40可具有连接至第一电源(VDD)输入408-0的源极、连接至p沟道体偏置节点410-0的漏极以及连接至电流源电路418的栅极。晶体管N40的本体可连接至其源极VDD(或可选地连接至低电源电压VSS)。电容C40可连接在晶体管N40的源极和栅极之间。
电流源电路418可连接在晶体管N40的栅极和低电源连接部408-2之间。电流源电路418可以响应于VDD电平而运行。换言之,一开始,当VDD上升时,电流源电路418可能并不牵引电流。然而,一旦VDD达到预定电平或者稳定了长达预定时间后,电流源电路418就可牵引电流。
在运行中,在上电后VDD可开始上升至所需电平。此时,可禁用电流源电路418。通过电容C40的操作,N40的栅极处的电压可追随其源极(即,追随VDD)。由于N40是耗尽型装置,其在这种状态下导通,并且p沟道体偏置节点410-0(即VBP)可被钳位至VDD。
随后,一旦VDD达到合适的电平或者稳定了合适的时间量,就可使能电流源电路418。结果是,N40的栅极可被朝向VSS牵引,N40关闭。这样,p沟道体偏置节点410-0可从钳制为VDD的状态释放出来,并被驱动至体偏置电压,例如由体偏置生成电路生成的体偏置电压(例如,VBP_Gen)。
图4B是钳位晶体管420(例如,在图4A中示出为N40的晶体管)的侧剖面图示意。钳位晶体管420可形成在p型阱(p阱)422内,p阱422形成在深n型阱(n阱)424内,而n阱424形成在p型衬底426内。第一电源(VDD)输入408-0可连接至深n阱接头(tap)428-0、p阱接头428-1以及钳位晶体管420的源极430。电容C40可连接在源极430和栅极432之间。漏极434可连接至p沟道体偏置节点410-0。在一些实施例中,沟道区436可掺杂有n型掺杂剂以在耗尽模式中提供期望的响应。
在具体实施例中,电源电压(VDD)和体偏置电压(VBP)可具有本文具体实施例中描述的关系和/或范围以及等效关系和/或范围。
图5A是根据另一实施例的钳位装置516的示意图。钳位装置516可包括耗尽型p沟道MOS型晶体管P50、电容C50和电流源电路518。晶体管P50可具有连接至低压电源(VSS)输入508-2的源极、连接至n沟道体偏置节点510-1的漏极、连接至电流源电路518的栅极以及连接至其源极VSS(或者可选地连接至VDD)的本体。
电容C50可连接在晶体管P50的源极和栅极之间。电流源电路518可连接在晶体管P50的栅极和高电源(VDD)连接部508-0之间。电流源电路518可以以和图4A中所示电流源电路418相同的方式运行。
钳位装置516可以以和图4A中所示的相同的方式运行。简言之,当VDD上升时,VBN可由耗尽型晶体管P50钳制为VSS。一旦VDD达到一定电平或者稳定了预定时间量后,就可使能电流源电路518,将P50的栅极驱动至VDD,从而关闭晶体管P50。
图5B是钳位晶体管520(例如图5A中示出为P50的晶体管)的侧剖面图示意。钳位晶体管520可形成在n阱524内,n阱524形成在p型衬底526内。低电源(VSS)输入508-2可连接至n阱接头528-0以及钳位晶体管520的源极530。电容C50可连接在P50的源极530和栅极532之间。漏极534可连接至n沟道体偏置节点510-1。在一些实施例中,沟道区536可掺杂有p型掺杂剂以在耗尽模式中提供期望的响应。
在具体实施例中,电源电压(VSS)和体偏置电压(VBN)可具有本文具体实施例中描述的关系和/或范围以及等效关系和/或范围。
图6A是根据又一实施例的钳位装置616的示意图。钳位装置616可包括n沟道结型场效应晶体管(JFET)N60、电容C60和电流源电路618。JFETN60可具有连接至第一电源(VDD)输入608-0的源极、连接至p沟道体偏置节点610-0的漏极以及连接至电流源电路618的栅极。
电容C60可连接在晶体管N60的源极和栅极之间。电流源电路618可连接在晶体管N60的栅极和低电源(VSS)接头608-2之间。电流源电路618可以以和图4A中所示电流源电路418相同的方式运行。
钳位装置616可以以和图4A中所示的相同的方式运行。随着VDD上升,VBP可由JFETP60钳制为VDD。一旦VDD达到一定电平或者稳定了预定时间量之后,就可使能电流源电路618,将N60的栅极驱动至VSS,从而关闭晶体管N60。
图6B是钳位晶体管620(例如图6A中示出为P60的晶体管)的侧剖面图示意。钳位晶体管620可形成在p阱622内,p阱622形成在深n阱624内,而n阱624形成在p型衬底626内。第一电源(VDD)输入608-0可连接至深n阱接头628-0以及钳位晶体管620的源极630。栅极632可包括驱动p阱622的p阱接头628-1。电容C60可连接在源极630和栅极632之间。漏极634可连接至p沟道体偏置节点610-0。在一些实施例中,沟道区636可掺杂有n型掺杂剂以提供期望的响应。
在具体实施例中,电源电压(VDD)和体偏置电压(VBP)可具有本文具体实施例中描述的关系和/或范围以及等效关系和/或范围。
图7A是根据又一实施例的钳位装置716的示意图。钳位装置716可包括p沟道JFETP70、电容C70和电流源电路718。JFET P70可具有连接至低电源(VSS)输入708-2的源极、连接至n沟道体偏置节点710-1的漏极以及连接至电流源电路718的栅极。
电容C70可连接在JFET P70的源极和栅极之间。电流源电路718可连接在JFET P70的栅极和高电源(VDD)接头708-0之间。电流源电路718可以以和图4A中所示电流源电路418相同的方式运行。
钳位装置716可以以和图4A中所示的相同的方式运行。随着VDD上升,VBN可由JFETP70钳制为VSS。一旦VDD达到一定电平或者稳定了预定时间量之后,就可使能电流源电路718,将P70的栅极驱动至VDD,从而关闭晶体管JFET P70。
图7B是钳位晶体管720(例如在图7A中示出为P70的晶体管)的侧剖面图示意。钳位晶体管720可形成在n阱724内,n阱724形成在p型衬底726内。低电源(VSS)输入708-2可连接至钳位晶体管720的源极730。栅极732可包括驱动n阱724的n阱接头728-0。电容C70可连接在源极730和栅极732之间。漏极734可连接至n沟道体偏置节点710-1。在一些实施例中,沟道区736可掺杂有p型掺杂剂以提供期望的响应。
在具体实施例中,电源电压(VSS)和体偏置电压(VBN)可具有本文具体实施例中描述的关系和/或范围以及等效关系和/或范围。
应当理解的是,图4A至图7B中所示的钳位装置和晶体管仅仅是提出了可包括在实施例中的电路和装置的若干可能的实例。
图8是示出典型上电操作的模拟结果的曲线图。图8包括上电后上升的电源电压VDD的波形、p沟道体偏置电压VBP的波形、n沟道体偏置电压VBN的波形以及信号VPumpEN的波形,该信号VPumpEN表明体偏置电压生成电路(例如,电荷泵)的激活。
在时刻t0,VDD开始从0V上升至1.2V。由于电容和电阻耦合,VBP和VBN随着VDD上升。这样的响应可导致p-n结正偏和/或闩锁情况。
在大约时刻t1,VDD达到所需的电平1.2V,而VPB和VBN仍保持在升高的电平。
在大约时刻t2,VPumpEN达到激活电平(在本示例中是高电平)。VBN和VBP然后被驱动至所需的体偏置电压(在图8中示出可能的体偏置电压的各种实例)。
图9是示出根据一实施例的上电操作的模拟结果的曲线图。图9包括类似于图8中的波形,包括VDD的波形、VBP的波形、VBN的波形和VPumpEN的波形。此外,图9包括第二电源电压VDDIO的波形和钳位使能信号VClampEN的波形。VDDIO大于VDD且先于VDD上升。响应于激活的(在该示例中是高电平)VClampEN信号,如在文本实施例或等效实施例所述,VBP可被钳制为VDD而VBN可被钳制为VSS。
在时刻t0,VDDIO开始从0V上升至2.5V。
在时刻t1,当VDDIO达到2.5V后,VClampEN可以被激活。结果是,VBP被钳制到VDD,而VBN被钳制到VSS。在时刻t1之后,VDD开始从0V上升至1.2V。然而,与图8不同的是,由于钳位操作,VBP追随VDD,VBN紧密追随VSS。因此,可以防止基于本体的p-n结正向体偏置和闩锁情况。
在时刻t2,VDD达到其目标值1.2V。
在时刻t3,当VDD达到稳定值后,VClampEN可返回到非激活电平。因此,VBP和VBN分别从被钳制到VDD和VSS的状态释放出来。
在时刻t4,VPumpEN可以是激活的,导致VBP和VBN被驱动至所需的体偏置电压。
图10是根据一具体实施例的钳位电路1000的示意图。在图10中的实施例中,响应于钳位使能信号(VClampEN)处于激活,钳位电路1000可将p沟道体偏置节点(VBP)钳制到高电源电压(VDD)并将n沟道体偏置节点(VBN)钳制到低电源电压(VSS)。响应于钳位使能信号(VClampEN)处于非激活,钳位电路1000可将VBP与VDD隔离开,并将VBN与VSS隔离开。
在所示的具体实施例中,钳位电路1000包括第一钳位装置1016-0、第二钳位装置1016-1和控制部1040。第一钳位装置1016-0可包括具有n沟道MOS型晶体管N100,该晶体管具有连接到VDD的源极和本体、连接到VBP的漏极以及连接为接收VClampEN的栅极。
控制部1040可包括由p沟道晶体管P100/P101/P102形成的电流镜像电路、电阻器R100和n沟道MOS型晶体管N101。晶体管P100可具有连接至第二电源电压VDDIO的源极以及彼此连接的栅极和漏极。VDDIO可先于VDD上升且大于VDD。晶体管P101/P102可形成电流镜,具有通常连接至P100的栅-漏极的源极。晶体管P101的漏极连接至它的栅极。晶体管P102可具有连接到P101栅极的栅极以及连接到控制节点1042的漏极。电阻器R100可连接在晶体管P101的栅-漏极和晶体管N101的漏极之间。晶体管N101可具有接收VClampEN的栅极以及连接至VSS的本体和源极。
控制部1040还可包括由n沟道MOS型晶体管N102/N103/N104形成的禁用电路。晶体管N102和N103可具有通常连接至控制节点1042的漏极和栅极。晶体管N102可具有连接至晶体管N104漏极的源极。晶体管N103可具有连接至n沟道体偏置节点VBN的源极。晶体管N102/N104的本体通常可彼此连接。晶体管N104可具有连接至VSS的源极。
第二钳位装置1016-1可包括n沟道MOS型晶体管N105,该晶体管具有连接到VSS的漏极、连接到VBN的源极以及连接到N103本体的本体。
在运行中,VDDIO可上升到高电平,从而使能控制部1040。
随后,VClampEN可被激活(例如,驱动至VDDIO)。结果是,第一钳位装置1016-0可将VBP钳制到VDD。此外,VClampEN可启动晶体管N101,将电流镜的一个臂牵引到低电平。这会导致控制节点1042被驱动至高电平。结果是,第二钳位装置1016-1可被启动,从而将VBN钳制到VSS。
然后VDD可升高,VBP和VBN现在可分别被钳制到VDD和VSS。
当VClampEN返回到非激活电平(例如,VSS)时,第一钳位装置1016-0可以被关闭,从而将VBP从VDD隔离开。这会使VBP被驱动至所需的体偏置电压。此外,电流镜电路内的晶体管N101关闭。结果是,控制节点1042可降压,而关闭第二钳位装置1016-1以将VBN从VSS隔离开。这会使VBN被驱动至所需的体偏置电平。即使当VBN被驱动至低于VSS时,禁用电路N102/N103/N104也能确保第二钳位装置1016-1保持关闭。
虽然实施例可包括在具有常规MOS型晶体管的IC装置中,然而在一些实施例中,集成电路装置中的全部或部分晶体管可以是具有比常规晶体管增强的体效应的“深度耗尽沟道”(DDC)晶体管。因此,施加在DDC晶体管上的体偏置电压能够用来比常规MOS型晶体管更有效地调节晶体管响应。
在一些实施例中,具有驱动体偏置电压的晶体管可以是DDC晶体管。换言之,DDC晶体管的本体可在本文所述实施例或等效实施例中上电后被钳制。此外或者可选地,组成体偏置电路的晶体管可以是DDC晶体管。因此,应当理解的是,本文实施例所述的任一MOS型晶体管都可以是DDC晶体管。
图11A示出可包括在本文实施例中的DDC型晶体管1171。DDC晶体管1171可被配置为具有增强的体系数以及以更高的精度设置阈值电压(Vt)的能力。DDC晶体管1171可包括栅极1173、源极1175、漏极1177和位于基本上未掺杂沟道1181之上的栅极介电部1179。可选的轻掺杂源漏扩展(SDE)1183分别设置为临近源极1175和临近漏极1177。该扩展1183可彼此朝对方扩展,减少基本上未掺杂沟道1181的有效长度。在所示实施例中,绝缘侧壁1193可形成在栅极1173的侧面。
在图11A中,DDC晶体管1171显示为具有由n型掺杂材料制成的源极1175和漏极1177的n沟道晶体管,其形成在一衬底上,例如p型掺杂硅衬底,其可以是p阱1185。体偏置电压VBN可通过接头1191施加至p阱1185。当然,从图11A应当可以理解出p沟道晶体管(即,具有反向掺杂型)。
在一些实施例中,DDC晶体管1171、高掺杂屏蔽区1187以及可选的阈值电压设置区1189可用具有和本体(即,图11A中的p型掺杂材料)相同导电类型的掺杂剂制成。在某些实施例中,屏蔽区1187可具有的掺杂浓度约在5×1018到1×1020掺杂剂原子/cm3范围之间,而选定的掺杂浓度取决于期望的阈值电压以及其他期望的晶体管特性。在某些实施例中,基本上未掺杂沟道区1181可具有的深度范围大约在5-25nm之间,而选定的厚度基于期望的晶体管阈值电压。
在名称为“电子装置和系统及其制造和使用方法”的专利号为8,273,617的美国专利中可找到关于DDC晶体管以及DDC晶体管的示例性制造工艺和其他方面的更多信息。
图11B示出根据实施例的可接收体偏置电压的FinFET型晶体管1171-B。FinFET晶体管1171-B可包括栅极1173-B和围绕基本上未掺杂的沟道1181-B的相对侧的栅极介电部1179-B。图11B是沿着沟道长度截取的视图。因此,应当理解的是,源极和漏极区可扩展进所示视图或从该视图扩展出来。体偏置VBB可通过连接部施加在衬底1197上。
图11C示出根据实施例可接收体偏置电压的具有屏蔽区1187-C的FinFET型晶体管1171-C。和在图11A中一样,FinFET晶体管1171-C具有可配置为具有增强体系数以及以更高的精度设置Vt的能力的高掺杂区。晶体管1171-C包括栅极1173-C和形成在基本上未掺杂沟道1181-C的相对侧之上的栅极介电部1179-C。然而,与图11B不同的是,高掺杂屏蔽区1187-C可形成在基本上未掺杂的沟道1181-C之下的衬底1197内,基本上未掺杂的沟道1181-C立体地向上攀升。可选地,Vt设置区1189-C可形成在屏蔽区1187-C和基本上未掺杂沟道1181-C之间。
和在图11B中一样,图11C是沿沟道长度截取的视图,源极和漏极区可扩展进所示视图或从该视图扩展出来,并通过基本上未掺杂沟道1181-C的部分与屏蔽区1187-C隔离开。体偏置VBB可通过连接部施加至衬底1197。在名称为“具有鳍状结构的半导体器件及其制造方法”的国际申请号为PCT/US12/49531的专利中可找到关于具有高掺杂区的FinFET晶体管的更多信息。
应当理解的是,在本发明示例性实施例的前述描述中,本发明的各种特征有时组合在单个实施例、附图或其描述中,目的是对本公开内容进行组织以辅助理解各种发明方案中的一个或多个方案。然而,本公开内容中的方法不应当被理解为体现出要求保护的发明需要比在每个权利要求中明确记载的特征更多的特征这一意图。实际上,如随后的权利要求中所反映的,本发明的方案需要的特征比所公开的单个前述实施例的所有特征要少。因此,在详细描述之后的权利要求明确并入到详细描述中,每个权利要求本身就是本发明的一个单独的实施例。
还应当理解,本发明的实施例可以在不具有明确公开的元件和/或步骤的情况下实现。也就是说,本发明的创造性特征可以是元件的省略。
因此,虽然本文已经详细描述了具体实施例的各个方面,然而在不脱离本发明的精神和范围的情况下还可以对本发明进行各种变化、替换和修改。

Claims (17)

1.一种集成电路装置,包括:
至少一第一体偏置电路,接收第一电源电压,所述第一体偏置电路被配置为生成第一体偏置电压,所述第一体偏置电压不同于所述集成电路装置的所述第一电源电压;
至少一钳位控制电路,接收所述第一电源电压,并当所述钳位控制电路检测到所述第一电源电压饱和时生成钳位信号;
至少一第一偏置控制电路,接收所述第一电源电压、所述第一体偏置电压和所述钳位信号,所述第一偏置控制电路被配置为在所述第一电源电压形成并且直到所述第一电源电压完成形成时将第一体偏置节点设置为所述第一电源电压,并且随后在所述第一电源电压达到稳定电平并且饱和之后根据所述钳位信号使得所述第一体偏置节点被设置为所述第一体偏置电压;以及
多个第一晶体管,具有连接至所述第一体偏置节点的本体。
2.根据权利要求1所述的集成电路装置,其中:
所述第一偏置控制电路包括耗尽型晶体管,所述耗尽型晶体管具有耦接在所述第一电源电压和所述第一体偏置节点之间的源-漏路径。
3.根据权利要求1所述的集成电路装置,还包括:
第二体偏置电路,接收所述第一电源电压,所述第二体偏置电路被配置为生成第二体偏置电压,所述第二体偏置电压不同于所述集成电路装置的所述第一电源电压和所述第一体偏置电压;
第二偏置控制电路,接收第二电源电压、所述第二体偏置电压和所述钳位信号,所述第二偏置控制电路被配置为在所述第一电源电压形成时将第二体偏置节点设置为所述第二电源电压,并且随后在所述第一电源电压达到稳定电平并且饱和之后根据所述钳位信号将所述第二体偏置节点设置为所述第二体偏置电压;以及
多个第二晶体管,具有连接至所述第二体偏置节点的本体。
4.根据权利要求3所述的集成电路装置,其中:
所述第一晶体管是n沟道晶体管,而所述第二晶体管是p沟道晶体管。
5.根据权利要求1所述的集成电路装置,其中:
所述集成电路装置接收第一高电源电压、大于所述第一高电源电压的第二高电源电压、以及低电源电压;
所述第一偏置控制电路由所述第二高电源电压来供电,且被配置为响应于所述第二高电源电压将所述第一体偏置节点设置为所述第一电源电压,并响应于所述第一高电源电压达到预定电平而将所述第一体偏置节点设置为所述第一体偏置电压。
6.根据权利要求5所述的集成电路装置,其中:
所述第一高电源电压小于1.5V,而所述第二高电源电压大于1.5V。
7.根据权利要求1所述的集成电路装置,其中:
所述第一偏置控制电路包括:
钳位晶体管,具有耦接在所述第一电源电压和所述第一体偏置节点之间的源-漏路径;以及
栅极控制电路,被配置为在所述第一体偏置电路形成所述第一体偏置电压期间使能所述钳位晶体管,并在所述第一体偏置电压被建立时禁用所述钳位晶体管;其中
所述钳位晶体管是从绝缘栅场效应晶体管和结型场效应晶体管的组中选择的。
8.根据权利要求1所述的集成电路装置,还包括:
所述多个第一晶体管的至少一部分包括深度耗尽沟道晶体管,每一深度耗尽沟道晶体管具有形成在基本上未掺杂沟道下方的屏蔽区,所述屏蔽区包括:
不少于1×1018掺杂剂原子/cm3的掺杂剂浓度,其中掺杂剂的导电类型与所述深度耗尽沟道晶体管的源极和漏极的导电类型相反。
9.一种方法,包括:
将第一电源电压施加至集成电路装置;
一开始在所述第一电源电压形成并且直到所述第一电源电压完成形成时将至少第一体偏置节点钳制在所述第一电源电压;
以所述第一电源电压生成至少第一体偏置电压;以及
随后在检测到所述第一电源电压饱和时使所述第一体偏置节点由所述第一体偏置电压驱动;其中
所述第一体偏置节点将所述第一体偏置电压提供给多个第一晶体管的本体。
10.根据权利要求9所述的方法,其中:
在以所述第一电源电压生成第一体偏置之前,以大于所述第一电源电压的第三电源电压生成钳位使能信号;其中
响应于所述钳位使能信号,所述第一体偏置节点被钳制在所述第一电源电压。
11.根据权利要求9所述的方法,还包括:
在所述第一电源电压形成期间一开始将所述第一体偏置节点钳制在所述第一电源电压;
以所述第一电源电压生成第二体偏置电压;以及
随后在检测到所述第一电源电压饱和时使所述第二体偏置节点由所述第二体偏置电压驱动;其中
所述第二体偏置节点将所述第二体偏置电压提供给多个第二 晶体管的本体。
12.根据权利要求9所述的方法,其中:
所述第一体偏置电压是从以下电压组成的组中选择的反向体偏置电压:大于所述第一电源电压的反向p沟道体偏置电压,以及低于低电源电压的反向n沟道体偏置电压。
13.根据权利要求12所述的方法,其中:
所述反向p沟道体偏置电压的范围为比所述第一电源电压大0.1V到1.0V,而所述反向p沟道体偏置电压的范围为比所述低电源电压低0.1V到1.0V。
14.根据权利要求9所述的方法,其中:
所述多个第一晶体管的至少一部分包括多个深度耗尽沟道晶体管,每一深度耗尽沟道晶体管具有形成在基本上未掺杂沟道下方的屏蔽区,所述屏蔽区包括:
不少于1×1018掺杂剂原子/cm3的掺杂剂浓度,其中掺杂剂的导电类型与所述深度耗尽沟道晶体管的源极和漏极的导电类型相反。
15.一种集成电路装置,包括:
第一电源连接部,被配置为接收第一电源电压;
第二电源连接部,被配置为接收大于所述第一电源电压的第二电源电压;
至少一第一体偏置生成电路,被耦接以在生成器电源节点处接收电力,并且被配置为生成第一体偏置电压;
开关电路,被配置为在所述第一电源电压形成并且直到所述第一电源电压完成形成时将所述第二电源连接部耦接至所述生成器电源节点,并在检测到所述第一电源电压饱和之后随后使得所述第一电源连接部耦接至所述生成器电源节点;以及
多个第一晶体管,具有被耦接以接收所述第一体偏置电压的本体。
16.根据权利要求15所述的集成电路装置,还包括:
调压器,被配置为接收所述第二电源电压并且调节所述第二电源电压以达到所述体偏置电压。
17.根据权利要求15所述的集成电路装置,其中:
所述多个第一晶体管的至少一部分包括多个深度耗尽沟道晶体管,每一深度耗尽沟道晶体管具有形成在基本上未掺杂沟道下方的屏蔽区,所述屏蔽区包括:
不少于1×1018掺杂剂原子/cm3的掺杂剂浓度,其中掺杂剂的导电类型与所述深度耗尽沟道晶体管的源极和漏极的导电类型相反。
CN201510446073.8A 2014-07-25 2015-07-27 上电体偏置电路和方法 Active CN105429618B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/341733 2014-07-25
US14/341,733 US9710006B2 (en) 2014-07-25 2014-07-25 Power up body bias circuits and methods

Publications (2)

Publication Number Publication Date
CN105429618A CN105429618A (zh) 2016-03-23
CN105429618B true CN105429618B (zh) 2018-12-11

Family

ID=55166728

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510446073.8A Active CN105429618B (zh) 2014-07-25 2015-07-27 上电体偏置电路和方法

Country Status (3)

Country Link
US (1) US9710006B2 (zh)
JP (2) JP6746881B2 (zh)
CN (1) CN105429618B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK2345671T3 (en) 2002-09-27 2016-02-15 Xencor Inc Optimized Fc variants and methods for their formation
US20160071849A1 (en) * 2014-09-08 2016-03-10 Texas Instruments Incorporated Mode-Variant Adaptive Body Bias Scheme For Low-Power Semiconductors
US9811625B2 (en) * 2015-04-28 2017-11-07 Arm Limited Computer-implemented method and computer program for generating a layout of a circuit block of an integrated circuit
US9627529B1 (en) * 2015-05-21 2017-04-18 Altera Corporation Well-tap structures for analog matching transistor arrays
US10387690B2 (en) * 2016-04-21 2019-08-20 Texas Instruments Incorporated Integrated power supply scheme for powering memory card host interface
US10003325B2 (en) 2016-08-01 2018-06-19 Samsung Electronics Co., Ltd. System and method for providing an area efficient and design rule check (DRC) friendly power sequencer for digital circuits
KR102044629B1 (ko) * 2018-05-09 2019-11-13 광운대학교 산학협력단 낮은 온-저항을 갖는 cmos 스위치
JP6970644B2 (ja) * 2018-06-11 2021-11-24 日立Astemo株式会社 半導体装置およびセンサシステム
US10739807B2 (en) 2018-09-11 2020-08-11 Stmicroelectronics (Crolles 2) Sas Body biasing for ultra-low voltage digital circuits
CN112564469B (zh) * 2019-09-25 2022-05-20 圣邦微电子(北京)股份有限公司 开关变换器及其低压启动电路
US10924093B1 (en) * 2019-10-31 2021-02-16 Nxp Usa, Inc. Integrated circuit with finFETs having dummy structures
US10892757B1 (en) 2019-11-25 2021-01-12 Stmicroelectronics (Research & Development) Limited Reverse body biasing of a transistor using a photovoltaic source
CN113341212B (zh) * 2021-06-05 2022-08-02 晶通微电子(南京)有限公司 一种具有宽电压输入范围的差分电压检测电路

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4982113A (en) * 1988-12-16 1991-01-01 Nec Corporation Signal distributing unit for various input signals different in voltage level
US5854561A (en) * 1993-11-29 1998-12-29 Mitsubishi Denki Kabushiki Kaisha Switched substrate bias for MOS DRAM circuits
US5933047A (en) * 1997-04-30 1999-08-03 Mosaid Technologies Incorporated High voltage generating circuit for volatile semiconductor memories
US5942932A (en) * 1997-08-26 1999-08-24 Nanoamp Solutions, Inc. Circuit and method for preventing latch-up in a CMOS semiconductor device
US5991221A (en) * 1998-01-30 1999-11-23 Hitachi, Ltd. Microcomputer and microprocessor having flash memory operable from single external power supply
US6473282B1 (en) * 1999-10-16 2002-10-29 Winbond Electronics Corporation Latch-up protection circuit for integrated circuits biased with multiple power supplies and its method
CN101207120A (zh) * 2006-12-18 2008-06-25 株式会社瑞萨科技 半导体集成电路及其制造方法
US7639041B1 (en) * 2008-07-28 2009-12-29 Altera Corporation Hotsocket-compatible body bias circuitry with power-up current reduction capabilities
CN102640274A (zh) * 2009-09-30 2012-08-15 苏沃塔公司 电子装置和系统及用于制造和使用该电子装置和系统的方法

Family Cites Families (479)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066A (ja) 1983-04-15 1984-11-01 Matsushita Electric Ind Co Ltd Mos型半導体装置
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPS62128175A (ja) 1985-11-29 1987-06-10 Hitachi Ltd 半導体装置
JPH0770606B2 (ja) 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
ATE58030T1 (de) 1986-06-10 1990-11-15 Siemens Ag Verfahren zum herstellen von hochintegrierten komplementaeren mosfeldeffekttransistorschaltungen.
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (de) 1987-01-05 1994-09-08 Seiko Instr Inc MOS-Feldeffekttransistor und dessen Herstellungsmethode.
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
JP2772530B2 (ja) * 1988-12-05 1998-07-02 三菱電機株式会社 半導体集積回路装置
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (ja) 1990-03-06 1998-11-11 富士通株式会社 高電子移動度トランジスタ
KR920008834A (ko) 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (ko) 1991-09-12 1994-07-25 포항종합제철 주식회사 델타도핑 양자 우물전계 효과 트랜지스터의 제조방법
JP2851753B2 (ja) 1991-10-22 1999-01-27 三菱電機株式会社 半導体装置およびその製造方法
JPH05315598A (ja) 1992-05-08 1993-11-26 Fujitsu Ltd 半導体装置
US5374569A (en) 1992-09-21 1994-12-20 Siliconix Incorporated Method for forming a BiCDMOS
JPH06151828A (ja) 1992-10-30 1994-05-31 Toshiba Corp 半導体装置及びその製造方法
US5298763A (en) 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
US5371419A (en) * 1992-11-23 1994-12-06 Mitsubishi Denki Kabushiki Kaisha CMOS well switching circuit
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
WO1995022093A1 (en) 1994-02-14 1995-08-17 Philips Electronics N.V. A reference circuit having a controlled temperature dependence
JPH07254685A (ja) * 1994-03-16 1995-10-03 Toshiba Corp 半導体記憶装置
KR0144959B1 (ko) 1994-05-17 1998-07-01 김광호 반도체장치 및 제조방법
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
JP2701762B2 (ja) 1994-11-28 1998-01-21 日本電気株式会社 半導体装置及びその製造方法
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08250728A (ja) 1995-03-10 1996-09-27 Sony Corp 電界効果型半導体装置及びその製造方法
US5608253A (en) 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
JP2780670B2 (ja) 1995-04-14 1998-07-30 日本電気株式会社 エピタキシャルチャネルmosトランジスタの製造方法
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (ja) 1995-06-16 2003-11-05 三菱電機株式会社 半導体装置及びその製造方法
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (ko) 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
JPH11500873A (ja) 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JP3627423B2 (ja) * 1996-03-28 2005-03-09 富士通株式会社 低電圧型pll半導体装置
JPH09270466A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JPH10163342A (ja) 1996-12-04 1998-06-19 Sharp Corp 半導体装置
JPH10223853A (ja) 1997-02-04 1998-08-21 Mitsubishi Electric Corp 半導体装置
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (ja) 1997-02-26 1998-09-11 Hitachi Ltd 半導体ウエハ、半導体ウエハの製造方法、半導体装置および半導体装置の製造方法
JP3732914B2 (ja) * 1997-02-28 2006-01-11 株式会社ルネサステクノロジ 半導体装置
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6194259B1 (en) 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (ja) 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
FR2769132B1 (fr) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics Amelioration de l'isolement entre alimentations d'un circuit analogique-numerique
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (ko) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (ko) 1998-07-02 2001-11-05 윤종용 모오스트랜지스터제조방법
US6252452B1 (en) * 1998-08-25 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device
JP3549186B2 (ja) * 1998-08-25 2004-08-04 株式会社東芝 半導体装置
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000124787A (ja) * 1998-10-16 2000-04-28 Sanyo Electric Co Ltd 半導体装置
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6184112B1 (en) 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
JP2000243958A (ja) 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
JP3506638B2 (ja) * 1999-07-26 2004-03-15 Necエレクトロニクス株式会社 論理回路
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6503801B1 (en) 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
DE19940362A1 (de) 1999-08-25 2001-04-12 Infineon Technologies Ag MOS-Transistor und Verfahren zu dessen Herstellung
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
JP3371871B2 (ja) 1999-11-16 2003-01-27 日本電気株式会社 半導体装置の製造方法
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (ja) 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
WO2002001641A1 (fr) 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Dispositif semi-conducteur
DE10034942B4 (de) 2000-07-12 2004-08-05 Infineon Technologies Ag Verfahren zur Erzeugung eines Halbleitersubstrats mit vergrabener Dotierung
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
DE10061191A1 (de) 2000-12-08 2002-06-13 Ihp Gmbh Schichten in Substratscheiben
US6522193B2 (en) * 2000-12-19 2003-02-18 Hynix Semiconductor Inc. Internal voltage generator for semiconductor memory device
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (fr) 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Film cristallin a semi-conducteurs
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002299454A (ja) 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (ja) 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
WO2003028110A1 (fr) 2001-09-14 2003-04-03 Matsushita Electric Industrial Co., Ltd. Semi-conducteur
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (it) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
US7013359B1 (en) 2001-12-21 2006-03-14 Cypress Semiconductor Corporation High speed memory interface system and method
KR100794094B1 (ko) 2001-12-28 2008-01-10 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
EP1488461A1 (en) 2002-03-28 2004-12-22 Advanced Micro Devices, Inc. Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
DE10214066B4 (de) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (ko) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 데카보렌 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
KR100414736B1 (ko) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (ja) 2002-08-26 2007-12-12 シャープ株式会社 半導体装置およびその製造方法
KR100464935B1 (ko) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
JP2004119513A (ja) 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (de) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrierte geschaltete Kondensatorschaltung und Verfahren
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (ja) 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
KR100486609B1 (ko) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 이중 도핑구조의 초박형 에피채널 피모스트랜지스터 및그의 제조 방법
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (ko) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 씨모스 이미지센서의 제조방법
JP4250144B2 (ja) 2003-03-19 2009-04-08 サイスド エレクトロニクス デヴェロプメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニ コマンディートゲゼルシャフト 高ドープのチャネル伝導領域を持つ半導体装置とその製造方法
SE0300924D0 (sv) 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
KR20050119662A (ko) 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
CN100514650C (zh) 2003-04-10 2009-07-15 富士通微电子株式会社 半导体装置及其制造方法
JP4469139B2 (ja) 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (ja) 2003-06-10 2004-12-23 Fujitsu Limited パンチスルー耐性を向上させた半導体集積回路装置およびその製造方法、低電圧トランジスタと高電圧トランジスタとを含む半導体集積回路装置
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
KR100476940B1 (ko) 2003-06-20 2005-03-16 삼성전자주식회사 기판으로부터 수직으로 연장된 게이트 채널을 갖는디램기억 셀 및 그 제조방법
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
WO2005010946A2 (en) 2003-07-23 2005-02-03 Asm America, Inc. DEPOSITION OF SiGe ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7521323B2 (en) 2003-09-03 2009-04-21 Nxp B.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (ja) 2003-09-25 2008-11-26 沖電気工業株式会社 情報抽出装置,情報抽出方法,およびプログラム
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
WO2005062354A1 (en) 2003-12-18 2005-07-07 Koninklijke Philips Electronics N.V. A semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
DE10360874B4 (de) 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (ko) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및제조방법
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
KR100626372B1 (ko) 2004-04-09 2006-09-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (ja) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7002214B1 (en) 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
DE102004037087A1 (de) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Selbstvorspannende Transistorstruktur und SRAM-Zellen mit weniger als sechs Transistoren
JP4469677B2 (ja) 2004-08-04 2010-05-26 パナソニック株式会社 半導体装置およびその製造方法
JP4664631B2 (ja) 2004-08-05 2011-04-06 株式会社東芝 半導体装置及びその製造方法
US7189627B2 (en) 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US8106481B2 (en) 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
WO2006137866A2 (en) 2004-09-17 2006-12-28 Bedabrata Pain Back- illuminated cmos or ccd imaging device structure
JP4540438B2 (ja) 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (de) 2004-11-08 2006-05-18 Robert Bosch Gmbh Halbleitereinrichtung und Verfahren für deren Herstellung
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (ko) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 반도체 메모리 소자의 셀 트랜지스터 제조 방법
KR100613294B1 (ko) 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (ja) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7170120B2 (en) 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
JP4551811B2 (ja) 2005-04-27 2010-09-29 株式会社東芝 半導体装置の製造方法
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP4800700B2 (ja) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体集積回路
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (ja) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007103863A (ja) 2005-10-07 2007-04-19 Nec Electronics Corp 半導体デバイス
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
JP4256381B2 (ja) 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
EP1958245B1 (en) 2005-12-09 2013-10-16 Semequip, Inc. Method for the manufacture of semiconductor devices by the implantation of carbon clusters
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100657130B1 (ko) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
US7355437B2 (en) * 2006-03-06 2008-04-08 Altera Corporation Latch-up prevention circuitry for integrated circuits with transistor body biasing
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (ja) 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (ko) 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US20090321849A1 (en) 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (ja) 2006-05-31 2012-11-14 住友化学株式会社 化合物半導体エピタキシャル基板およびその製造方法
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
KR100791072B1 (ko) * 2006-07-18 2008-01-02 삼성전자주식회사 반도체 장치의 승압 전압 발생기 및 이를 이용한 반도체메모리 장치
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
WO2008029918A1 (fr) 2006-09-07 2008-03-13 Sumco Corporation Substrat à semi-conducteurs pour dispositif de formation d'image à semi-conducteurs, dispositif de formation d'image à semi-conducteurs et procédé pour les fabriquer
US20080067589A1 (en) 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7683442B1 (en) 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
JP2008085253A (ja) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (ko) 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (ko) 2007-01-22 2008-10-09 삼성전자주식회사 공정 변화에 대한 정보를 이용하여 공급전압/공급주파수를제어할 수 있는 장치와 방법
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (ko) 2007-02-05 2008-06-10 삼성전자주식회사 높은 전압을 제어하는 모스 트랜지스터를 포함하는 반도체소자 및 그 형성 방법
KR101312259B1 (ko) 2007-02-09 2013-09-25 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (zh) 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
JP5367703B2 (ja) 2007-06-28 2013-12-11 サガンテック イスラエル リミテッド 設計規則及びユーザ制約に基づく半導体レイアウト修正方法
US7651920B2 (en) 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (ko) 2007-08-29 2009-12-31 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
DE102007052220B4 (de) 2007-10-31 2015-04-09 Globalfoundries Inc. Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
JP5528667B2 (ja) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の制御方法
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (de) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
WO2009102684A2 (en) 2008-02-14 2009-08-20 Maxpower Semiconductor Inc. Semiconductor device structures and related processes
FR2928028B1 (fr) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (ko) 2008-04-11 2015-03-12 삼성전자주식회사 Adc의 전류 제어 회로 및 방법
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (ja) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
US8164378B2 (en) * 2008-05-06 2012-04-24 Freescale Semiconductor, Inc. Device and technique for transistor well biasing
JP5173582B2 (ja) 2008-05-19 2013-04-03 株式会社東芝 半導体装置
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
DE102008026213B3 (de) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
JP2009302126A (ja) * 2008-06-10 2009-12-24 Renesas Technology Corp 半導体装置
FR2932609B1 (fr) 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (de) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Statischer RAM-Zellenaufbau und Mehrfachkontaktschema zum Anschluss von Doppelkanaltransistoren
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
CN102165561A (zh) 2008-09-25 2011-08-24 应用材料股份有限公司 使用十八硼烷自我非晶体化注入物的无缺陷接点形成
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (ja) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (de) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
JP5350815B2 (ja) 2009-01-22 2013-11-27 株式会社東芝 半導体装置
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (ja) 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
US8236661B2 (en) 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102034865B (zh) 2009-09-30 2012-07-04 中国科学院微电子研究所 半导体器件及其制造方法
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
JP2011135150A (ja) * 2009-12-22 2011-07-07 Renesas Electronics Corp D/aコンバータ回路及びその電圧供給制御方法
TWI404209B (zh) 2009-12-31 2013-08-01 Univ Nat Chiao Tung 高電子遷移率電晶體及其製作方法
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (ja) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8404551B2 (en) * 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
JP2012169810A (ja) * 2011-02-14 2012-09-06 Renesas Electronics Corp レベルシフト回路
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4982113A (en) * 1988-12-16 1991-01-01 Nec Corporation Signal distributing unit for various input signals different in voltage level
US5854561A (en) * 1993-11-29 1998-12-29 Mitsubishi Denki Kabushiki Kaisha Switched substrate bias for MOS DRAM circuits
US5933047A (en) * 1997-04-30 1999-08-03 Mosaid Technologies Incorporated High voltage generating circuit for volatile semiconductor memories
US5942932A (en) * 1997-08-26 1999-08-24 Nanoamp Solutions, Inc. Circuit and method for preventing latch-up in a CMOS semiconductor device
US5991221A (en) * 1998-01-30 1999-11-23 Hitachi, Ltd. Microcomputer and microprocessor having flash memory operable from single external power supply
US6473282B1 (en) * 1999-10-16 2002-10-29 Winbond Electronics Corporation Latch-up protection circuit for integrated circuits biased with multiple power supplies and its method
CN101207120A (zh) * 2006-12-18 2008-06-25 株式会社瑞萨科技 半导体集成电路及其制造方法
US7639041B1 (en) * 2008-07-28 2009-12-29 Altera Corporation Hotsocket-compatible body bias circuitry with power-up current reduction capabilities
CN102640274A (zh) * 2009-09-30 2012-08-15 苏沃塔公司 电子装置和系统及用于制造和使用该电子装置和系统的方法

Also Published As

Publication number Publication date
JP2016032295A (ja) 2016-03-07
US9710006B2 (en) 2017-07-18
JP6746881B2 (ja) 2020-08-26
JP2020120402A (ja) 2020-08-06
JP6943314B2 (ja) 2021-09-29
US20160026207A1 (en) 2016-01-28
CN105429618A (zh) 2016-03-23

Similar Documents

Publication Publication Date Title
CN105429618B (zh) 上电体偏置电路和方法
US11061422B2 (en) Low dropout linear regulator and voltage stabilizing method therefor
US4488060A (en) High voltage ramp rate control systems
CN108429445B (zh) 一种应用于电荷泵的软启动电路
CN104133515A (zh) Pmos管衬底选择电路
CN107005238B (zh) 负高电压热切换电路
US9030891B2 (en) Charge pump circuit and memory
CN105281723A (zh) 驱动电路及半导体装置
WO2007020539A1 (en) Current limiter circuit
US11258358B2 (en) Charge pump regulation circuit to increase program and erase efficiency in nonvolatile memory
US9229467B2 (en) Bandgap reference circuit and related method
CN205608579U (zh) 基于标准cmos工艺的耐高压线性稳压器
CN115549465A (zh) 一种电荷泵电路
GB2125215A (en) Gated diode
TW591367B (en) Regulator and related method capable of performing pre-charging
US10025749B2 (en) Tracking circuit and method
US10606299B2 (en) Circuit for regulating leakage current in charge pump
TW512347B (en) Method and circuit of erasing a flash memory
US20060197464A1 (en) Integrated circuit with low power consumption and high operations speed
TW201322274A (zh) 記憶體裝置及其負位元線信號產生裝置
TWI770881B (zh) 電源供應產生器及其操作方法
US6242972B1 (en) Clamp circuit using PMOS-transistors with a weak temperature dependency
CN101621249B (zh) 低功率单发提升电路
CN104682701B (zh) 升压电路
SU1195422A1 (ru) Устройство с Л- образными вольтамперными характеристиками

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant