CN102668058B - 具有用于半导体处理的平坦加热器区域的加热板 - Google Patents

具有用于半导体处理的平坦加热器区域的加热板 Download PDF

Info

Publication number
CN102668058B
CN102668058B CN201080051828.XA CN201080051828A CN102668058B CN 102668058 B CN102668058 B CN 102668058B CN 201080051828 A CN201080051828 A CN 201080051828A CN 102668058 B CN102668058 B CN 102668058B
Authority
CN
China
Prior art keywords
heater
power supply
supply line
smooth
return
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080051828.XA
Other languages
English (en)
Other versions
CN102668058A (zh
Inventor
哈米特·辛格
凯特·加夫
尼尔·本杰明
凯特·科门达特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102668058A publication Critical patent/CN102668058A/zh
Application granted granted Critical
Publication of CN102668058B publication Critical patent/CN102668058B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/26Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49083Heater type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49099Coating resistive material on a base

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Resistance Heating (AREA)
  • Surface Heating Bodies (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于在半导体等离子体处理装置中的基板支承组件的加热板,包括设置在可扩展的多重布局结构中的多个独立可控平坦加热器区域,以及独立控制和供电给所述平坦加热器区域的电子设备。结合有所述加热板的基板支承组件包括静电夹持电极和温控基板。用于制造所述加热板的方法包括将具有平坦加热器区域、电源供应线、电源返回线以及导通孔的陶瓷或聚合物片粘结在一起。

Description

具有用于半导体处理的平坦加热器区域的加热板
背景技术
随着每一后继的半导体技术的产生,基板直径趋于增大并且晶体尺寸变小,导致在基板处理中需要更高程度的精度和可重复性。半导体基板材料,例如硅基板,由包括使用真空腔的技术来处理。这些技术包括非等离子体应用,例如电子束沉积,以及等离子体应用,例如溅射沉积、等离子体增强化学气相沉积法(PECVD)、抗蚀条和等离子体蚀刻。
今天可用的等离子体处理系统是那些对改进精度和可重复性的要求日益增强的半导体制造工具。用于等离子体处理系统的一个度规是提高均匀性,其包括在半导体基板表面上的处理结果的均匀性以及通过额定相同输入参数处理的一系列基板的处理结果的均匀性。基板上均匀性的连续提高是可以期望的。除此之外,这还需要具有改进均匀性、一致性和自我诊断的等离子体腔。
发明内容
根据一个实施例,用于使用来支承在半导体等离子体处理装置中的半导体基板的基板支承组件的加热板包括至少第一电绝缘层、包括跨过所述第一电绝缘层横向(laterally)分布的至少第一、第二、第三和第四平坦加热器区域的平坦加热器区域、包括电连接到所述第一和第二加热器区域的至少第一电源供应线和电连接到所述第三和第四加热器区域的至少第二电源供应线的导电电源供应线、包括电连接到所述第一和第三加热器区域的至少第一电源返回线和电连接到所述第二和第四加热器区域的至少第二电源返回线的导电电源返回线。
附图说明
图1为基板支承组件的示意剖视图,其结合了(incorporate)具有加热器区域阵列的加热板,基板支承组件还包括静电夹头(ESC)。
图2图解说明在电源供应线与电源返回线之间的与加热板一个实施例中的加热器区域阵列的拓扑连接,加热板可以结合在基板支承组件中。
图3A表示电源供应线和电源返回线处于相同板上的实施例。
图3B表示通过电绝缘层而与在图3A中的平面分离的平面上的电源返回线,并且电源返回线通过延伸通过电绝缘层的导通孔连接到加热器区域。
图3C为结合了图3A和3B的加热板的基板支承组件的示意剖视图。
图4A表示电源供应线和电源返回线处于相同板上的实施例。
图4B表示通过电绝缘层而与图3A中的平面分离的平面,其中电源供应线通过导通孔连接到在该平面中的导线,并且带到在冷却板(未示出)中的单孔。在该板上的电源返回线通过在该平面与在图4A中的平面之间延伸的导通孔连接到加热器区域。电源返回线也带到在冷却板(未示出)中的单孔。
图5A表示加热器区域位于平面上、而电源供应线或电源返回线不位于该平面上的实施例。加热器区域通过导通孔连接到在一个或多个不同平面上的电源供应线和电源返回线。
图5B表示在通过电绝缘层而与在图5A中的平面分离的第二平面上的电源供应线。电源供应线通过在图5A和5B中的两个平面之间延伸的导通孔连接到加热器区域。
图5C表示在通过另外的电绝缘层与在图5A和5B中的平面分离的第三平面上的电源返回线。电源返回线通过在图5A-C中的所有三个平面之间延伸的导通孔连接到加热器区域。连接到在图5B中的电源供应线的导线也给定出通过在该平面中的馈通孔的线路。
图5D为结合了在图5A-C中的加热板的基板支承组件的示意剖视图。
图5E为结合了在图5A-C中的可替代加热板的基板支承组件的示意剖视图。
图6为结合了加热板的基板支承组件的示意剖视图,基板支承组件还包括在加热器区域阵列上方的初级加热器层,初级加热器层设置在通过电绝缘层而与在加热板中的所有平面分离的附加平面上。
图7A为示例性等离子体处理腔的示意图,其可以包括具有本文描述的加热板的基板支承组件。
图7B为RF隔离方法的示意图。
图8表示在用于基板支承组件的控制电子设备的一个实施例中的信号流的方框图。
具体实施方式
在半导体处理装置中控制径向或方位角基板温度以实现在基板上的期望关键尺寸(CD)均匀性正变得越来越需要。即使温度的很小变化也会影响CD到不可接受的程度,特别是在半导体制造流程中的CD靠近100nm以下时。
在处理期间,基板支承组件可以配置用于各种功能,例如支承基板、调整基板温度、给射频功率供电。基板支承组件可以包括在处理期间用于通过静电(electrostatically)将基板夹持到基板支承组件上的静电夹头(ESC)。ESC可以是可调(tunable)ESC(T-ESC)。T-ESC描述在共同受让的US专利No.6,847,014和6,921,724中,其在此通过参考并入。基板支承组件可以包括陶瓷基板保持器、流体冷却散热器(下文称为冷却板)、以及多个共心加热器区域以逐步实现径向温度控制。通常,冷却板保持在0℃到30℃之间。加热器设置在冷却板上,在它们之间具有一层隔热层。加热器可以将基板支承组件的支承表面维持在冷却板温度以上的大约0℃到80℃的温度。通过改变多个加热器区域内的加热器功率,基板支撑温度分布轮廓(profile)可以在中央热、中央冷以及一致等几种情况之间变化。此外,在冷却板温度以上的0℃到80℃的操作范围内,可以逐步改变平均的基板支撑温度。当CD随着半导体技术的进步而下降时,小方位角温度变量日益构成较大的挑战。
由于各种原因,控制温度不是容易的事情。首先,许多因素可以影响热传递,例如热源和散热器的位置、介质的运动、介质的材料和形状。第二,热传递是动态过程。除非相关的系统处于热平衡,否则将发生热传递,并且温度分布轮廓和热传递将随着时间变化。第三,非平衡现象使得任何实际等离子体处理装置的热传递性能的理论预期是非常困难的,假如这种预期不是不可能的话,这种非平衡现象(例如对于等离子体)总是存在于等离子体工艺中。
在等离子体处理装置中的基板温度分布轮廓受到许多因素的影响,这些因素如等离子体密度分布轮廓、RF功率分布轮廓、在夹具中的各种加热冷却元件的细节结构,因此基板温度分布轮廓通常不是均匀的并且难以用少量的加热或冷却元件来控制。该缺陷导致在跨越整个基板的处理速率方面的非均匀性和在基板上的设备模块的关键尺寸方面的非均匀性。
考虑到温度控制的复杂特性,将多个独立可控制加热器区域结合在基板支承组件中以使得所述装置能够主动(actively)产生并且保持期望的空间和时间温度分布轮廓,并且能够补偿影响CD均匀性的其他不利因素,这将是有利的。
本文描述的是用于在半导体处理装置中的基板支承组件的、具有多个可控制加热器区域的加热板。该加热板包括加热器区域、电源供应线及电源返回线的可扩展的多重布局结构。通过调整加热器区域的功率,在处理期间的温度分布轮廓可以径向和按方位角定型。虽然描述的该加热板主要用于等离子体的处理装置,但是该加热板也可以使用在不使用等离子体的其他半导体处理装置中。
还描述了用于制造该加热板、包括该加热板的基板支承组件的方法,以及用于供电和控制包括该加热板的基板支承组件的方法。
在该加热板中的加热器优选地设置在限定图案中,例如,矩形网格、六边形网格、环形阵列、共心环、或者任何期望图案。每个加热器区域可以是任何合适的尺寸并且可以具有一个或多个加热器元件。在加热器区域中的每个加热器元件一起接通或断开。为了使电连接的数量最少,电源供应线和电源返回线设置成使得每个电源供应线连接到不同组的加热器区域,并且每个电源返回线连接到不同组的加热器区域,其中每个加热器区域处于连接到特定电源供应线的组中的一个中以及处于连接到特定电源返回线的组中的一个中。没有两个加热器区域连接到相同对的电源供应线和电源返回线。因此,可以通过引导电流经过与加热器区域连接的一对电源供应线和电源返回线来激励这个特定加热器区域。加热器元件的功率优选地小于20W,更优选地为5到10W。加热器元件可以是电阻加热器,例如聚酰亚胺加热器、硅橡胶加热器、云母加热器、金属加热器(例如,W、Ni/Cr合金、Mo或Ta)、陶瓷加热器(例如,WC)、半导体加热器或碳加热器。加热器元件可以是网印、线绕或蚀刻箔加热器。在一个实施例中,每个加热器区域不大于四个制造在所述半导体基板上的设备模块,或者不大于两个制造在所述半导体基板上的设备模块,或者不大于一个制造在所述半导体基板上的设备模块,或者在面积为2-3cm2之间以与在基板上的设备模块相应。加热器元件的厚度范围可以为2微米到1毫米,优选地为5-80微米。为了提供在加热器区域和/或电源供应线与电源返回线之间的空间,加热器区域的总面积可以上至基板支承组件的上表面面积的90%,例如该面积的50-90%。电源供应线或电源返回线(总称电源线)可以以范围从1到10mm的间隙设置在加热器区域之间,或者设置在通过电绝缘层与加热器区域平面隔离的分离平面中。电源供应线和电源返回线优选地制作为与空间容许的一样宽,以便施加较大电流并且减少焦耳热。在一个实施例中,其中电源线处于与加热器区域相同的平面中,电源线的宽度优选地为在0.3到2mm之间。在另一个实施例中,其中电源线处于与加热器区域不同的平面中,电源线的宽度可以与加热器区域一样大,例如对于300mm的夹具,该宽度可以为1到2英寸。电源供应线和电源返回线的材料可以与加热器元件的材料相同或不同。优选地,电源供应线和电源返回线的材料是具有低阻抗的材料,例如Cu、Al、W、或Mo。
图1-2所示为基板支承组件,其包括具有结合在两个电绝缘层104A和104B中的加热器区域101阵列的加热板的一个实施例。电绝缘层可以是聚合物材料,无机材料,陶瓷,例如氧化硅、氧化铝、氧化钇、氮化铝,或者其他合适的材料。基板支承组件还包括(a)具有陶瓷层103(静电夹持层)的ESC,其中嵌入电极102(例如,单极性或双极性)来将基板用DC电压通过静电夹持到陶瓷层103的表面,(b)隔热层107,(c)包含用于冷却剂流动的通道的冷却板105。
如图2所示,每个加热器区域101连接到其中一个电源供应线201和其中一个电源返回线202。任何两个加热器区域101都不共享相同对的电源供应线201和电源返回线202。通过合适的电源开关布置,能够连接一对电源供应线201和电源返回线202到电源(未示出),从而仅连接到该对线的加热器区域接通。每个加热器区域的时间平均加热功率可以通过时分复用来独立地调整。为了防止在不同加热器区域之间的串扰,整流器250(例如,二极管)可以串联地连接在每个加热器区域与连接到该每个加热器区域上的电源供应线之间(如图2所示),或者连接在每个加热器区域与连接到该每个加热器区域上的电源返回线之间(未示出)。整流器可以物理地设置在加热板或者任何合适的位置中。可替代地,诸如固态转换开关等任何电流截止装置可以用于阻止串扰。
图3A、3B和3C表示包括ESC、冷却板、加热板的一个实施例的基板支承组件,其中加热器区域101和电源供应线201设置在第一平面302中,并且电源返回线202设置在通过电绝缘层304而与第一平面302分离的第二平面303中。电源返回线202由在电绝缘层304中的、在第一平面302与第二平面303之间延伸的导电通孔301连接到加热器区域101。
在使用中,电源供应线201和电源返回线202通过在冷却板中的孔或导管连接到在加热板外部的电路。应该认识到在冷却板中的孔或导管的存在会不利地影响基板支承组件的温度均匀性,因此减少在冷却板中的孔或导管的数量可以提高温度均匀性。此外,少量的孔使得将它们布置为绕着基板支承组件的边缘成为可能。例如,在冷却板中的单个电源导管可以使用来馈送电导线到电源供应线201。在一个实施例中(图4A和图4B),加热器区域101和电源供应线201设置在第一平面402中。电源供应线201通过在第一平面402与第二平面403之间延伸的导电通孔301连接到在第二平面403中的导线404。第二平面403通过电绝缘层(未示出)与第一平面402分离。电源返回线202设置在第二平面403中并且通过在第一平面402与第二平面403之间延伸的导电通孔301连接到加热器区域101。在第二平面403中,导线404穿过在冷却板中的孔或导管401,同时保持在导线之间的电绝缘。相似地,电源返回线202连接到穿过在冷却板中的孔或导管406的导线405,同时保持在导线405之间的电绝缘。
图5A、5B、5C和5D表示包括加热板的另一个实施例的基本支承组件,其中加热器区域101设置在第一平面501中;电源供应线201设置在第二平面502中;并且电源返回线202设置在第三平面503中。第一平面501、第二平面502以及第三平面503由电绝缘层504和304来互相分离。电源供应线201和电源返回线202通过在电绝缘层304和504中的、在平面501、502及503之间延伸的导电通孔301连接到加热器区域101。连接到电源供应线201的导线(未示出)被引导通过在层504中的孔或导管505。应该认识到平面501、502及503可以沿着竖直方向以任意顺序布置,只要导通孔和导管适当布置。优选地,加热器布置为最靠近基板支承组件上表面。图5E表示了一实施例,其中,每个加热器区域101通过整流器506(例如二极管)连接到电源返回线202。整流器506仅允许电流从电源供应线201流动经过加热器区域101到达电源返回线202,并且因此阻止在加热器区域之间的串扰。
基板支承组件可以包括附加电绝缘层604,一个或多个附加加热器(下文称为初级加热器601)结合在其中(图6)。优选地,初级加热器601是独立受控的高功率加热器。初级加热器的功率为在100到10000W之间,优选地在1000到5000W之间。初级加热器可以布置为矩形网格、共心圆环区域、径向区域或者环形区域和径向区域的组合。初级加热器可以使用来改变平均温度、调整径向温度分布轮廓、或者在基板上的逐步温度控制。初级加热器可以设置在加热板的加热器区域的上方或下面。
在一个实施例中,在加热板中的至少其中一个隔热层是聚合物材料片。
在另一个实施例中,在加热板中的至少其中一个隔热层是无机材料片,例如陶瓷或氧化硅片。对于在陶瓷夹具的制造中使用的合适隔热和传导材料的实例公开在共同授权的US专利No,6483690中,其公开在此通过参考并入。
基板支承组件可以包括加热板的实施例,其中加热板的每个加热器区域尺寸相似于或小于在基板上的单个设备模块或设备模块组,从而对于每个设备模块位置可以控制基板温度、以及后续的等离子体蚀刻处理,以使得从基板生产该设备的产量最大。加热板的可扩展架构可以容易地容纳逐个模块基板温度控制(通常在300mm直径的基板上有穿过100个的模块)所需要的加热器区域的数量,其中在冷却板中具有最小数量的电源供应线、电源返回线以及管通孔,从而减少对基板温度、制造成本以及基板支承组件的复杂性的干扰。虽然未示出,但是基板支承组件可以包括用于提升基板的装置、例如提升销,氦背部冷却装置,用于提供温度反馈信号的温度传感器,用于提供加热功率反馈信号的电压和电流传感器,用于加热器和/或夹持电极的电力馈电,和/或RF滤波器。
在用于制造加热板的方法的一个实施例中,其中隔热层是陶瓷,隔热层可以利用例如等离子体喷镀、化学气相沉积或溅射等技术通过将陶瓷沉积在合适基板上来形成。该层可以是加热板的起步层或加热板中的一个隔热层。
在用于制造加热板的方法的一个实施例中,其中隔热层是陶瓷,隔热层可以将陶瓷粉末、粘合剂以及液体的混合物压制成片并且烘干该片(下文称为绿色片)来形成。绿色片厚度约0.3mm。导通孔可以通过在绿色片中冲压孔来形成在绿色片中。所述孔填充传导粉末的研磨浆。加热器元件、电源供应线以及电源返回线可以通过如下方式形成:丝网印刷导体粉末(例如W、WC、掺杂SiC或MoSi2)的研磨浆、按压预切割金属箔、喷洒导体粉末的研磨浆、或者其他任何合适技术。用于容纳例如二极管等任何整流器的凹部可以在绿色片的形成处理期间压制或者在形成处理之后在绿色片中切割而成。离散部件整流器可以安装到这些凹部内。然后对齐、压制以及烧结具有各种部件(电源线、导通孔、整流器以及加热器元件)的多个绿色片来形成整个加热板。
在用于制造加热板的方法的另一个实施例中,其中隔热层是陶瓷,隔热层可以将陶瓷粉末、粘合剂以及液体的混合物压制成绿色片并且烘干该绿色片来形成。绿色片可以是大约0.3mm的厚度。在绿色片中冲压孔用于容纳导通孔。用于容纳例如二极管等任何整流器的凹部可以在绿色片的形成处理期间压制或者在形成处理之后在绿色片中切割而成。然后,烧结单个绿色片。在烧结的片中用于容纳导通孔的孔填充传导粉末的研磨浆。加热器元件、电源供应线以及电源返回线可以用导体粉末(例如W、WC、掺杂SiC或MoSi2)的研磨浆丝网印刷在烧结的基板上、或者利用其他任何合适技术形成在烧结的基板上。离散部件整流器可以安装到在烧结的片中的凹部内。然后对齐并且用粘合剂粘结具有各种部件(线、导通孔、整流器以及加热器元件)的多个烧结的片来形成整个加热板。
在一个实施例中,其中隔热层是氧化硅片,该隔热层可以利用例如蒸发、溅射、PVD、CVD、PECVD等技术通过沉积薄膜氧化硅到合适基板上来形成。
在用于制造加热板的方法的一个优选实施例中,例如Al、或Cu箔等薄金属片(部件层)粘结(例如,热压制、用粘合剂粘结)到第一聚合物膜,例如聚酰亚胺膜。图案化阻挡膜施加到部件层的表面,其中图案限定例如加热器元件、电源供应线及电源返回线等电气部件的形状和位置。暴露的金属被化学蚀刻并且阻挡图案保留在剩余的金属片中。然后通过在合适溶液中溶解或者干剥离来去除阻挡膜。对齐并且粘结具有用于容纳导通孔(导通孔层)的孔的第二聚合物膜到第一聚合物膜。孔的侧壁可以在那里用电镀金属涂覆。可以串联地结合任何合适数量的部件层和导通孔层。最后,暴露的金属部件由连续的聚合物膜覆盖用于电绝缘。
在另一个实施例中,加热器元件、电源供应线及电源返回线由沉积(例如,等离子体喷镀、电镀、化学气相沉积、或者溅射)在绝缘层或基板(例如绿色片)上的金属膜制成。
在另一个实施例中,加热器元件、电源供应线及电源返回线由沉积(例如,电镀、化学气相沉积、或者溅射)在绝缘层或基板(例如绿色片)上的例如氧化铟锡之类的非晶体传导无机膜薄层制成。
在又一个实施例中,加热器元件、电源供应线及电源返回线由沉积(例如,化学气相沉积、或者溅射)在绝缘层或基板(例如绿色片)上的传导陶瓷膜的薄层制成。
在一个实施例中,在加热板中的电源供应线及电源返回线可以通过例如嵌入冷却板但与冷却板电绝缘的弹性镶片贯通孔之类的端子连接器连接到外部电路。
在另一个实施例中,在加热板中的电源供应线及电源返回线可以通过附接(钎焊、用传导粘合剂粘结或者点焊)导线到电源供应线及电源返回线并且将这些导线穿过在冷却板中的孔或导管而连接到外部电路。
在等离子体处理系统中,施加在等离子体处理腔中的RF功率通常在100W以上,有时候在1000W以上。RF电压的幅度可以超过1000伏。这样强的RF功率会容易影响加热器区域的控制和供电电路的操作,不会正确地滤波或隔离。RF滤波器可以使用来使RF功率与控制和供电电路旁路。RF滤波器可以是用于使用在等离子体处理系统中的特定RF频率的简单宽带滤波器或调谐滤波器。相反,RF隔离器消除在任何RF耦合部件与控制和供电电路之间的直接电连接。RF隔离器可以是光耦合器或者变压器。
作为等离子体处理腔如何运作的概述,图7A表示包括腔713的等离子体处理腔的示意图,上喷头电极703和基板支承组件704设置在腔713中。基板712通过装载口711装载到基板支承组件704上。气管709供应处理气体到上喷头电极703,其输送处理气体到腔内。气源708(例如动力供应合适气体混合物的质量流量控制器)连接到气管709。RF功率源702连接到上喷头电极703。在操作中,腔内用真空泵710抽空并且RF功率电容性地耦合在上喷头电极703与在基板支承组件704中的下电极之间以将处理气体在基板712与上喷头电极703之间的空间中激发成等离子体。等离子体可以使用来将设备模块特征蚀刻至在基板712上的层中。基板支承组件704可以具有结合在其中的加热器。应该认识到虽然等离子体处理腔的细节设计可以改变,但是RF功率通过基板支承组件704耦合。
图7B表示RF滤波或隔离的实施例的示意图,其中没有滤波器或隔离器连接在加热器区域、电源供应线及电源返回线上,并且控制和供电电路705连接到滤波器或隔离器706B,滤波器或隔离器706B连接到电源地701。如果在基板支承组件中存在初级加热器(未示出)的话,由于它们的高功率,这些加热器优选地具有分离的滤波器或隔离器。以这样的方法,控制和供电电路705浮动在RF电位或“高侧”。该方法允许多个加热器区域仅共享一个滤波器或隔离器。
所有高侧电路可以容纳在紧接在基板支承组件基座结构下面的局部浮动法拉第氏罩内侧。
可替代地,隔离变压器使用作为单个滤波器或隔离器706B以将控制和供电电路705与RF隔离。加热器区域的控制和供电电路705应该能够以相对高频(25到250KHz)来操作,因为变压器强烈地衰减DC和低频传输。控制和供电电路参考单个浮动电位(浮地)。这样要求连接到该隔离变压器的控制和供电电路必须承受非常相似的RF照射。如果RF电位在两组控制和供电电路之间基本上不同,那么明显的电流在这些组之间流动。在这种情况下,各组必须具有它自己的滤波器或隔离器,或者在这些组之间必须具有滤波器或隔离器。
滤波器或隔离器706B可以物理地设置在等离子体处理腔或者任何其他合适的位置中。
加热器控制电子设备的一个实施例描述在图8中。下侧控制器809可以是微控制器单元(MCU)或者较高级的设备,例如计算机(PC)。通过光耦合器807,下侧控制器与高侧MCU 805数字地通信,高侧MCU 805与加热器区域801、传感器803以及任何辅助电路802互动。如果高侧MCU 805具有足够容量和本地存储器,任何设定值或程序可以在每个程序运行之前预装载到高侧MCU 805内,从而消除实时链接到下侧控制器809的需要。804表示在模块之间的单向通信链路。806表示在模块之间的双向通信链路。
在时分复用方案的一个实施例中,高侧MCU相继地供应电力到每个加热器区域电源供应线。相同时间仅一个电源供应线连接到电源。在给一个电源供应线供电期间,高侧MCU可以保持任何或所有电源返回线连接到浮动参考持续这段期间中的一部分时间长度。当连接到加热器区域的至少其中一个电源供应线连接到电源,并且连接到该加热器区域的至少其中一个电源返回线连接到电源时,该加热器区域接通。加热器区域的平均功率直接与它接通的平均时间成正比。可替代地,在给一个电源供应线供电期间,高侧MCU可以保持任何或所有电源返回线连接到浮动参考持续该整段期间,并且调节传输到接通的每个加热器区域的功率。
例如,对于10乘10网格的加热器区域,在行数N中的加热器区域连接到电源供应线数N;在列数M中的加热器区域连接到电源返回线数M。高侧MCU可以控制加热以便每个电源供应线相继连接到电源持续100ms。例如,在电源供应线数3连接到电源的100ms时间期间,根据在该100ms期间具体加热需求所引导的,MCU可操作来将电源返回线7、8和9分别连接到浮动参考持续10、50以及100ms。因此,在行数3和列数7中的加热器区域具有1%的占空比;在行数3和列数8中的加热器区域具有5%的占空比;在行数3和列数9中的加热器区域具有10%的占空比。在该具体实例中,每个加热器区域的最大功率峰值设置为期望的平均最大功率值的10倍。
为了防止可检测的温度调制,切换频率和整个复用方案优选地是足够快速的,频繁地处理每个加热器区域(至少1Hz)。可以利用来自一个或多个传感器的反馈数据执行附加循环控制。如果必要也可以使用电压和电流传感器。这些传感器可以配置来测量例如在基板的不同位置上的温度以及加热器区域的功率等参数。这些测量的参数发送到控制和供电电路以与这些参数的设定目标值比较,从而控制和供电电路可以因而调整输送到加热器区域的功率,以使得在测量的参数与它们的设定目标值之间的差异最小。
虽然已经参考其具体实施例详细地描述了加热板,制造加热板的方法,包括加热板的基板支承组件,以及使用包含基板支承组件的等离子体处理腔的方法,但是对于本领域的技术人员而言,显然,在不脱离附属权利要求的范围情况下,可以作出各种改变和修改,以及使用等同物。例如,基板支承组件可以包括用于监控基板温度的温度传感器,给ESC供电到具有期望夹持电压的电力馈送装置,用于提升和下降基板的提升销装置,用于供应例如氦气等气体到基板下面的热传递气体馈送装置,供应热传递液体到冷却板的温控液体馈送装置,独立给在平坦加热器区域上方或下面的初级加热器供电的电力馈送装置,以一个或多个频率供应RF功率到结合在基板支承组件中的下电极的电力馈送装置,等等。

Claims (56)

1.一种用于使用来支承在半导体处理装置中的半导体基板的基板支承组件的加热板,所述加热板包括:
第一电绝缘层;
平坦加热器区域,其包括至少第一、第二、第三和第四平坦加热器区域,每个包括一个或多个加热器元件,所述平坦加热器区域跨过所述第一电绝缘层横向分布并且可操作来调节在所述基板上的空间温度分布轮廓;
电源供应线,其包括电连接到所述第一和第二平坦加热器区域的至少第一导电电源供应线和电连接到所述第三和第四平坦加热器区域的至少第二导电电源供应线;
电源返回线,其包括电连接到所述第一和第三平坦加热器区域的至少第一导电电源返回线和电连接到所述第二和第四平坦加热器区域的至少第二导电电源返回线。
2.如权利要求1所述的加热板,其中
(a)所述平坦加热器区域和所述电源供应线处于第一平面;所述电源返回线处于与所述第一平面平行的第二平面;所述第一和第二平面通过所述第一电绝缘层互相分离;所述电源返回线通过垂直于所述第一电绝缘层延伸的导通孔电连接到所述平坦加热器区域;
(b)所述平坦加热器区域和所述电源返回线处于第一平面;所述电源供应线处于与所述第一平面平行的第二平面;所述第一和第二平面通过所述第一电绝缘层互相分离;所述电源供应线通过垂直于所述第一电绝缘层延伸的导通孔电连接到所述平坦加热器区域;或者
(c)所述平坦加热器区域处于第一平面;所述电源供应线处于与所述第一平面平行的第二平面;所述电源返回线处于与所述第一平面平行的第三平面;所述第一和第二平面通过所述第一电绝缘层互相分离;所述第二和第三平面通过第二电绝缘层互相分离;所述电源供应线和电源返回线经由延伸通过所述电绝缘层的导通孔电连接到所述平坦加热器区域。
3.如权利要求1所述的加热板,其中所述平坦加热器区域的尺寸确定为:
(a)每个平坦加热器区域不大于四个制造在所述半导体基板上的设备模块,或者
(b)每个平坦加热器区域不大于两个制造在所述半导体基板上的设备模块,或者
(c)每个平坦加热器区域不大于一个制造在所述半导体基板上的设备模块,或者
(d)每个平坦加热器区域的面积是在2-3平方厘米之间,或者
(e)所述加热板包括100到400个平坦加热器区域,或者
(f)每个平坦加热器区域是1到15cm2,或
(g)每个平坦加热器区域16到100cm2,或
(h)每个平坦加热器区域按在所述半导体基板上的设备模块的尺寸和所述半导体的整体尺寸来排列。
4.如权利要求1所述的加热板,其中所述第一电绝缘层包括聚合物材料、陶瓷材料、或者其组合。
5.如权利要求1所述的加热板,其中所述电源供应线和所述电源返回线的总数等于或小于平坦加热器区域的总数。
6.如权利要求1所述的加热板,其中所述平坦加热器区域的总面积是所述加热板的上表面的50%到90%。
7.如权利要求1所述的加热板,其中所述平坦加热器区域设置为矩形网格、六边形网格或者同心环;并且所述平坦加热器区域由宽度为至少1毫米以及宽度为至多10毫米的间隙互相分离。
8.如权利要求1所述的加热板,其中整流器串联地连接在每个加热器区域与连接到其上的所述电源供应线之间,或者整流器串联地连接在每个加热器区域与连接到其上的电源返回线之间。
9.如权利要求8所述的加热板,其中所述整流器是半导体二极管。
10.一种基板支承组件,包括:
静电夹头(ESC),其包括具有配置来通过静电将半导体基板夹持在所述基板支承组件上的至少一个夹持电极的静电夹持层;
设置在所述静电夹持层下面的如权利要求1所述加热板;
由隔热层附接到所述加热板下侧的冷却板。
11.如权利要求10所述的基板支承组件,其中:
(a)所述电源供应线连接到互相电绝缘并且延伸通过在所述冷却板中的至少一个电源供应导管的导线,并且所述电源返回线连接到互相电绝缘并且延伸通过在所述冷却板中的至少一个电源返回导管的导线;或者
(b)所述电源供应线和所述电源返回线连接到嵌入在所述冷却板中的端子连接器。
12.如权利要求10所述的基板支承组件,还包括控制和供电电路,其可操作来选择性地在任何给定时间供电到(a)仅所述第一平坦加热器区域,(b)仅所述第二平坦加热器区域,(c)仅所述第三平坦加热器区域,(d)仅所述第四平坦加热器区域,(e)仅所述第一和第二平坦加热器区域,(f)仅所述第一和第三平坦加热器区域,(g)仅所述第二和第四平坦加热器区域,(h)仅所述第三和第四平坦加热器区域,以及(i)全部所述平坦加热器区域。
13.如权利要求12所述的基板支承组件,还包括串联连接在所述控制和供电电路与电源接地之间的至少一个滤波器或隔离器。
14.如权利要求13所述的基板支承组件,其中所述至少一个滤波器或隔离器是变压器。
15.如权利要求10所述的基板支承组件,还包括设置在所述加热板的第一电绝缘层上面或下面的至少一个初级加热器层,其中所述初级加热器层与所述加热板的所述平坦加热器区域、所述电源供应线以及所述电源返回线电绝缘;所述初级加热器层包括提供所述半导体基板的平均温度控制的至少一个加热器;所述平坦加热器区域在处理期间,提供所述半导体基板的径向和方位角温度分布轮廓控制。
16.一种用于制造权利要求1的所述加热板的方法,包括:
(a)形成在陶瓷片中的孔;
(b)丝网印刷导体粉末的研磨浆、或者按压预切割金属箔、或者喷洒导体粉末的研磨浆在所述陶瓷片上以形成所述平坦加热器区域、所述电源供应线、以及电源返回线;
(c)用导体粉末的研磨浆填充在所述陶瓷片中的孔以形成电源供应和电源返回导通孔;
(d)对齐、按压和粘结所述陶瓷片以形成所述加热板。
17.如权利要求16所述的用于制造所述加热板的方法,其中:
(a)所述平坦加热器区域和电源供应线形成在第一陶瓷片的上侧上,所述电源返回线形成在所述第一陶瓷片的下侧上,第二陶瓷片放置在所述第一陶瓷片的上表面上方并且第三陶瓷片放置在所述第一陶瓷片的下方,并且所述第一、第二和第三陶瓷片按压在一起并且烧结来形成无缝加热板;或者
(b)所述平坦加热器区域形成在第一陶瓷片的上侧上,所述电源供应线形成在布置在所述第一陶瓷片下面的第二陶瓷片的上侧上,所述电源返回线形成在布置在所述第二陶瓷片下面的第三陶瓷片的上侧上,第四陶瓷片放置在所述第一陶瓷片的上表面上方,并且所述第一、第二、第三和第四陶瓷片按压在一起并且烧结来形成无缝加热板。
18.一种用于制造权利要求1所述的加热板的方法,包括:
制造部件层,其包括下列步骤:
(a)粘结金属片到聚合物膜上;
(b)应用形成图案阻挡膜到所述金属片上,其中在所述形成图案阻挡膜中的开口与要去除的金属所在的位置相应;
(c)蚀刻所述金属片以去除通过所述阻挡膜的开口暴露的金属,所述蚀刻形成所述平坦加热器区域、电源供应线和/或电源返回线的导电金属图案;
(d)去除所述阻挡膜;
制造导通孔层,其包括下列步骤:
(a)冲压或切割在聚合物膜中的孔;
(b)在所述孔中形成导电通孔;
通过粘结一个或多个部件层以及一个或多个导通孔层来建立积层;
通过将连续聚合物膜粘结在所述积层的上和/或下表面上来使所述积层绝缘。
19.一种用于制造权利要求1所述的加热板的方法,包括:
沉积金属、非晶体传导无机材料、或者传导陶瓷在所述第一绝缘层上以形成所述平坦加热器区域、电源供应线和/或电源返回线。
20.一种用于在包括权利要求10所述的基板支承组件的等离子体处理腔中等离子体处理半导体基板的方法,包括:
(a)装载半导体基板到所述处理腔内并且定位所述半导体基板在所述基板支承组件上;
(b)确定温度分布轮廓,以补偿影响关键尺寸(CD)均匀性的处理情况;
(c)利用所述基板支承组件加热所述半导体基板以符合所述温度分布轮廓;
(d)当通过独立控制所述平坦加热器区域的加热来控制所述温度分布轮廓时,点燃等离子体并且处理所述半导体基板;
(e)从所述处理腔卸载所述半导体基板并且使用不同半导体基板重复步骤(a)-(e)。
21.如权利要求11所述的基板支承组件,其中所述端子连接器是弹性镶片贯通孔。
22.如权利要求15所述的基板支承组件,其中所述初级加热器层包括两个或更多个加热器。
23.一种给支承半导体基板的基板支承组件中的加热器提供功率的方法,所述支承组件包括:加热器阵列,其通过两个或更多个电源供应线和两个或更多个电源返回线供应功率,其中每一个电源供应线连接到电源及所述加热器中的至少两个上,每一个电源返回线连接到所述加热器的至少两个上;和开关装置,其独立地将所述加热器中的每一个连接到所述电源供应线中的一个以及所述电源返回线中的一个以便通过所述开关装置的开关的时分复用来所述加热器中的每一个提供时间平均功率,所述方法包括使用时分复用方案向所述加热器中的每一个连续供应功率。
24.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中仅有一个电源供应线同时与电源连接。
25.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述加热器中的每一个的平均功率与该加热器接通的平均时间成正比。
26.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述加热器呈网格布局,在该网格布局中,在行数N中的加热器连接到数N的电源供应线上,在列数M中的加热器连接到数M的电源返回线上。
27.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述电源供应线中的每一个相继连接到电源上。
28.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中每个加热器以至少1Hz的频率处理。
29.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中传感器测量发送到控制和供电电路的参数,所述控制和供电电路调整输送到所述加热器的功率,从而使得在所测量的所述参数与设定目标值之间的差异最小。
30.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中设置所述加热器的尺寸使得:
(a)每个加热器不大于四个制造在所述半导体基板上的设备模块,或
(b)每个加热器不大于两个制造在所述半导体基板上的设备模块,或者
(c)每个加热器不大于一个制造在所述半导体基板上的设备模块,或
(d)每个加热器的面积在2-3cm2之间,或
(e)所述加热器阵列包括100到400个平坦加热器,或
(f)每个加热器加热1到15cm2的平坦加热器区域,或
(g)每个加热器加热16到100cm2的平坦加热器区域,或
(h)每个加热器根据在所述半导体基板上的设备模块的尺寸和所述半导体的整体尺寸来设置。
31.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述电源供应线和所述电源返回线的总数等于或小于所述加热器的总数。
32.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述加热器设置为矩形网格、六边形网格或者同心环;并且所述加热器由宽度为至少1毫米以及宽度为至多10毫米的间隙互相分离。
33.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中整流器串联地连接在每个加热器区域与所述电源供应线之间,或者整流器串联地连接在每个加热器区域与所述电源返回线之间。
34.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中至少一个初级加热器层设置在支承所述加热器的加热板的第一电绝缘层上面或下面,其中所述初级加热器层与所述加热器、所述电源供应线以及所述电源返回线电绝缘;所述初级加热器层包括提供所述半导体基板的平均温度控制的至少一个加热器;所述加热器提供所述半导体基板的径向和方位角温度分布轮廓控制,所述方法包括向所述初级平坦加热器层提供功率至预定的温度并向处理所述半导体基板的等离子体提供功率。
35.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,进一步包括:
(a)装载半导体基板到处理腔内并且定位所述半导体基板在所述基板支承组件上;
(b)确定温度分布轮廓,以补偿影响关键尺寸(CD)均匀性的处理情况;
(c)利用所述基板支承组件加热所述半导体基板以符合所述温度分布轮廓;
(d)当通过独立控制所述平坦加热器区域的加热来控制所述温度分布轮廓时,点燃等离子体并且处理所述半导体基板;
(e)从所述处理腔卸载所述半导体基板并且使用不同半导体基板重复步骤(a)-(e)。
36.根据权利要求35所述的给基板支承组件中的加热器提供功率的方法,其中所述处理包括等离子体蚀刻所述半导体基板。
37.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中当一个电源供应线被供给功率时,选择将被激励的返回线,并且按顺序将多个所选择的所述返回线连接到浮动参考点持续相同或者不同的时间,从而调节供应至与所述电源供应线和所选择的所述返回线连接的加热器上的功率。
38.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述加热器是电阻加热器,并且供应至所述电阻加热器上的最大功率是20W。
39.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中每个加热器的时间平均加热功率通过所述时分复用来独立地进行调整。
40.根据权利要求23所述的给基板支承组件中的加热器提供功率的方法,其中所述基板支承组件包括被供给100至10000W的功率的初级加热器,所述初级加热器位于所述加热器阵列之下。
41.一种用于支承等离子体处理室中的半导体基板的半导体基板支承件,其包括:
可操作地调节所述半导体基板上的空间温度轮廓的加热器阵列,所述加热器通过两个或更多个电源供应线和两个或更多个电源返回线提供功率,其中每一个电源供应线连接到所述加热器中的至少两个上,每一个电源返回线连接到所述加热器的至少两个上;和
开关装置,其通过所述电源供应线中的一个以及所述电源返回线中的一个独立地向所述加热器中的每一个供应功率以便通过所述开关的时分复用来所述加热器中的每一个提供时间平均功率。
42.根据权利要求41所述的半导体基板支承件,进一步包括温度受控的并且由射频(RF)供能的基座板,该基座板位于所述加热器阵列和高侧电路之下,该高侧电路容纳在所述基座板下的局部的法拉第氏罩内。
43.根据权利要求41所述的半导体基板支承件,进一步包括具有第一电绝缘层的加热板,所述加热板阵列设置在平坦加热器区域内,该平坦加热器区域包括至少第一、第二、第三和第四平坦加热器区域,每个平坦加热器区域包括一个或多个加热器元件,所述平坦加热器区域跨过所述第一电绝缘层横向分布,所述电源供应线包括电连接到所述第一和第二平坦加热器区域的至少第一导电电源供应线和电连接到所述第三和第四平坦加热器区域的至少第二导电电源供应线,并且所述电源返回线包括电连接到所述第一和第三平坦加热器区域的至少第一导电电源返回线和电连接到所述第二和第四平坦加热器区域的至少第二导电电源返回线。
44.根据权利要求41所述的半导体基板支承件,进一步包括温度传感器,该温度传感器测量发送到控制和供电电路的参数,所述控制和供电电路调整输送到所述加热器的功率,从而使得在所测量的所述参数与设定目标值之间的差异最小。
45.根据权利要求41所述的半导体基板支承件,其中所述开关装置连接到隔离器上,该隔离器连接到电子地。
46.根据权利要求45所述的半导体基板支承件,其中所述开关装置位于所述等离子体处理室内。
47.根据权利要求45所述的半导体基板支承件,其中所述隔离器是将所述开关转换器与RF隔离开来的隔离变压器。
48.根据权利要求41所述的半导体基板支承件,进一步包括至少一个初级加热器层,其设置在支承所述加热器的加热板的第一电绝缘层上面或下面,其中所述初级加热器层与所述加热器、所述电源供应线以及所述电源返回线电绝缘;所述初级加热器层包括提供所述半导体基板的平均温度控制的至少一个加热器,所述加热器提供所述半导体基板的径向和方位角温度分布轮廓控制。
49.根据权利要求47所述的半导体基板支承件,其中所述开关装置为高侧控制器,并且所述基板支承件还包括与所述高侧控制器连接以实现与下侧控制器数字通信的光耦合器。
50.根据权利要求41所述的半导体基板支承件,其中所述开关装置将至少一个电源返回线连接至浮动参考。
51.根据权利要求41所述的半导体基板支承件,其中,当连接到加热器的至少一个电源返回线连接到电源时,并且连接到所述加热器的至少一个电源返回线连接到浮动参考时,所述开关装置打开所述加热器。
52.根据权利要求41所述的半导体基板支承件,其中,所述开关装置将所有的电源返回线连接到浮动参考。
53.根据权利要求41所述的半导体基板支承件,其中,所述开关装置以至少1Hz的频率处理每个加热器。
54.根据权利要求41所述的半导体基板支承件,还包括被设置为测量所述基板支承件上不同位置的参数以及所述加热器的功率的传感器。
55.根据权利要求54所述的半导体基板支承件,其中,所述传感器包括电压传感器和电流传感器中的至少一个。
56.根据权利要求54所述的半导体基板支承件,其中,所测量的所述参数包括至少温度测量值。
CN201080051828.XA 2009-10-21 2010-10-20 具有用于半导体处理的平坦加热器区域的加热板 Active CN102668058B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/582,991 US8637794B2 (en) 2009-10-21 2009-10-21 Heating plate with planar heating zones for semiconductor processing
US12/582,991 2009-10-21
PCT/US2010/002794 WO2011049620A2 (en) 2009-10-21 2010-10-20 Heating plate with planar heater zones for semiconductor processing

Publications (2)

Publication Number Publication Date
CN102668058A CN102668058A (zh) 2012-09-12
CN102668058B true CN102668058B (zh) 2015-04-01

Family

ID=43879632

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080051828.XA Active CN102668058B (zh) 2009-10-21 2010-10-20 具有用于半导体处理的平坦加热器区域的加热板

Country Status (6)

Country Link
US (6) US8637794B2 (zh)
JP (3) JP5836959B2 (zh)
KR (2) KR101643800B1 (zh)
CN (1) CN102668058B (zh)
TW (3) TWI642135B (zh)
WO (1) WO2011049620A2 (zh)

Families Citing this family (480)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101031226B1 (ko) * 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102652352B (zh) 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10010213B2 (en) * 2010-11-02 2018-07-03 Ember Technologies, Inc. Heated or cooled dishware and drinkware and food containers
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
WO2012128348A1 (ja) * 2011-03-23 2012-09-27 住友大阪セメント株式会社 静電チャック装置
US9269600B2 (en) * 2011-04-27 2016-02-23 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CN103828031B (zh) * 2011-08-17 2016-10-26 朗姆研究公司 用于监测复用加热器阵列的温度并控制该阵列的系统和方法
AU2015203212C1 (en) * 2011-08-30 2017-07-06 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203198B2 (en) * 2011-08-30 2017-03-09 Watlow Electric Manufacturing Company Thermal array system
AU2015203195B2 (en) * 2011-08-30 2017-04-13 Watlow Electric Manufacturing Company System and method for controlling a thermal array
MX338215B (es) * 2011-08-30 2016-04-06 Watlow Electric Mfg Sistema y metodo para controlar un arreglo termico.
AU2015203200B2 (en) * 2011-08-30 2016-06-02 Watlow Electric Manufacturing Company Thermal array system
US10883950B2 (en) * 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
AU2015203215C1 (en) * 2011-08-30 2016-09-15 Watlow Electric Manufacturing Company Thermal array system
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) * 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
EP2761974B1 (en) * 2011-09-29 2022-06-01 Watlow Electric Manufacturing Company High dynamic temperature control system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
KR101976538B1 (ko) * 2012-02-16 2019-05-10 주식회사 미코 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
EP2839506B1 (en) * 2012-02-29 2016-08-24 ASML Netherlands B.V. Electrostatic clamp
JP6106659B2 (ja) * 2012-03-07 2017-04-05 日本特殊陶業株式会社 搬送装置およびセラミック部材
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
JP6359236B2 (ja) * 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
JP5793473B2 (ja) * 2012-07-20 2015-10-14 株式会社新川 ボンディング装置用ヒータ及びその冷却方法
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
CN103633003B (zh) * 2012-08-28 2016-12-21 中微半导体设备(上海)有限公司 一种静电卡盘
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103681185B (zh) * 2012-08-30 2016-05-04 中微半导体设备(上海)有限公司 一种静电卡盘及等离子体处理装置
JP6077258B2 (ja) * 2012-10-05 2017-02-08 日本特殊陶業株式会社 積層発熱体、静電チャック、及びセラミックヒータ
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
US9543183B2 (en) * 2013-04-01 2017-01-10 Fm Industries, Inc. Heated electrostatic chuck and semiconductor wafer heater and methods for manufacturing same
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
DE102014202302B4 (de) * 2013-07-03 2015-02-19 Technische Universität Dresden Vorrichtung zum Beheizen von Vorformkörpern
KR102278077B1 (ko) * 2013-08-14 2021-07-16 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI589178B (zh) * 2013-08-19 2017-06-21 友達光電股份有限公司 加熱器以及加熱方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
JP2015095409A (ja) * 2013-11-13 2015-05-18 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9518946B2 (en) 2013-12-04 2016-12-13 Watlow Electric Manufacturing Company Thermographic inspection system
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752130A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理装置及其静电卡盘
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
JP6527524B2 (ja) * 2014-02-07 2019-06-05 インテグリス・インコーポレーテッド 静電チャックおよびその作製方法
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9589853B2 (en) * 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102359177B1 (ko) 2014-03-13 2022-02-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 전자 장치
CN104934279B (zh) * 2014-03-17 2017-08-01 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台的制造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952682A (zh) * 2014-03-25 2015-09-30 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US9595464B2 (en) 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
CN105474381B (zh) * 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104233195B (zh) * 2014-08-28 2017-02-08 京东方科技集团股份有限公司 一种蒸镀设备及蒸镀方法
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102110267B1 (ko) * 2014-10-31 2020-05-14 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
WO2016080502A1 (ja) * 2014-11-20 2016-05-26 住友大阪セメント株式会社 静電チャック装置
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
CN104503513A (zh) * 2014-12-11 2015-04-08 无锡市锡容电力电器有限公司 一种加热板智能控温加热系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10453775B1 (en) * 2015-06-10 2019-10-22 SA Photonics, Inc. Distributed thermoelectric cooling system
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
CN108028440A (zh) * 2015-07-31 2018-05-11 伊利诺斯工具制品有限公司 加热板
JP6653535B2 (ja) * 2015-08-07 2020-02-26 日本発條株式会社 ヒータユニット
KR101776562B1 (ko) * 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6256454B2 (ja) * 2015-11-30 2018-01-10 株式会社デンソー ヒータプレート、このヒータプレートを用いる熱流束センサの製造装置、このヒータプレートの製造方法、及び、このヒータプレートの製造装置
US9812342B2 (en) * 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106920768A (zh) * 2015-12-24 2017-07-04 中微半导体设备(上海)有限公司 多区主动矩阵温控系统和温控方法及其适用的静电吸盘和等离子处理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
KR102513443B1 (ko) 2016-03-15 2023-03-24 삼성전자주식회사 정전 척 및 그를 포함하는 기판 처리 장치
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
CN107303622A (zh) * 2016-04-20 2017-10-31 张跃 一种夹式钎焊装置及其使用方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10667379B2 (en) 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10764966B2 (en) * 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
KR102329513B1 (ko) * 2016-05-10 2021-11-23 램 리써치 코포레이션 적층된 히터와 히터 전압 입력부들 사이의 연결부들
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
JP2018056333A (ja) * 2016-09-29 2018-04-05 日本発條株式会社 基板載置台、および基板載置台の作製方法
JP2018063974A (ja) 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN108075473B (zh) * 2016-11-07 2019-11-29 北京北方华创微电子装备有限公司 一种滤波电路、加热电路和半导体处理设备
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101909190B1 (ko) * 2016-12-30 2018-12-10 세메스 주식회사 기판 온도 제어 장치 및 이를 포함하는 기판 처리 장치
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6850137B2 (ja) * 2017-01-24 2021-03-31 日本特殊陶業株式会社 保持装置
CN110235515B (zh) * 2017-02-01 2022-04-29 日本特殊陶业株式会社 保持装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7158131B2 (ja) * 2017-05-30 2022-10-21 東京エレクトロン株式会社 ステージ及びプラズマ処理装置
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102019790B1 (ko) * 2017-06-29 2019-09-09 주식회사 디아이티 층별 소재가 다른 다층 세라믹 기판 및 그의 제조 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10636630B2 (en) 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109425437B (zh) * 2017-09-01 2020-07-28 京元电子股份有限公司 浮动温度感应装置及使用该装置的半导体组件测试模块
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10681778B2 (en) 2017-11-21 2020-06-09 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
US11083050B2 (en) 2017-11-21 2021-08-03 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
CN212542359U (zh) * 2017-11-21 2021-02-12 沃特洛电气制造公司 一种支撑基座
US10761041B2 (en) 2017-11-21 2020-09-01 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10460914B2 (en) 2017-11-30 2019-10-29 Lam Research Corporation Ferrite cage RF isolator for power circuitry
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108203812B (zh) * 2018-01-25 2020-02-07 京东方科技集团股份有限公司 一种基板固定载具、蒸镀设备及蒸镀方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP6762432B2 (ja) 2018-02-16 2020-09-30 日本特殊陶業株式会社 保持装置
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111448647B (zh) 2018-03-26 2023-08-01 日本碍子株式会社 静电卡盘加热器
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN112753097A (zh) * 2018-09-24 2021-05-04 朗姆研究公司 多路复用的基于高tcr的安瓿加热器
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111155072B (zh) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN111211029B (zh) * 2018-11-21 2023-09-01 中微半导体设备(上海)股份有限公司 一种多区控温等离子反应器
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117911A1 (en) * 2018-12-07 2020-06-11 Lam Research Corporation Long-life extended temperature range embedded diode design for electrostatic chuck with multiplexed heaters array
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111326388B (zh) * 2018-12-17 2023-02-28 中微半导体设备(上海)股份有限公司 一种用于基片支撑的加热装置以及等离子体处理器
CN111385915B (zh) * 2018-12-27 2022-04-26 中微半导体设备(上海)股份有限公司 等离子反应器及其加热装置
CN111383891B (zh) * 2018-12-29 2023-03-10 中微半导体设备(上海)股份有限公司 用于半导体处理设备的温度控制装置及其温度控制方法
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN113993624B (zh) * 2019-06-21 2024-02-09 亚德诺半导体国际无限责任公司 热平台和制造热平台的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11196937B2 (en) 2019-11-25 2021-12-07 Qualcomm Incorporated High frame rate in high dynamic range processing
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11784080B2 (en) * 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN111372336B (zh) * 2020-03-25 2021-10-26 广州智慧医疗科技有限公司 一种红外电热膜及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022035629A1 (en) * 2020-08-10 2022-02-17 Lam Research Corporation Substrate supports with multilayer structure including coupled heater zones with local thermal control
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114496692B (zh) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 加热组件、基片承载组件及其等离子体处理装置
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220248500A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
KR20220122154A (ko) 2021-02-26 2022-09-02 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11960268B2 (en) 2021-05-04 2024-04-16 Hewlett Packard Enterprise Development Lp Power allocation to heat a processing chip of a network device
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
KR20220161198A (ko) * 2021-05-28 2022-12-06 매슨 테크놀로지 인크 플라즈마 처리 장치를 위한 정전 척 어셈블리
KR102368832B1 (ko) 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
KR102655065B1 (ko) * 2021-08-31 2024-04-09 세메스 주식회사 히팅 부재 및 기판 처리 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤
FR3127762B1 (fr) * 2021-10-05 2023-10-13 Safran Electronics & Defense Dispositif de chauffage d’un substrat pour dépôt sous vide
JPWO2023248406A1 (zh) * 2022-06-23 2023-12-28
KR20240038856A (ko) 2022-09-16 2024-03-26 세메스 주식회사 기판 가열 장치와 이를 이용한 기판 처리 장치
US20240145252A1 (en) * 2022-11-02 2024-05-02 Applied Materials, Inc. Faraday faceplate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294237A (ja) * 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
CN101131955A (zh) * 2006-08-22 2008-02-27 日本碍子株式会社 带加热器的静电吸盘以及带加热器的静电吸盘的制造方法

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK111688B (da) 1965-01-13 1968-09-30 Burmeister & Wains Mot Mask Rørvæg til vandrørskedler og bestående af parallelle, i hovedsagen i samme plan liggende rør.
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
US3888106A (en) 1973-03-07 1975-06-10 Rockwell International Corp Testing apparatus for flow measuring devices
JPS5546346A (en) 1978-09-27 1980-04-01 Tokyo Electric Co Ltd Roaster
JPS601918B2 (ja) 1980-04-26 1985-01-18 ライオン株式会社 再汚染の少ない無燐洗剤組成物
JPS601918A (ja) 1983-06-17 1985-01-08 Fuji Electric Co Ltd マトリツクス形選択回路
JPS621176A (ja) 1985-06-26 1987-01-07 Hitachi Ltd ヘツド支持装置
JPS6298610A (ja) 1985-10-25 1987-05-08 Hitachi Ltd 結晶成長用基板加熱機構
JPH0610391B2 (ja) 1989-11-17 1994-02-09 株式会社ナブコ プラグドアのガイド装置
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (ja) 1992-06-29 2003-08-25 アイシン精機株式会社 人体局部洗浄装置
US5414245A (en) * 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH06326022A (ja) 1993-03-16 1994-11-25 Mitsubishi Electric Corp 半導体基板の製造方法,半導体装置の製造方法,及び,半導体製造装置
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH0778668A (ja) 1993-09-07 1995-03-20 Fanuc Ltd ヒータ装置の異常検出装置
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP2647799B2 (ja) 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JPH08130184A (ja) 1994-10-31 1996-05-21 Sony Corp 熱処理装置
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5702624A (en) 1996-10-09 1997-12-30 Taiwan Semiconductors Manfuacturing Company, Ltd Compete hot plate temperature control system for hot treatment
KR200159921Y1 (ko) 1996-11-23 1999-11-01 이세원 리프터의 업/다운 제어회로
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JPH11126743A (ja) * 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
CA2310583C (en) 1997-11-07 2004-12-28 Shell Oil Company Heater control
US6091060A (en) 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP2001118662A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
JP2004303736A (ja) * 1999-08-09 2004-10-28 Ibiden Co Ltd セラミックヒータ
US6175175B1 (en) 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
JP2001102157A (ja) 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
WO2001031978A1 (fr) 1999-10-22 2001-05-03 Ibiden Co., Ltd. Plaque chauffante en ceramique
JP2001126743A (ja) 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 高分子電解質型燃料電池
JP2001203257A (ja) * 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
EP1233651A1 (en) 2000-04-07 2002-08-21 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
JP2002059579A (ja) * 2000-08-15 2002-02-26 Casio Comput Co Ltd 駆動回路
US6403403B1 (en) 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
WO2002034451A1 (en) 2000-10-25 2002-05-02 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10059665C1 (de) * 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6501052B2 (en) 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
ITMI20011253A1 (it) 2001-06-14 2002-12-14 Whirlpool Co Sistema di gestione della potenza in apparecchi di cottura elettrici
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
CN1484855A (zh) 2001-08-10 2004-03-24 揖斐电株式会社 陶瓷接合体
US6693262B2 (en) 2001-10-17 2004-02-17 Whirlpool Corporation Cooking hob with discrete distributed heating elements
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
JP3559549B2 (ja) * 2002-01-29 2004-09-02 京セラ株式会社 ウエハ加熱装置
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
US6886347B2 (en) 2002-07-11 2005-05-03 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP2004101106A (ja) * 2002-09-11 2004-04-02 Mitsubishi Electric Corp 空気調和機の制御回路及び空気調和機の制御方法
JP3924524B2 (ja) 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
KR100904361B1 (ko) 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
JP3988942B2 (ja) 2003-03-31 2007-10-10 株式会社国際電気セミコンダクターサービス ヒータ検査装置及びそれを搭載した半導体製造装置
US6989210B2 (en) 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP2005026120A (ja) * 2003-07-03 2005-01-27 Ibiden Co Ltd セラミックヒータ
US6837231B1 (en) 2003-07-11 2005-01-04 General Motors Corporation Cylinder bank work output balancing based on exhaust gas A/F ratio
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US6913571B2 (en) 2003-10-14 2005-07-05 Datex-Ohmeda, Inc. Direct heater control for infant care apparatus
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP2005150370A (ja) * 2003-11-14 2005-06-09 Kyocera Corp 静電チャック
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
KR20050053464A (ko) 2003-12-01 2005-06-08 정준호 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7163722B2 (en) 2003-12-19 2007-01-16 Lcd Lighting, Inc. Device and method for coating serpentine fluorescent lamps
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4281605B2 (ja) 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
JP4553247B2 (ja) 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7143222B2 (en) 2004-09-21 2006-11-28 International Business Machines Corporation Adaptive message delivery system
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
KR100632544B1 (ko) 2004-12-15 2006-10-09 현대자동차주식회사 직류변환기의 게이트 드라이버 회로
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
DE102005004072A1 (de) 2005-01-28 2006-08-03 Danckert, Hermann, Dr.-Ing. Fluchtraum zum Schutz vor Flutwellen
US20060226123A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
US8378266B2 (en) 2005-06-29 2013-02-19 Watlow Electric Manufacturing Company Smart layered heater surfaces
JP4667158B2 (ja) 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) * 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
JP4483751B2 (ja) 2005-09-16 2010-06-16 株式会社デンソー 電源逆接続保護回路
JP2007149598A (ja) * 2005-11-30 2007-06-14 Matsushita Electric Ind Co Ltd シート状温度制御装置
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2007242913A (ja) 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
JP4850664B2 (ja) 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
WO2008112673A2 (en) 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate processing uniformity
KR100849069B1 (ko) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
JP2008306176A (ja) * 2007-05-08 2008-12-18 Tokyo Electron Ltd 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP2010157559A (ja) * 2008-12-26 2010-07-15 Hitachi High-Technologies Corp プラズマ処置装置
GB2470063B (en) 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
KR101842675B1 (ko) 2009-07-08 2018-03-27 플라즈마시, 인크. 플라즈마 처리를 위한 장치 및 방법
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102652352B (zh) 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294237A (ja) * 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
CN101131955A (zh) * 2006-08-22 2008-02-27 日本碍子株式会社 带加热器的静电吸盘以及带加热器的静电吸盘的制造方法

Also Published As

Publication number Publication date
KR20120103596A (ko) 2012-09-19
US20160300741A1 (en) 2016-10-13
US20170229327A1 (en) 2017-08-10
JP6351669B2 (ja) 2018-07-04
US9392643B2 (en) 2016-07-12
TWI511229B (zh) 2015-12-01
KR101643800B1 (ko) 2016-07-29
TWI642135B (zh) 2018-11-21
JP2013508968A (ja) 2013-03-07
US20140096909A1 (en) 2014-04-10
US8884194B2 (en) 2014-11-11
US8637794B2 (en) 2014-01-28
US20140047705A1 (en) 2014-02-20
US9646861B2 (en) 2017-05-09
TWI552263B (zh) 2016-10-01
US10720346B2 (en) 2020-07-21
US20140045337A1 (en) 2014-02-13
KR101919675B1 (ko) 2019-02-08
WO2011049620A2 (en) 2011-04-28
WO2011049620A3 (en) 2011-11-03
US20110092072A1 (en) 2011-04-21
CN102668058A (zh) 2012-09-12
KR20160092035A (ko) 2016-08-03
TW201125067A (en) 2011-07-16
US10236193B2 (en) 2019-03-19
JP2016054303A (ja) 2016-04-14
JP5996760B2 (ja) 2016-09-21
TW201635425A (zh) 2016-10-01
JP2017037846A (ja) 2017-02-16
JP5836959B2 (ja) 2015-12-24
TW201545272A (zh) 2015-12-01

Similar Documents

Publication Publication Date Title
CN102668058B (zh) 具有用于半导体处理的平坦加热器区域的加热板
CN103946423B (zh) 用于半导体处理的具有二极管平面加热器区域的加热板
JP6479085B2 (ja) 半導体処理用の交流駆動を用いる多重ヒータアレイ
CN104471682B (zh) 具有用于半导体处理的平面热区的热板
CN103201826A (zh) 用于半导体处理的具有平面加热器区域的加热板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant