KR20120103596A - 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판 - Google Patents

반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판 Download PDF

Info

Publication number
KR20120103596A
KR20120103596A KR1020127012975A KR20127012975A KR20120103596A KR 20120103596 A KR20120103596 A KR 20120103596A KR 1020127012975 A KR1020127012975 A KR 1020127012975A KR 20127012975 A KR20127012975 A KR 20127012975A KR 20120103596 A KR20120103596 A KR 20120103596A
Authority
KR
South Korea
Prior art keywords
flat heater
power supply
heater zone
power
zones
Prior art date
Application number
KR1020127012975A
Other languages
English (en)
Other versions
KR101643800B1 (ko
Inventor
하르미트 싱
키스 가프
네일 벤자민
키스 코멘던트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120103596A publication Critical patent/KR20120103596A/ko
Application granted granted Critical
Publication of KR101643800B1 publication Critical patent/KR101643800B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/26Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49083Heater type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49099Coating resistive material on a base

Abstract

반도체 플라즈마 프로세싱 장치 내의 기판 지지 어셈블리용 가열판은, 스케일가능한 멀리플렉싱 레이아웃으로 배열된 다중의 독립적으로 제어가능한 평탄한 히터존들, 및 그 평탄한 히터존들을 독립적으로 제어하고 전력공급하기 위한 전자장치를 포함한다. 가열판이 통합되는 기판 지지 어셈블리는 정전 클램핑 전극 및 온도 제어된 베이스 플레이트를 포함한다. 가열판을 제작하는 방법은 평탄한 히터존들, 전력 공급 라인들, 전력 복귀 라인들 및 비아들을 갖는 세라믹 또는 폴리머 시트들을 함께 본딩하는 단계를 포함한다.

Description

반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판{HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING}
본 발명은 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판에 관한 것이다.
각각의 연속하는 반도체 기술 발생으로 인해, 기판 직경은 증가하려는 경향이 있고 트랜지스터 사이즈는 감소하여, 기판 프로세싱 시에 훨씬 더 높은 정도의 정확성 및 반복성의 필요성을 야기하였다. 실리콘 기판과 같은 반도체 기판 재료는 진공 챔버의 이용을 포함한 기법들에 의해 프로세싱된다. 이들 기법들은 전자 빔 증착과 같은 넌 플라즈마 애플리케이션들은 물론, 스퍼터 증착, 플라즈마-강화 화학 기상 증착 (PECVD), 레지스트 박리, 및 플라즈마 에칭과 같은 플라즈마 애플리케이션들을 포함한다.
오늘날 이용가능한 플라즈마 프로세싱 시스템들은 개선된 정확성 및 반복성의 필요성이 증가되기 쉬운 반도체 제조 툴들에 속한다. 플라즈마 프로세싱 시스템들에 대한 한가지 메트릭은 증가된 균일성이며, 이는 반도체 기판 표면 상의 프로세스 결과들의 균일성은 물론 명목상 동일한 입력 파라미터들로 프로세싱된 연속적인 기판들의 프로세스 결과들의 균일성을 포함한다. 기판 상의 균일성의 끊임없는 개선이 바람직하다. 그 중에서도, 이것은 개선된 균일성, 일관성 및 자체 진단을 가진 플라즈마 챔버들을 필요로 한다.
일 실시형태에 따르면, 반도체 플라즈마 프로세싱 장치에서 반도체 기판을 지지하는데 이용되는 기판 지지 어셈블리용 가열판은, 적어도, 제 1 전기 절연층, 제 1 전기 절연층에 걸쳐 측방향으로 분포된 제 1 평탄한 히터존, 제 2 평탄한 히터존, 제 3 평탄한 히터존 및 제 4 평탄한 히터존을 적어도 포함하는 평탄한 히터존들, 제 1 평탄한 히터존 및 제 2 평탄한 히터존에 전기적으로 접속된 제 1 전력 공급 라인 및 제 3 평탄한 히터존 및 제 4 평탄한 히터존에 전기적으로 접속된 제 2 전력 공급 라인을 적어도 포함하는 전기적 도전성 전력 공급 라인들, 및 제 1 평탄한 히터존 및 제 3 평탄한 히터존에 전기적으로 접속된 제 1 전력 복귀 (return) 라인 및 제 2 평탄한 히터존 및 제 4 평탄한 히터존에 전기적으로 접속된 제 2 전력 복귀 라인을 적어도 포함하는 전기적 도전성 전력 복귀 라인들을 포함한다.
도 1 은, 히터존들의 어레이를 가진 가열판이 통합되고, 정전 척 (ESC) 을 또한 포함하는 기판 지지 어셈블리의 개략적인 단면도이다.
도 2 는, 기판 지지 어셈블리에 통합될 수 있는 가열판의 일 실시형태에서의 히터존들의 어레이에 대한 전력 공급 라인들과 전력 복귀 라인들 간의 토폴로지 접속을 예시한 도면이다.
도 3a 는, 전력 공급 라인들 및 히터존들이 동일 평면 상에 있는 실시형태를 도시한 도면이다.
도 3b 는, 도 3a 의 평면과는 전기 절연층에 의해 분리된 평면 상의 전력 복귀 라인들을 도시한 도면으로, 전력 복귀 라인들은 전기 절연층을 통하여 연장된 비아들을 통해 히터존들에 접속된다.
도 3c 는, 도 3a 및 도 3b 의 가열판이 통합되는 기판 지지 어셈블리의 개략적인 단면도이다.
도 4a 는, 전력 공급 라인들 및 히터존들이 동일 평면 상에 있는 실시형태를 도시한 도면이다.
도 4b 는, 도 4a 의 평면과는 전기 절연층에 의해 분리된 평면을 도시한 도면으로, 전력 공급 라인들은 비아들을 통하여 이 평면 내의 리드들에 접속되고, 냉각판 (미도시) 내의 단일 홀에 이르게 된다. 이 평면 상의 전력 복귀 라인들은 이 평면과 도 4a 의 평면 사이에서 연장된 비아들을 통하여 히터존들에 접속된다. 전력 복귀 라인들은 또한 냉각판 (미도시) 내의 단일 홀에 이르게 된다.
도 5a 는, 히터존들이 일 평면 상에 있고 전력 공급 라인들 또는 전력 복귀 라인들이 그 평면 상에 없는 실시형태를 도시한 도면이다. 히터존들은 비아들을 통하여 하나 이상의 상이한 평면들 상의 전력 공급 라인들 및 전력 복귀 라인들에 접속된다.
도 5b 는, 도 5a 의 평면과는 전기 절연층에 의해 분리된 제 2 평면 상의 전력 공급 라인들을 도시한 도면이다. 전력 공급 라인들은 도 5a 및 도 5b 의 2 개의 평면들 사이에서 연장된 비아들을 통하여 히터존들에 접속된다.
도 5c 는, 도 5a 및 도 5b 의 평면들과는 다른 전기 절연층에 의해 분리된 제 3 평면 상의 전력 복귀 라인들을 도시한 도면이다. 전력 복귀 라인들은 도 5a 내지 도 5c 의 3 개의 평면들 모두 사이에서 연장된 비아들을 통하여 히터존들에 접속된다. 도 5b 의 전력 공급 라인들에 접속된 리드들은 또한 이 평면 내의 피드스루들을 통하여 라우팅된다.
도 5d 는, 도 5a 내지 도 5c 의 가열판이 통합되는 기판 지지 어셈블리의 개략적인 단면도이다.
도 5e 는, 도 5a 내지 도 5c 의 대안의 가열판이 통합되는 기판 지지 어셈블리의 개략적인 단면도이다.
도 6 은, 가열판이 통합되는 기판 지지 어셈블리의 개략적인 단면도로, 기판 지지 어셈블리는 히터존들의 어레이 상방에 프라이머리 히터 층을 더 포함하고, 프라이머리 히터는 가열판 내의 모든 평면들과는 전기 절연층에 의해 분리된 추가적인 평면 상에 위치한다.
도 7a 는, 여기에 설명된 가열판을 가진 기판 지지 어셈블리를 포함할 수 있는, 예시적인 플라즈마 프로세싱 챔버의 개략도이다.
도 7b 는 RF 격리 접근법의 개략도이다.
도 8 은, 기판 지지 어셈블리용 제어 전자장치의 일 실시형태에서의 신호 흐름을 도시한 블록도이다.
기판 상의 원하는 임계 치수 (CD) 균일성을 달성하기 위한 반도체 프로세싱 장치에서의 방사상 및 방위각 기판 온도 제어가 더 많이 요구되고 있다. 작은 온도 변화라도, 특히 반도체 제조 프로세스에서 CD 가 서브-100nm 에 가까워질 때, 허용불가능한 정도로 CD 에 영향을 미칠 수도 있다.
기판 지지 어셈블리는, 기판의 지지, 기판 온도의 튜닝 및 무선 주파수 전력의 전력 공급과 같이, 프로세싱 동안 다양한 기능들을 위해 구성될 수도 있다. 기판 지지 어셈블리는, 프로세싱 동안 기판 지지 어셈블리에 기판을 정전식으로 클램핑하는데 유용한 정전 척 (ESC) 을 포함할 수 있다. ESC 는 튜닝가능한 ESC (T-ESC) 일 수도 있다. T-ESC 는 여기에 참조로 통합되는 공동 양도된 미국 특허 제6,847,014호 및 미국 특허 제6,921,724호에 기술되어 있다. 기판 지지 어셈블리는, 세라믹 기판 홀더, 유체-냉각 열 싱크 (이하 냉각판으로 지칭) 및 복수의 동심원상 히터존들을 포함하여 단계적 (step by step) 및 방사상 온도 제어를 실현할 수도 있다. 통상적으로, 냉각판은 0℃ 와 30℃ 사이에 유지된다. 히터들은 중간에 단열재 층을 가진 냉각판 상에 위치한다. 히터들은 기판 지지 어셈블리의 지지면을 약 0℃ 내지 냉각판 온도보다 높은 80℃ 의 온도로 유지할 수 있다. 복수의 히터존들 내의 히터 전력을 변화시킴으로써, 기판 지지 온도 프로파일이 센터 핫 (center hot), 센터 콜드 (center cold), 및 균일 사이에서 변화될 수 있다. 또한, 평균 기판 지지 온도는 0℃ 내지 냉각판 온도보다 높은 80℃ 의 동작 범위 내에서 단계적으로 변화될 수 있다. 작은 방위각 온도 변화는, 반도체 기술의 진보로 CD 가 감소함에 따라 점차 더 큰 문제를 제기한다.
온도의 제어는 여러 이유들로 인해 쉬운 태스크가 아니다. 첫째로, 열원 및 열 싱크의 장소, 미디어의 형상, 재료 및 움직임과 같은 많은 팩터들이 열 전달에 영향을 미칠 수 있다. 둘째로, 열 전달은 동적 프로세스이다. 문제의 시스템이 열평형 상태에 있지 않다면, 열 전달이 발생할 것이고, 온도 프로파일 및 열 전달은 시간에 따라 변할 것이다. 셋째로, 플라즈마 프로세싱 시에 항상 존재하는 플라즈마와 같은 비평형 현상들은, 임의의 실제 플라즈마 프로세싱 장치의 열 전달 거동 (behavior) 의 이론적 예측을 불가능하지 않다면 매우 어렵게 만든다.
플라즈마 프로세싱 장치의 기판 온도 프로파일은 플라즈마 밀도 프로파일, RF 전력 프로파일 및 척 내의 다양한 가열 또는 냉각 엘리먼트들의 세부 구조와 같은 많은 팩터들에 의해 영향을 받기 때문에, 기판 온도 프로파일은 종종 균일하지 않고 적은 수의 가열 또는 냉각 엘리먼트들을 사용하여 제어하기 어렵다. 이런 결점은 전체 기판에 걸친 프로세싱 레이트의 비균일성 및 기판 상의 디바이스 다이들의 임계 치수의 비균일성으로 바뀐다.
온도 제어의 복잡한 성질을 고려하여, 장치가 원하는 공간 및 시간 온도 프로파일을 능동적으로 생성 및 유지할 수 있게 하고, CD 균일성에 영향을 미치는 다른 불리한 팩터들을 보상할 수 있게 하기 위해, 기판 지지 어셈블리에 다중의 독립적으로 제어가능한 히터존들을 통합하는 것이 바람직할 것이다.
다중의 독립적으로 제어가능한 히터존들을 가진 반도체 프로세싱 장치 내의 기판 지지 어셈블리용 가열판이 여기에 설명되어 있다. 이 가열판은 히터존들 및 전력 공급 및 전력 복귀 (return) 라인들의 스케일가능한 멀티플렉싱 레이아웃 스킴을 포함한다. 히터존들의 전력을 튜닝함으로써, 프로세싱 중의 온도 프로파일은 방사상으로 그리고 방위각으로 형상화될 수 있다. 이 가열판은 주로 플라즈마 프로세싱 장치용으로 설명되지만, 이 가열판은 플라즈마를 이용하지 않는 다른 반도체 프로세싱 장치에도 이용될 수 있다.
이 가열판을 제작하는 방법, 이러한 가열판을 포함하는 기판 지지 어셈블리, 및 이러한 가열판을 포함하는 기판 지지 어셈블리를 전력공급 및 제어하는 방법이 또한 설명되어 있다.
이 가열판 내의 히터존들은 규정된 패턴, 예를 들어, 직사각형 그리드, 6 각형 그리드, 극성 어레이 (polar array), 동심원상 링 또는 임의의 원하는 패턴으로 배열되는 것이 바람직하다. 각 히터존은 임의의 적절한 사이즈로 이루어질 수도 있고, 하나 이상의 히터 엘리먼트들을 가질 수도 있다. 히터존 내의 모든 히터 엘리먼트들은 함께 턴 온되거나 또는 턴 오프된다. 전기 접속의 수를 최소화하기 위해, 전력 공급 라인들 및 전력 복귀 라인들은, 각 전력 공급 라인이 상이한 그룹의 히터존들에 접속되고, 각 전력 복귀 라인이 상이한 그룹의 히터존들에 접속되도록 배열되며, 여기서 각 히터존은 특정 전력 공급 라인에 접속된 그룹들 중 하나 및 특정 전력 복귀 라인에 접속된 그룹들 중 하나에 있다. 동일한 전력 공급 및 전력 복귀 라인들의 쌍에는 2 개의 히터존들이 접속되어 있는 것은 아니다. 따라서, 히터존은, 이 특정 히터존이 접속되는 전력 공급 및 전력 복귀 라인들의 쌍을 통하여 전류를 향하게 함으로써 활성화될 수 있다. 히터 엘리먼트들의 전력은 바람직하게는 20W 보다 작고, 보다 바람직하게는 5W 내지 10W 이다. 히터 엘리먼트들은 저항성 히터들, 이를 테면, 폴리이미드 히터들, 실리콘 고무 히터들, 운모 히터들, 금속 히터들 (예를 들어, W, Ni/Cr 합금, Mo 또는 Ta), 세라믹 히터들 (예를 들어, WC), 반도체 히터들 또는 탄소 히터들일 수도 있다. 히터 엘리먼트들은 스크린 인쇄, 권선 또는 에칭된 포일 (foil) 히터들일 수도 있다. 일 실시형태에서, 각 히터존은 반도체 기판 상에 제작되는 4 개의 디바이스 다이들보다 크지 않고, 또는 반도체 기판 상에 제작되는 2 개의 디바이스 다이들보다 크지 않고, 또는 반도체 기판 상에 제작되는 하나의 디바이스 다이보다 크지 않으며, 또는 기판 상의 디바이스 다이들에 대응하도록 면적이 2cm2 와 3cm2 사이다. 히터 엘리먼트들의 두께는 2 마이크로미터 내지 1 밀리미터, 바람직하게는 5 내지 80 마이크로미터의 범위일 수도 있다. 히터존들 및/또는 전력 공급 및 전력 복귀 라인들 간에 공간을 허용하기 위해, 히터존들의 총 면적은 기판 지지 어셈블리의 상부면의 면적의 90% 까지, 예를 들어, 그 면적의 50 내지 90% 일 수도 있다. 전력 공급 라인들 또는 전력 복귀 라인들 (일괄하여 전력 라인들) 은 히터존들 사이의 1mm 내지 10mm 의 범위에 이르는 갭들에, 또는 히터존들의 평면과는 전기 절연층들에 의해 분리된 별개의 평면들에 배열될 수도 있다. 전력 공급 라인들 및 전력 복귀 라인들은 큰 전류를 운반하고 줄 가열 (Joule heating) 을 감소시키기 위하여, 공간이 허용되는 만큼 넓게 만들어지는 것이 바람직하다. 전력 라인들이 히터존들과 동일한 평면에 있는 일 실시형태에서, 전력 라인들의 폭은 0.3mm 와 2mm 사이인 것이 바람직하다. 전력 라인들이 히터존들과는 상이한 평면들 상에 있는 다른 실시형태에서, 전력 라인들의 폭은 히터존들만큼 넓을 수 있고, 예를 들어, 300mm 척의 경우, 그 폭은 1 인치 내지 2 인치일 수 있다. 전력 공급 라인들 및 전력 복귀 라인들의 재료는 히터 엘리먼트들의 재료와 동일할 수도 있고, 또는 상이할 수도 있다. 바람직하게는, 전력 공급 라인들 및 전력 복귀 라인들의 재료는 Cu, Al, W, Inconel® 또는 Mo 와 같은 낮은 저항률을 가진 재료이다.
도 1 및 도 2 는 2 개의 전기 절연층들 (104A 및 104B) 에 통합된 히터존들 (101) 의 어레이를 갖는 가열판의 일 실시형태를 포함하는 기판 지지 어셈블리를 도시한다. 전기 절연층들은 폴리머 재료, 무기 재료, 세라믹, 이를 테면 실리콘 산화물, 알루미나, 이트륨, 알루미늄 질화물 또는 다른 적절한 재료일 수도 있다. 기판 지지 어셈블리는, (a) DC 전압으로 세라믹층 (103) 의 표면에 기판을 정전식으로 클램핑하기 위해 전극 (102) (예를 들어, 단극 또는 양극) 이 내장되는 세라믹층 (103) (정전 클램핑층) 을 갖는 ESC, (b) 열장벽층 (thermal barrier layer) (107), 및 (c) 냉각제 흐름을 위한 채널들 (106) 을 포함하는 냉각판 (105) 을 더 포함한다.
도 2 에 도시한 바와 같이, 히터존들 (101) 각각은 전력 공급 라인들 (201) 중 하나, 그리고 전력 복귀 라인들 (202) 중 하나에 접속된다. 2 개의 히터존들 (101) 이 동일한 전력 공급 (201) 및 전력 복귀 (202) 라인들의 쌍을 공유하지는 않는다. 적절한 전기 스위칭 배열에 의해, 전력 공급 (201) 및 전력 복귀 (202) 라인들의 쌍을 전력 공급장치 (미도시) 에 접속시키는 것이 가능하며, 이로써 단지 이 라인들의 쌍에 접속된 히터존만이 턴 온된다. 각 히터존의 시간-평균 가열 전력 (heating power) 은 시간-도메인 멀티플렉싱에 의해 개별적으로 튜닝될 수 있다. 상이한 히터존들 간의 크로스토크를 방지하기 위하여, 정류기 (250) (예를 들어, 다이오드) 가 각 히터존과 (도 2 에 도시한 바와 같이) 그 히터존에 접속된 전력 공급 라인들 사이에, 또는 각 히터존과 그 히터존에 접속된 전력 복귀 라인들 (미도시) 사이에 직렬 접속될 수도 있다. 정류기는 가열판 또는 임의의 적절한 장소에 물리적으로 위치할 수 있다. 대안으로는, 고체 (solid state) 스위치들과 같은 임의의 전류 차단 배열이 크로스토크를 방지하는데 이용될 수 있다.
도 3a, 도 3b 및 도 3c 는, ESC, 냉각판, 및 히터존들 (101) 및 전력 공급 라인들 (201) 이 제 1 평면 (302) 에 배열되고, 전력 복귀 라인들 (202) 이 제 1 평면 (302) 과는 전기 절연층 (304) 에 의해 분리된 제 2 평면 (303) 에 배열되는 가열판의 일 실시형태를 포함하는 기판 지지 어셈블리를 도시한다. 전력 복귀 라인들 (202) 은 제 1 평면 (302) 과 제 2 평면 (303) 사이에서 연장된, 전기 절연층 (304) 내의 도전성 비아들 (301) 에 의해 히터존들 (101) 에 접속된다.
이용 시, 전력 공급 라인들 (201) 및 전력 복귀 라인들 (202) 은 냉각판 내의 홀들 또는 도관들을 통하여 가열판 외부의 회로에 접속된다. 냉각판 내의 홀들 또는 도관들의 존재는 기판 지지 어셈블리의 온도 균일성에 악영향을 미칠 수 있으며, 따라서 냉각판 내의 홀들 또는 도관들의 개수를 감소시키면, 온도 균일성을 향상시킬 수 있다는 것을 알아야 한다. 또한, 적은 수의 홀들은 그들을 기판 지지 어셈블리의 에지 주변에 배치하는 것을 가능하게 한다. 예를 들어, 냉각판 내의 단일의 전력 공급 도관이 전력 공급 라인들 (201) 에 전기 리드들을 피딩하는데 이용될 수 있다. 일 실시형태 (도 4a 및 도 4b) 에서, 히터존들 (101) 및 전력 공급 라인들 (201) 은 제 1 평면 (402) 에 배열된다. 전력 공급 라인들 (201) 은 제 1 평면 (402) 과 제 2 평면 (403) 사이에서 연장된 도전성 비아들 (301) 을 통하여 제 2 평면 (403) 내의 리드들 (404) 에 접속된다. 제 2 평면 (403) 은 제 1 평면 (402) 과는 전기 절연층 (미도시) 에 의해 분리된다. 전력 복귀 라인들 (202) 은 제 2 평면 (403) 에 배열되고, 제 1 평면 (402) 과 제 2 평면 (403) 사이에서 연장된 도전성 비아들 (301) 을 통하여 히터존들 (101) 에 접속된다. 제 2 평면 (403) 에서, 리드들 (404) 은 그 리드들 간의 전기 절연을 유지하면서 냉각판 내의 홀 또는 도관 (401) 을 통과하게 된다. 유사하게, 전력 복귀 라인들 (202) 은 리드들 (405) 간의 전기 절연을 유지하면서 냉각판 내의 홀 또는 도관 (406) 을 통과하게 된 리드들 (405) 에 접속된다.
도 5a, 도 5b, 도 5c 및 도 5d 는 가열판의 또 다른 실시형태를 포함하는 기판 지지 어셈블리를 도시하며, 히터존들 (101) 은 제 1 평면 (501) 에 배열되고; 전력 공급 라인들 (201) 은 제 2 평면 (502) 에 배열되며; 전력 복귀 라인들 (202) 은 제 3 평면 (503) 에 배열된다. 제 1 평면 (501), 제 2 평면 (502) 및 제 3 평면 (503) 은 서로 전기 절연층들 (504 및 304) 에 의해 분리된다. 전력 공급 라인들 (201) 및 전력 복귀 라인들 (202) 은 평면들 (501, 502, 및 503) 사이에서 연장된, 전기 절연층들 (304 및 504) 내의 도전성 비아들 (301) 을 통하여 히터존들 (101) 에 접속된다. 전력 공급 라인들 (201) 에 접속된 리드들 (미도시) 은 층 (504) 내의 홀들 또는 도관들 (505) 을 통하여 라우팅된다. 평면들 (501, 502 및 503) 은, 비아들 및 도관들이 적절히 배열되는 것을 가정하여, 수직 방향으로 임의의 순서로 배열될 수도 있다는 것을 알아야 한다. 바람직하게는, 히터들은 기판 지지 어셈블리 상부면에 가장 근접하게 배열된다. 도 5e 는, 각 히터존 (101) 이 정류기 (506) (예를 들어, 다이오드) 를 통하여 전력 복귀 라인 (202) 에 접속되는 실시형태를 도시한다. 정류기 (506) 는 단지 전력 공급 라인 (201) 으로부터 히터존 (101) 을 통하여 전력 복귀 라인 (202) 으로 흐르는 전류만을 허용하기 때문에, 히터존들 간의 크로스토크를 방지한다.
기판 지지 어셈블리는, 하나 이상의 추가적인 히터들 (이하 프라이머리 히터들 (601) 로 지칭) 이 통합되는 추가적인 전기 절연층 (604) 을 포함할 수 있다 (도 6). 바람직하게는, 프라이머리 히터들 (601) 은 개별적으로 제어된 고전력 히터들이다. 프라이머리 히터들의 전력은 100W 와 10000W 사이이고, 바람직하게는 1000W 와 5000W 사이이다. 프라이머리 히터들은 직사각형 그리드, 동심원상의 환형 존들, 방사상 존 또는 환형 존들과 방사상 존들의 조합으로서 배열될 수도 있다. 프라이머리 히터들은 평균 온도의 변화, 방사상 온도 프로파일의 튜닝, 또는 기판 상의 단계적 온도 제어를 위해 이용될 수도 있다. 프라이머리 히터들은 가열판의 히터존들의 상방 또는 하방에 위치할 수도 있다.
일 실시형태에서, 가열판 내의 절연층들 중 적어도 하나는 폴리머 재료의 시트이다.
다른 실시형태에서, 가열판 내의 절연층들 중 적어도 하나는 무기 재료, 이를 테면 세라믹 또는 실리콘 산화물의 시트이다. 세라믹 척의 제조 시에 이용하기 위한 적절한 절연 및 도전성 재료의 예들은, 그 개시물이 여기에 참조로 통합되는 공동 양도된 미국 특허 제6483690호에 개시되어 있다.
기판 지지 어셈블리는 가열판의 실시형태를 포함할 수 있으며, 여기서 가열판의 각 히터존은 기판 상의 단일의 디바이스 다이 또는 디바이스 다이들의 그룹과 유사한 사이즈이거나 또는 그보다 작아서, 기판 온도, 결과적으로는 플라즈마 에칭 프로세스가 기판으로부터의 디바이스들의 수율을 최대화하기 위해 각 디바이스 다이 위치마다 제어될 수 있다. 가열판의 스케일가능한 아키텍처는, 냉각판 내에 최소 개수의 전력 공급 라인들, 전력 복귀 라인들, 및 피드스루들을 가진 다이 마다의 (die-by-die) 기판 온도 제어 (통상 300mm 직경의 기판 상에는 100 개보다 많은 다이들이 존재) 를 위해 필요한 히터존들의 수를 쉽게 조정할 수 있으며, 따라서 기판 온도에 대한 외란 (disturbance), 기판 지지 어셈블리의 제작 비용 및 기판 지지 어셈블리의 복잡도를 감소시킨다. 도시하고 있지는 않지만, 기판 지지 어셈블리는, 기판을 들어올리기 위한 리프트 핀들, 헬륨 백 냉각, 온도 피드백 신호들을 제공하기 위한 온도 센서들, 가열 전력 피드백 신호들을 제공하기 위한 전압 및 전류 센서들, 히터들 및/또는 클램프 전극에 대한 전력 피드 (power feed), 및/또는 RF 필터들과 같은 피처들을 포함할 수 있다.
절연층들이 세라믹인 가열판을 제작하는 방법의 일 실시형태에서, 절연층들은 플라즈마 스프레잉, 화학 기상 증착 또는 스퍼터링과 같은 기법들을 이용하여 적절한 기판 상에 세라믹을 디포짓 (deposit) 함으로써 형성될 수도 있다. 이 층은 초기 개시층일 수 있고, 또는 가열판의 절연층들 중 하나일 수 있다.
절연층들이 세라믹인 가열판을 제작하는 방법의 일 실시형태에서, 절연층들은 시트들에 세라믹 분말, 바인더 및 액체의 혼합물을 가압하여 그 시트들 (이하 그린 시트들로 지칭) 을 건조시킴으로써 형성될 수도 있다. 그린 시트들은 두께가 약 0.3mm 일 수 있다. 비아들은 그린 시트들 내에 홀들을 펀칭함으로써 그린 시트들에 형성될 수도 있다. 홀들은 도전 분말의 슬러리로 채워지게 된다. 히터 엘리먼트들, 전력 공급 라인들 및 전력 복귀 라인들은, 도전 분말 (예를 들어, W, WC, 도핑된 SiC 또는 MoSi2) 의 슬러리를 스크린 인쇄함으로써, 사전 커팅된 금속박을 가압함으로써, 도전 분말의 슬러리를 스프레잉함으로써, 또는 임의의 다른 적절한 기법에 의해 형성될 수도 있다. 다이오드들과 같은 임의의 정류기들을 수용하기 위한 리세스들은 그린 시트들의 형성 프로세스 동안 가압될 수도 있고, 또는 그 형성 프로세스 후에 그린 시트들에서 커팅될 수도 있다. 별개의 컴포넌트 정류기들은 이들 리세스들에 탑재될 수도 있다. 다양한 컴포넌트들 (전력 라인들, 비아들, 정류기들 및 히터 엘리먼트들) 을 가진 다중의 그린 시트들이 그 후 전체 가열판을 형성하기 위해 얼라이닝, 가압 및 소결된다.
절연층들이 세라믹인 가열판을 제작하는 방법의 다른 실시형태에서, 절연층들은 그린 시트들에 세라믹 분말, 바인더 및 액체의 혼합물을 가압하여 그 그린 시트들을 건조시킴으로써 형성될 수도 있다. 그린 시트들은 두께가 약 0.3mm 일 수 있다. 비아들을 수용하기 위해 그린 시트들에는 홀들이 펀칭된다. 다이오드들과 같은 임의의 정류기들을 수용하기 위한 리세스들은 그린 시트들의 형성 프로세스 동안 가압될 수도 있고, 또는 그 형성 프로세스 후에 그린 시트들에서 커팅될 수도 있다. 그 후, 개별 그린 시트들은 소결된다. 비아들을 수용하기 위한 소결된 시트들 내의 홀들은 도전 분말의 슬러리로 채워지게 된다. 히터 엘리먼트들, 전력 공급 라인들 및 전력 복귀 라인들은 소결된 시트들 상에, 도전 분말 (예를 들어, W, WC, 도핑된 SiC 또는 MoSi2) 의 슬러리로 스크린 인쇄될 수도 있고, 또는 임의의 다른 적절한 기법을 이용하여 형성될 수도 있다. 별개의 컴포넌트 정류기들은 소결된 시트들 내의 리세스들에 탑재될 수도 있다. 그 후, 다양한 컴포넌트들 (라인들, 비아들, 정류기들 및 히터 엘리먼트들) 을 가진 다중의 소결된 시트들이 전체 가열판을 형성하기 위해 얼라이닝되어 접착제로 본딩된다.
절연층들이 실리콘 산화물 시트들인 일 실시형태에서, 절연층들은 증발, 스퍼터링, PVD, CVD, PECVD 등의 기법들을 이용하여 적절한 기판 상에 박막 실리콘 산화물을 디포짓시킴으로써 형성될 수도 있다.
가열판을 제작하는 방법의 한가지 바람직한 실시형태에서, Al, Inconel® 또는 Cu 박과 같은 얇은 금속 시트 (컴포넌트층) 가 폴리이미드와 같은 제 1 폴리머 필름에 본딩 (예를 들어, 열 가압, 접착제로 접착) 된다. 패터닝된 레지스트 필름은 컴포넌트 층의 표면에 도포되며, 여기서 패턴들은 히터 엘리먼트들, 전력 공급 라인들 또는 전력 복귀 라인들과 같은 전기적 컴포넌트들의 형상 및 위치를 규정한다. 노출된 금속은 화학적으로 에칭되고, 나머지 금속 시트에는 레지스트 패턴이 유지된다. 그 후 레지스트는 적절한 용매에의 용해 또는 건식 박리에 의해 제거된다. 비아들 (비아층) 을 수용하기 위한 홀들을 가진 제 2 폴리머 필름은 제 1 폴리머 필름에 얼라이닝 및 본딩된다. 홀들의 측벽들은 금속을 그 안에 도금함으로써 코팅될 수도 있다. 임의의 적절한 수의 컴포넌트층들 및 비아층들이 직렬로 통합될 수도 있다. 마지막으로, 노출된 금속 컴포넌트들은 전기 절연을 위해 연속적인 폴리머 필름에 의해 커버된다.
다른 실시형태에서, 히터 엘리먼트들, 전력 공급 라인들 및 전력 복귀 라인들은 절연층 또는 기판 (예를 들어, 그린 시트) 상에 디포짓 (예를 들어, 플라즈마 스프레잉, 전기도금, 화학 기상 증착 또는 스퍼터링) 된 금속 필름들로 이루어진다.
다른 실시형태에서, 히터 엘리먼트들, 전력 공급 라인들 및 전력 복귀 라인들은 절연층 또는 기판 (예를 들어, 그린 시트) 상에 디포짓 (예를 들어, 전기도금, 화학 기상 증착, 또는 스퍼터링) 된 인듐 주석 산화물과 같은 아모르퍼스 도전성 무기 필름의 얇은 층으로 이루어진다.
또 다른 실시형태에서, 히터 엘리먼트들, 전력 공급 라인들 및 전력 복귀 라인들은 절연층 또는 기판 (예를 들어, 그린 시트) 상에 디포짓 (예를 들어, 화학 기상 증착, 또는 스퍼터링) 된 도전성 세라믹 필름의 얇은 층으로 이루어진다.
일 실시형태에서, 가열판 내의 전력 공급 라인들 및 전력 복귀 라인들은 냉각판에 내장되지만 그 냉각판과는 전기 절연된 스프링 팁핑된 패스스루 (spring tipped passthrough) 들과 같은 터미널 커넥터들에 의해 외부 회로에 접속될 수도 있다.
다른 실시형태에서, 가열판 내의 전력 공급 라인들 및 전력 복귀 라인들은 전력 공급 라인들 및 전력 복귀 라인들에 리드 와이어들을 부착 (납땜, 도전성 접착제로 본딩 또는 스폿 용접) 시킴으로써, 그리고 이들 리드 와이어들을 냉각판 내의 홀들 또는 도관들을 통하여 관통 (thread) 시킴으로써 외부 회로에 접속될 수도 있다.
플라즈마 프로세싱 시스템에서, 플라즈마 프로세싱 챔버 내에 인가된 RF 전력은 보통 100W 보다 높고, 때로는 1000W 보다 높다. RF 전압의 진폭은 킬로볼트를 초과할 수 있다. 이렇게 강한 RF 전력은 적절한 여과 또는 격리 없이 히터존들의 제어 및 전력 회로의 동작에 쉽게 영향을 미칠 수 있다. RF 전력을 제어 및 전력 회로로부터 멀리 이동시키기 위해 RF 필터가 이용될 수 있다. RF 필터는 플라즈마 프로세싱 시스템에 이용되는 특정 RF 주파수들을 위한 튜닝된 필터 또는 단순 광대역 필터일 수도 있다. 반면에, RF 아이솔레이터는, 임의의 RF 커플링된 컴포넌트들과 제어 및 전력 회로 사이의 직접 전기 접속을 제거한다. RF 아이솔레이터는 광 커플러 또는 변압기일 수도 있다.
플라즈마 프로세싱 챔버가 동작하는 방법의 개관으로서, 도 7a 는, 상부 샤워헤드 전극 (703) 및 기판 지지 어셈블리 (704) 가 배치되는 챔버 (713) 를 포함하는 플라즈마 프로세싱 챔버의 개략도를 도시한다. 기판 지지 어셈블리 (704) 로는 로딩 포트 (711) 를 통하여 기판 (712) 이 로드된다. 챔버로 프로세스 가스를 전달하는 가스 라인 (709) 이 상부 샤워헤드 전극 (703) 에 프로세스 가스를 공급한다. 가스 라인 (709) 에는 가스 소스 (708) (예를 들어, 적절한 가스 혼합물에 전력 공급하는 질량 유량 제어기) 가 접속된다. 상부 샤워헤드 전극 (703) 에는 RF 전력 소스 (702) 가 접속된다. 동작 시에, 챔버는 진공 펌프 (710) 에 의해 배기되고, RF 전력은, 상부 샤워헤드 전극 (703) 과 기판 지지 어셈블리 (704) 내의 하부 전극 사이에 용량적으로 커플링되어 기판 (712) 과 상부 샤워헤드 전극 (703) 사이의 공간에서 프로세스 가스를 플라즈마로 에너자이징한다. 플라즈마는 기판 (712) 상의 층들에 디바이스 다이 피처들을 에칭하는데 이용될 수 있다. 기판 지지 어셈블리 (704) 에는 히터들이 통합되어 있을 수도 있다. 플라즈마 프로세싱 챔버의 세부 설계는 변할 수도 있지만, RF 전력이 기판 지지 어셈블리 (704) 를 통하여 커플링된다는 것을 알아야 한다.
도 7b 는 RF 여과 또는 격리의 실시형태의 개략도를 도시하며, 여기서 히터존, 전력 공급 및 전력 복귀 라인들 상에는 어떠한 필터들 또는 아이솔레이터들도 접속되지 않으며, 전기 그라운드 (701) 에 접속되는 제어 및 전력 회로 (705) 가 필터 또는 아이솔레이터 (706B) 에 접속된다. 기판 지지 어셈블리에 존재한다면, 프라이머리 히터들 (미도시) 은, 그들의 높은 전력으로 인해 별개의 필터들 또는 아이솔레이터들을 갖는 것이 바람직하다. 이 접근법에서, 제어 및 전력 회로 (705) 는 RF 전위 또는 "상측 (high side)" 에서 플로팅한다. 이 접근법은 다중의 히터존들이 단 하나의 필터 또는 아이솔레이터를 공유하는 것을 허용한다.
모든 상측 회로는 기판 지지 어셈블리 베이스 구조물 바로 아래에 로컬 플로팅 패러데이 상자 (Faraday cage) 내부에 하우징될 수도 있다.
대안으로는, 절연 변압기 (isolation transformer) 가 전력 및 제어 회로 (705) 를 RF 로부터 격리시키기 위해 단일의 필터 또는 아이솔레이터 (706B) 로서 이용된다. 히터존들의 제어 및 전력 회로 (705) 은, 변압기가 DC 및 저주파수 송신을 강하게 감쇠시키기 때문에 비교적 높은 주파수 (25KHz 내지 250KHz) 에서 동작하는 것이 가능해야 한다. 제어 및 전력 회로는 단일의 플로팅 전위 (플로팅 그라운드) 에 레퍼런싱된다. 이것은, 이 절연 변압기에 접속된 제어 및 전력 회로가 매우 유사한 RF 노출의 대상이 되어야 하는 것을 요구한다. RF 전위가 제어 및 전력 회로들의 2 개의 그룹들 간에 실질적으로 다르다면, 상당한 RF 전류가 이들 그룹들 사이에서 흐른다. 이 시나리오에서, 각 그룹은 그 자신의 필터 또는 아이솔레이터를 가져야 하며, 또는 이들 그룹들 사이에는 일 필터 또는 아이솔레이터가 있어야 한다.
필터 또는 아이솔레이터 (706B) 는 플라즈마 프로세싱 챔버 또는 임의의 다른 적절한 장소에 물리적으로 위치할 수도 있다.
히터 제어 전자장치의 일 실시형태가 도 8 에 도시된다. 하측 제어기 (809) 는 마이크로제어기 유닛 (MCU) 또는 컴퓨터 (PC) 와 같은 상위 레벨 디바이스일 수도 있다. 광 커플러 (807) 를 통하여, 하측 제어기는 히터존들 (801), 센서들 (803), 및 임의의 보조 회로들 (802) 과 상호작용하는 상측 MCU (805) 에 디지털적으로 통신한다. 상측 MCU (805) 가 충분한 용량 (capability) 및 로컬 메모리를 갖는다면, 임의의 세트-포인트 및 프로그램은 각 실행 전에 상측 MCU (805) 로 사전 로드될 수도 있으며, 따라서 하측 제어기 (809) 에 대한 실시간 링크의 필요성을 제거한다. 804 는 모듈들 간의 일방향 통신 링크들을 나타낸다. 806 은 모듈들 간의 양방향 통신 링크들을 나타낸다.
시간-도메인 멀티플렉싱 스킴들의 일 실시형태에서, 상측 MCU 는 각 히터존 전력 공급 라인에 전력을 순차적으로 공급한다. 단 하나의 전력 공급 라인은 동시에 전력 공급장치에 접속된다. 하나의 전력 공급 라인이 전력공급되는 시간 동안, 상측 MCU 는 이 지속기간의 일부 동안 임의의 또는 모든 전력 복귀 라인들을 플로팅 레퍼런스에 계속 접속되게 할 수도 있다. 히터존은, 이 히터존에 접속된 전력 공급 라인들 중 적어도 하나가 전력 공급장치에 접속되고, 이 히터존에 접속된 전력 복귀 라인들 중 적어도 하나가 플로팅 레퍼런스에 접속될 때 턴 온된다. 히터존의 평균 전력은 그 히터존이 턴 온되는 평균 지속기간에 정비례한다. 대안으로는, 하나의 전력 공급 라인이 전력공급되는 시간 동안, 상측 MCU 는 이 전체 지속기간 동안 임의의 또는 모든 전력 복귀 라인들을 플로팅 레퍼런스에 계속 접속되게 하고, 턴 온되는 각 히터존에 송신된 전력을 조절할 수도 있다.
예를 들어, 10×10 그리드의 히터존들의 경우, 로우 (row) 넘버 N 의 히터존들은 전력 공급 라인 넘버 N 에 접속되고; 컬럼 (column) 넘버 M 의 히터존들은 전력 복귀 라인 넘버 M 에 접속된다. 상측 MCU 는, 전력 공급 라인들 각각이 순차적으로, 100ms 동안 전력 공급장치에 접속되도록 가열을 제어할 수도 있다. 예를 들어, 전력 공급 라인 넘버 3 이 전력 공급장치에 접속되는 100ms 시간 동안, MCU 는, 이 100ms 동안 특정 가열 요건에 의해 지시한 바와 같이, 전력 복귀 라인들 넘버 7, 8, 및 9 를 각각 10, 50 및 100ms 동안 플로팅 레퍼런스에 접속시키도록 동작가능하다. 따라서, 로우 넘버 3 및 컬럼 넘버 7 의 히터존은 1% 의 듀티 사이클을 갖고; 로우 넘버 3 및 컬럼 넘버 8 의 히터존은 5% 의 듀티 사이클을 가지며; 로우 넘버 3 및 컬럼 넘버 9 의 히터존은 10% 의 듀티 사이클을 갖는다. 이 특정 예에서, 각 히터존에 대한 최대 피크 전력은 원하는 평균 최대 전력의 10 배로 설정될 것이다.
검출가능한 온도 변조를 방지하기 위하여, 각 히터존이 자주 (적어도 1Hz) 어드레싱되는, 스위칭 주파수들 및 전체 멀티플렉싱 스킴은 충분히 고속인 것이 바람직하다. 더 많은 온도 센서들 중 하나로부터의 피드백 데이터를 이용하여 추가적인 루프 제어가 구현될 수도 있다. 원한다면 전압 및 전류 센서들이 또한 구현될 수 있다. 이들 센서들은 기판 상의 상이한 장소의 온도 및 히터존들의 전력과 같은 파라미터들을 측정하도록 구성될 수 있다. 이들 측정된 파라미터들은 이들 파라미터들의 세트 타겟들과 비교되도록 제어 및 전력 회로로 전송되어, 제어 및 전력 회로가 측정된 파라미터들과 그들의 세트 타겟들 간의 차이를 최소화하기 위하여 히터존들에 전달되는 전력을 그에 따라 조정할 수 있다.
가열판, 그 가열판을 제작하는 방법, 가열판을 포함하는 기판 지지 어셈블리, 및 기판 지지 어셈블리를 포함하는 플라즈마 프로세싱 챔버를 이용하는 방법이 이들의 특정 실시형태들을 참조하여 상세하게 설명되었지만, 첨부된 특허청구항의 범위로부터 벗어남 없이, 다양한 변화 및 변경이 행해질 수 있으며, 등가물들이 사용될 수 있다는 것이 당업자에게 명백할 것이다. 예를 들어, 기판 지지 어셈블리는 기판 온도를 모니터링하기 위한 온도 센서들, 원하는 클램핑 전압으로 ESC 에 전력공급하기 위한 전력 피드 배열, 기판을 상승 및 하강시키기 위한 리프팅 핀 배열, 헬륨과 같은 가스를 기판의 밑면에 공급하기 위한 열 전달 가스 피드 배열, 열 전달 액체를 냉각판에 공급하기 위한 온도 제어된 액체 피드 배열, 평탄한 히터존들의 상방 또는 하방의 프라이머리 히터들에 개별적으로 전력공급하기 위한 전력 피드 배열, 기판 지지 어셈블리에 통합된 하부 전극에 하나 이상의 주파수들에서 RF 전력을 공급하기 위한 전력 피드 배열 등을 포함할 수 있다.

Claims (22)

  1. 반도체 프로세싱 장치에서 반도체 기판을 지지하는데 이용되는 기판 지지 어셈블리용 가열판으로서,
    제 1 전기 절연층;
    각각 하나 이상의 히터 엘리먼트들을 포함하는, 제 1 평탄한 히터존, 제 2 평탄한 히터존, 제 3 평탄한 히터존 및 제 4 평탄한 히터존을 적어도 포함하는 평탄한 히터존들로서, 상기 평탄한 히터존들은 상기 제 1 전기 절연층에 걸쳐 측방향으로 분포되고 상기 반도체 기판 상의 공간 온도 프로파일을 튜닝하도록 동작가능한, 상기 평탄한 히터존들;
    상기 제 1 평탄한 히터존 및 상기 제 2 평탄한 히터존에 전기적으로 접속된 제 1 전기적 도전성 전력 공급 라인 및 상기 제 3 평탄한 히터존 및 상기 제 4 평탄한 히터존에 전기적으로 접속된 제 2 전기적 도전성 전력 공급 라인을 적어도 포함하는 전력 공급 라인들; 및
    상기 제 1 평탄한 히터존 및 상기 제 3 평탄한 히터존에 전기적으로 접속된 제 1 전기적 도전성 전력 복귀 (return) 라인 및 상기 제 2 평탄한 히터존 및 상기 제 4 평탄한 히터존에 전기적으로 접속된 제 2 전기적 도전성 전력 복귀 라인을 적어도 포함하는 전력 복귀 라인들을 포함하는, 가열판.
  2. 제 1 항에 있어서,
    (a) 상기 평탄한 히터존들 및 상기 전력 공급 라인들은 제 1 평면에 있고; 상기 전력 복귀 라인들은 상기 제 1 평면에 평행한 제 2 평면에 있으며; 상기 제 1 평면 및 상기 제 2 평면은 서로 상기 제 1 전기 절연층에 의해 분리되고; 상기 전력 복귀 라인들은 상기 제 1 전기 절연층에서 수직으로 연장된 비아들에 의해 상기 평탄한 히터존들에 전기적으로 접속되고;
    (b) 상기 평탄한 히터존들 및 상기 전력 복귀 라인들은 제 1 평면에 있고; 상기 전력 공급 라인들은 상기 제 1 평면에 평행한 제 2 평면에 있으며; 상기 제 1 평면 및 상기 제 2 평면은 서로 상기 제 1 전기 절연층에 의해 분리되고; 상기 전력 공급 라인들은 상기 제 1 전기 절연층에서 수직으로 연장된 비아들에 의해 상기 평탄한 히터존들에 전기적으로 접속되며; 또는
    (c) 상기 평탄한 히터존들은 제 1 평면에 있고; 상기 전력 공급 라인들은 상기 제 1 평면에 평행한 제 2 평면에 있으며; 상기 전력 복귀 라인들은 상기 제 1 평면에 평행한 제 3 평면에 있고; 상기 제 1 평면 및 상기 제 2 평면은 상기 제 1 전기 절연층에 의해 분리되며; 상기 제 2 평면 및 상기 제 3 평면은 제 2 전기 절연층에 의해 분리되고; 상기 전력 공급 라인들 및 상기 전력 복귀 라인들은 상기 전기 절연층들을 통해 연장된 비아들에 의해 상기 평탄한 히터존들에 전기적으로 접속되는, 가열판.
  3. 제 1 항에 있어서,
    상기 평탄한 히터존들은 :
    (a) 각 평탄한 히터존이 상기 반도체 기판 상에 제작되는 4 개의 디바이스 다이들보다 크지 않도록, 또는
    (b) 각 평탄한 히터존이 상기 반도체 기판 상에 제작되는 2 개의 디바이스 다이들보다 크지 않도록, 또는
    (c) 각 평탄한 히터존이 상기 반도체 기판 상에 제작되는 하나의 디바이스 다이보다 크지 않도록, 또는
    (d) 각 평탄한 히터존의 면적이 2cm2 와 3cm2 사이가 되도록, 또는
    (e) 상기 가열판이 100 내지 400 개의 평탄한 히터존들을 포함하도록, 또는
    (f) 각 평탄한 히터존이 1cm2 내지 15cm2 가 되도록, 또는
    (g) 각 평탄한 히터존이 16cm2 내지 100cm2 가 되도록, 또는
    (h) 각 평탄한 히터존이 상기 반도체 기판 상의 디바이스 다이들의 사이즈 및 상기 반도체 기판의 전체 사이즈에 따라 스케일링되도록, 사이징되는, 가열판.
  4. 제 1 항에 있어서,
    상기 제 1 전기 절연층은 폴리머 재료, 세라믹 재료 또는 이들의 조합을 포함하는, 가열판.
  5. 제 1 항에 있어서,
    상기 전력 공급 라인들 및 상기 전력 복귀 라인들의 총 개수는 상기 평탄한 히터존들의 총 개수 이하인, 가열판.
  6. 제 1 항에 있어서,
    상기 평탄한 히터존들의 총 면적은 상기 가열판의 상부면의 50% 내지 90% 인, 가열판.
  7. 제 1 항에 있어서,
    상기 평탄한 히터존들은 직사각형 그리드, 6 각형 그리드 또는 동심원상 링으로 배열되고; 상기 평탄한 히터존들은, 폭이 최소한 1 밀리미터이고 폭이 최대한 10 밀리미터인 갭들에 의해 서로 분리되는, 가열판.
  8. 제 1 항에 있어서,
    정류기가 각 히터존과, 그 히터존에 접속된 전력 공급 라인 사이에 직렬 접속되며, 또는 정류기가 각 히터존과 그 히터존에 접속된 전력 복귀 라인 사이에 직렬 접속되는, 가열판.
  9. 제 8 항에 있어서,
    상기 정류기는 반도체 다이오드인, 가열판.
  10. 기판 지지 어셈블리로서,
    상기 기판 지지 어셈블리 상에 반도체 기판을 정전식으로 클램핑하도록 구성된 적어도 하나의 클램핑 전극을 갖는 정전 클램핑층을 포함하는 정전 척 (ESC);
    상기 정전 클램핑층 하방에 배열된 제 1 항에 기재된 가열판; 및
    상기 가열판의 하부측에 열장벽층 (thermal barrier layer) 에 의해 부착된 냉각판을 포함하는, 기판 지지 어셈블리.
  11. 제 10 항에 있어서,
    (a) 상기 전력 공급 라인들은, 서로 전기 절연되고 상기 냉각판 내의 적어도 하나의 전력 공급 도관을 통하여 연장된 리드들에 접속되고, 상기 전력 복귀 라인들은, 서로 전기 절연되고 상기 냉각판 내의 적어도 하나의 전력 복귀 도관을 통하여 연장된 리드들에 접속되며; 또는
    (b) 상기 전력 공급 라인들 및 상기 전력 복귀 라인들은 상기 냉각판에 내장된 터미널 커넥터들에 접속되는, 기판 지지 어셈블리.
  12. 제 10 항에 있어서,
    임의의 주어진 시간에, (a) 단지 상기 제 1 평탄한 히터존에만, (b) 단지 상기 제 2 평탄한 히터존에만, (c) 단지 상기 제 3 평탄한 히터존에만, (d) 단지 상기 제 4 평탄한 히터존에만, (e) 단지 상기 제 1 평탄한 히터존과 상기 제 2 평탄한 히터존에만, (f) 단지 상기 제 1 평탄한 히터존과 상기 제 3 평탄한 히터존에만, (g) 단지 상기 제 2 평탄한 히터존과 상기 제 4 평탄한 히터존에만, (h) 단지 상기 제 3 평탄한 히터존과 상기 제 4 평탄한 히터존에만, 그리고 (i) 상기 평탄한 히터존들 전부에 전력을 선택적으로 공급하도록 동작가능한 제어 및 전력 회로를 더 포함하는, 기판 지지 어셈블리.
  13. 제 12 항에 있어서,
    상기 제어 및 전력 회로와 전기 그라운드 사이에 직렬 접속된 적어도 하나의 필터 또는 아이솔레이터를 더 포함하는, 기판 지지 어셈블리.
  14. 제 13 항에 있어서,
    상기 적어도 하나의 필터 또는 아이솔레이터는 변압기인, 기판 지지 어셈블리.
  15. 제 10 항에 있어서,
    상기 가열판의 상기 제 1 전기 절연층의 상방 또는 하방에 배열된 적어도 하나의 프라이머리 히터층을 더 포함하며,
    상기 프라이머리 히터층은, 상기 가열판의 상기 평탄한 히터존들, 상기 전력 공급 라인들, 및 상기 전력 복귀 라인들로부터 전기 절연되고; 상기 프라이머리 히터층은, 상기 반도체 기판의 평균 온도 제어를 제공하는 적어도 하나의 히터를 포함하며; 상기 평탄한 히터존들은, 상기 반도체 기판을 프로세싱하는 동안, 상기 반도체 기판의 방사상 및 방위각 온도 프로파일 제어를 제공하는, 기판 지지 어셈블리.
  16. 제 1 항에 기재된 가열판을 제작하는 방법으로서,
    (a) 세라믹 시트들 내에 홀들을 형성하는 단계;
    (b) 상기 세라믹 시트들 상에, 도체 분말의 슬러리를 스크린 인쇄하거나, 또는 사전 커팅된 금속박을 가압하거나, 또는 도체 분말의 슬러리를 스프레잉하여 상기 평탄한 히터존들, 상기 전력 공급 라인들 및 상기 전력 복귀 라인들을 형성하는 단계;
    (c) 상기 세라믹 시트들 내의 상기 홀들을 도체 분말의 슬러리로 채워 전력 공급 및 전력 복귀 비아들을 형성하는 단계; 및
    (d) 상기 세라믹 시트들을 얼라이닝, 가압 및 본딩하여 상기 가열판을 형성하는 단계를 포함하는, 가열판을 제작하는 방법.
  17. 제 16 항에 있어서,
    (a) 상기 평탄한 히터존들 및 상기 전력 공급 라인들은 제 1 세라믹 시트의 상부측에 형성되고, 상기 전력 복귀 라인들은 상기 제 1 세라믹 시트의 하부측에 형성되며, 상기 제 1 세라믹 시트의 상부면 위에 제 2 세라믹 시트가 배치되고, 상기 제 1 세라믹 시트의 하방에 제 3 세라믹 시트가 배치되며, 상기 제 1 세라믹 시트, 상기 제 2 세라믹 시트 및 상기 제 3 세라믹 시트는 함께 가압되고 소결되어 조인트-프리 (joint-free) 가열판을 형성하고; 또는
    (b) 상기 평탄한 히터존들은 제 1 세라믹 시트의 상부측에 형성되고, 상기 전력 공급 라인들은 상기 제 1 세라믹 시트의 하방에 배치된 제 2 세라믹 시트의 상부측에 형성되며, 상기 전력 복귀 라인들은 상기 제 2 세라믹 시트의 하방에 배치된 제 3 세라믹 시트의 상부측에 형성되고, 상기 제 1 세라믹 시트의 상부면 위에 제 4 세라믹 시트가 배치되며, 상기 제 1 세라믹 시트, 상기 제 2 세라믹 시트, 상기 제 3 세라믹 시트 및 상기 제 4 세라믹 시트는 함께 가압되고 소결되어 조인트-프리 가열판을 형성하는, 가열판을 제작하는 방법.
  18. 제 1 항에 기재된 가열판을 제작하는 방법으로서,
    컴포넌트층을 제작하는 단계로서 :
    (a) 폴리머 필름 위에 금속 시트를 본딩하는 단계;
    (b) 상기 금속 시트 위에 패터닝된 레지스트 필름을 도포하는 단계로서, 상기 패터닝된 레지스트 필름 내의 개구는, 금속이 제거될 장소에 대응하는, 상기 패터닝된 레지스트 필름을 도포하는 단계;
    (c) 상기 금속 시트를 에칭하여 상기 패터닝된 레지스트 필름의 개구를 통하여 노출된 금속을 제거하는 단계로서, 상기 에칭은 상기 평탄한 히터존들, 상기 전력 공급 라인들 및/또는 상기 전력 복귀 라인들의 전기적 도전성 금속 패턴을 형성하는, 상기 노출된 금속을 제거하는 단계; 및
    (d) 상기 패터닝된 레지스트 필름을 제거하는 단계;
    를 포함하는, 상기 컴포넌트층을 제작하는 단계;
    비아층을 제작하는 단계로서 :
    (a) 상기 폴리머 필름 내에 홀들을 펀칭 또는 커팅하는 단계; 및
    (b) 상기 홀들 내에 도전성 비아들을 형성하는 단계;
    를 포함하는, 상기 비아층을 제작하는 단계;
    하나 이상의 컴포넌트층들과 하나 이상의 비아층들을 본딩함으로써 라미네이트를 형성하는 단계; 및
    상기 라미네이트의 상부면 및/또는 하부면 위에 연속적인 폴리머 필름을 본딩함으로써 상기 라미네이트를 절연시키는 단계를 포함하는, 가열판을 제작하는 방법.
  19. 제 1 항에 기재된 가열판을 제작하는 방법으로서,
    상기 제 1 전기 절연층 상에, 금속, 아모르퍼스 도전성 무기 재료, 또는 도전성 세라믹을 디포짓 (deposit) 하여 상기 평탄한 히터존들, 상기 전력 공급 라인들 및/또는 상기 전력 복귀 라인들을 형성하는 단계를 포함하는, 가열판을 제작하는 방법.
  20. 제 10 항에 기재된 기판 지지 어셈블리를 포함하는 플라즈마 프로세싱 챔버에서 반도체 기판들을 플라즈마 프로세싱하는 방법으로서,
    (a) 상기 플라즈마 프로세싱 챔버로 반도체 기판을 로드하고 상기 반도체 기판을 상기 기판 지지 어셈블리 상에 위치시키는 단계;
    (b) 임계 치수 (CD) 균일성에 영향을 미치는 프로세싱 조건을 보상하는 온도 프로파일을 결정하는 단계;
    (c) 상기 기판 지지 어셈블리를 이용하여 상기 온도 프로파일에 일치시키기 위해 상기 반도체 기판을 가열하는 단계;
    (d) 상기 평탄한 히터존들의 독립적으로 제어된 가열에 의해 상기 온도 프로파일을 제어하면서 플라즈마를 점화하고 상기 반도체 기판을 프로세싱하는 단계; 및
    (e) 상기 반도체 기판을 상기 플라즈마 프로세싱 챔버로부터 언로드하고 상이한 반도체 기판에 단계들 (a) 내지 (e) 를 반복하는 단계를 포함하는, 반도체 기판들을 플라즈마 프로세싱하는 방법.
  21. 제 11 항에 있어서,
    상기 터미널 커넥터들은 스프링 팁핑된 패스스루 (spring-tipped passthrough) 들인, 기판 지지 어셈블리.
  22. 제 15 항에 있어서,
    상기 프라이머리 히터층은 2 개 이상의 히터들을 포함하는, 기판 지지 어셈블리.
KR1020127012975A 2009-10-21 2010-10-20 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판 KR101643800B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/582,991 US8637794B2 (en) 2009-10-21 2009-10-21 Heating plate with planar heating zones for semiconductor processing
US12/582,991 2009-10-21

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020062A Division KR101919675B1 (ko) 2009-10-21 2010-10-20 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판

Publications (2)

Publication Number Publication Date
KR20120103596A true KR20120103596A (ko) 2012-09-19
KR101643800B1 KR101643800B1 (ko) 2016-07-29

Family

ID=43879632

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127012975A KR101643800B1 (ko) 2009-10-21 2010-10-20 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판
KR1020167020062A KR101919675B1 (ko) 2009-10-21 2010-10-20 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167020062A KR101919675B1 (ko) 2009-10-21 2010-10-20 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판

Country Status (6)

Country Link
US (6) US8637794B2 (ko)
JP (3) JP5836959B2 (ko)
KR (2) KR101643800B1 (ko)
CN (1) CN102668058B (ko)
TW (3) TWI552263B (ko)
WO (1) WO2011049620A2 (ko)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130094578A (ko) * 2012-02-16 2013-08-26 주식회사 미코 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
KR20140070494A (ko) * 2012-11-30 2014-06-10 램 리써치 코포레이션 열 제어 엘리먼트들의 어레이를 갖는 esc에 대한 전력 스위칭 시스템
KR20150019596A (ko) * 2013-08-14 2015-02-25 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR20150073862A (ko) * 2013-12-20 2015-07-01 램 리써치 코포레이션 디클램핑 전극을 포함하는 정전척 및 디클램핑 방법
KR20170002383A (ko) * 2014-05-12 2017-01-06 도쿄엘렉트론가부시키가이샤 히터 급전 기구 및 스테이지의 온도 제어 방법
KR20170076582A (ko) * 2015-12-24 2017-07-04 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 멀티존 액티브 매트릭스 온도제어 시스템과 온도제어 방법 및 이를 적용한 정전척과 플라스마 처리장치
KR20170078645A (ko) * 2014-10-31 2017-07-07 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
KR20170126803A (ko) * 2016-05-10 2017-11-20 램 리써치 코포레이션 상이한 히터 트레이스 재료를 사용한 적층된 히터
KR20180064569A (ko) * 2012-04-24 2018-06-14 어플라이드 머티어리얼스, 인코포레이티드 진보된 rf 및 온도 균일성을 갖는 정전 척
KR20190073545A (ko) * 2016-11-07 2019-06-26 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 필터 회로, 가열 회로 및 반도체 처리 장치
US10440777B2 (en) 2015-05-22 2019-10-08 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
KR20200060690A (ko) * 2018-11-21 2020-06-01 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 다중 영역의 온도 제어가 가능한 플라즈마 반응기
KR20210126164A (ko) * 2014-02-07 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 온도 제어식 기판 지지 조립체
KR102368832B1 (ko) * 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
WO2022169923A1 (en) * 2021-02-04 2022-08-11 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
US11688590B2 (en) 2018-03-26 2023-06-27 Ngk Insulators, Ltd. Electrostatic-chuck heater
US11728198B2 (en) 2018-08-27 2023-08-15 Samsung Electronics Co., Ltd. Electrostatic chuck and wafer etching device including the same
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (441)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101031226B1 (ko) * 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) * 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10010213B2 (en) * 2010-11-02 2018-07-03 Ember Technologies, Inc. Heated or cooled dishware and drinkware and food containers
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN103443914B (zh) * 2011-03-23 2016-05-04 住友大阪水泥股份有限公司 静电卡盘装置
JP6052169B2 (ja) * 2011-04-27 2016-12-27 住友大阪セメント株式会社 静電チャック装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
WO2013025852A1 (en) * 2011-08-17 2013-02-21 Lam Research Corporation A system and method for monitoring temperatures of and controlling multiplexed heater array
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
AU2015203198B2 (en) * 2011-08-30 2017-03-09 Watlow Electric Manufacturing Company Thermal array system
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
AU2015203212C1 (en) * 2011-08-30 2017-07-06 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203195B2 (en) * 2011-08-30 2017-04-13 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203215C1 (en) * 2011-08-30 2016-09-15 Watlow Electric Manufacturing Company Thermal array system
BR112014004907A2 (pt) 2011-08-30 2017-03-28 Watlow Electric Mfg método e sistema para controlar uma matriz térmica
US10883950B2 (en) * 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
AU2015203200B2 (en) * 2011-08-30 2016-06-02 Watlow Electric Manufacturing Company Thermal array system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) * 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US20130154143A1 (en) * 2011-09-29 2013-06-20 Watlow Electric Manufacturing Company High dynamic temperature control system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013127589A1 (en) * 2012-02-29 2013-09-06 Asml Netherlands B.V. Electrostatic clamp
JP6106659B2 (ja) * 2012-03-07 2017-04-05 日本特殊陶業株式会社 搬送装置およびセラミック部材
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
JP6359236B2 (ja) * 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
JP5793473B2 (ja) * 2012-07-20 2015-10-14 株式会社新川 ボンディング装置用ヒータ及びその冷却方法
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
CN103633003B (zh) * 2012-08-28 2016-12-21 中微半导体设备(上海)有限公司 一种静电卡盘
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103681185B (zh) * 2012-08-30 2016-05-04 中微半导体设备(上海)有限公司 一种静电卡盘及等离子体处理装置
JP6077258B2 (ja) * 2012-10-05 2017-02-08 日本特殊陶業株式会社 積層発熱体、静電チャック、及びセラミックヒータ
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US9543183B2 (en) * 2013-04-01 2017-01-10 Fm Industries, Inc. Heated electrostatic chuck and semiconductor wafer heater and methods for manufacturing same
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
DE102014202302B4 (de) * 2013-07-03 2015-02-19 Technische Universität Dresden Vorrichtung zum Beheizen von Vorformkörpern
TWI589178B (zh) * 2013-08-19 2017-06-21 友達光電股份有限公司 加熱器以及加熱方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
US9420639B2 (en) 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
JP2015095409A (ja) * 2013-11-13 2015-05-18 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9518946B2 (en) * 2013-12-04 2016-12-13 Watlow Electric Manufacturing Company Thermographic inspection system
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
CN104752130A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理装置及其静电卡盘
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
EP3103136B1 (en) * 2014-02-07 2021-06-23 Entegris, Inc. Electrostatic chuck and method of making same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN106104410B (zh) 2014-03-13 2020-02-14 株式会社半导体能源研究所 电子设备
CN104934279B (zh) * 2014-03-17 2017-08-01 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台的制造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952682A (zh) * 2014-03-25 2015-09-30 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US9595464B2 (en) * 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104233195B (zh) * 2014-08-28 2017-02-08 京东方科技集团股份有限公司 一种蒸镀设备及蒸镀方法
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
CN104503513A (zh) * 2014-12-11 2015-04-08 无锡市锡容电力电器有限公司 一种加热板智能控温加热系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
US10453775B1 (en) * 2015-06-10 2019-10-22 SA Photonics, Inc. Distributed thermoelectric cooling system
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US20190013555A1 (en) * 2015-07-31 2019-01-10 Illinois Tool Works Inc. Heating Panel
JP6653535B2 (ja) * 2015-08-07 2020-02-26 日本発條株式会社 ヒータユニット
KR101776562B1 (ko) * 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6256454B2 (ja) * 2015-11-30 2018-01-10 株式会社デンソー ヒータプレート、このヒータプレートを用いる熱流束センサの製造装置、このヒータプレートの製造方法、及び、このヒータプレートの製造装置
US9812342B2 (en) * 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
KR102513443B1 (ko) 2016-03-15 2023-03-24 삼성전자주식회사 정전 척 및 그를 포함하는 기판 처리 장치
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
CN107303622A (zh) * 2016-04-20 2017-10-31 张跃 一种夹式钎焊装置及其使用方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10764966B2 (en) * 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
JP2018056333A (ja) * 2016-09-29 2018-04-05 日本発條株式会社 基板載置台、および基板載置台の作製方法
JP2018063974A (ja) 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101909190B1 (ko) * 2016-12-30 2018-12-10 세메스 주식회사 기판 온도 제어 장치 및 이를 포함하는 기판 처리 장치
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6850137B2 (ja) * 2017-01-24 2021-03-31 日本特殊陶業株式会社 保持装置
US11631597B2 (en) * 2017-02-01 2023-04-18 Ngk Spark Plug Co., Ltd. Holding apparatus
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
JP7158131B2 (ja) * 2017-05-30 2022-10-21 東京エレクトロン株式会社 ステージ及びプラズマ処理装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102019790B1 (ko) * 2017-06-29 2019-09-09 주식회사 디아이티 층별 소재가 다른 다층 세라믹 기판 및 그의 제조 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109425437B (zh) * 2017-09-01 2020-07-28 京元电子股份有限公司 浮动温度感应装置及使用该装置的半导体组件测试模块
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
DE112018005962T5 (de) * 2017-11-21 2020-08-06 WATLOW ELECTRIC MANUFACTURING COMPANY (n.d.Ges.d. Staates Missouri) Multi-zonen trägerheizung ohne durchkontaktierungen
US11083050B2 (en) 2017-11-21 2021-08-03 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
US10761041B2 (en) 2017-11-21 2020-09-01 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10460914B2 (en) 2017-11-30 2019-10-29 Lam Research Corporation Ferrite cage RF isolator for power circuitry
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108203812B (zh) * 2018-01-25 2020-02-07 京东方科技集团股份有限公司 一种基板固定载具、蒸镀设备及蒸镀方法
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
CN111712910B (zh) 2018-02-16 2023-11-07 日本特殊陶业株式会社 保持装置
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
WO2020068546A2 (en) * 2018-09-24 2020-04-02 Lam Research Corporation Multiplexed high tcr based ampoule heaters
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111155072B (zh) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TW202105584A (zh) 2018-12-07 2021-02-01 美商蘭姆研究公司 用於具有多工加熱器陣列之靜電卡盤的長壽命延伸溫度範圍嵌入式二極體設計
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111326388B (zh) * 2018-12-17 2023-02-28 中微半导体设备(上海)股份有限公司 一种用于基片支撑的加热装置以及等离子体处理器
CN111385915B (zh) * 2018-12-27 2022-04-26 中微半导体设备(上海)股份有限公司 等离子反应器及其加热装置
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
CN111383891B (zh) * 2018-12-29 2023-03-10 中微半导体设备(上海)股份有限公司 用于半导体处理设备的温度控制装置及其温度控制方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220126300A1 (en) * 2019-06-21 2022-04-28 Analog Devices International Unlimited Company A thermal platform and a method of fabricating a thermal platform
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11196937B2 (en) * 2019-11-25 2021-12-07 Qualcomm Incorporated High frame rate in high dynamic range processing
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11784080B2 (en) * 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN111372336B (zh) * 2020-03-25 2021-10-26 广州智慧医疗科技有限公司 一种红外电热膜及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2023537946A (ja) * 2020-08-10 2023-09-06 ラム リサーチ コーポレーション 局所熱制御を備えた結合ヒータゾーンを含む多層構造を有する基板支持体
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114496692B (zh) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 加热组件、基片承载组件及其等离子体处理装置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
KR20220122154A (ko) 2021-02-26 2022-09-02 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
TW202312319A (zh) 2021-08-31 2023-03-16 南韓商細美事有限公司 加熱構件及基板處理設備
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤
FR3127762B1 (fr) * 2021-10-05 2023-10-13 Safran Electronics & Defense Dispositif de chauffage d’un substrat pour dépôt sous vide
CN117642847A (zh) * 2022-06-23 2024-03-01 株式会社日立高新技术 等离子处理装置
KR20240038856A (ko) 2022-09-16 2024-03-26 세메스 주식회사 기판 가열 장치와 이를 이용한 기판 처리 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126743A (ja) * 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
JP2003524885A (ja) * 1999-09-29 2003-08-19 東京エレクトロン株式会社 多重領域抵抗ヒータ
KR20050040729A (ko) * 2003-10-29 2005-05-03 토레센티 테크노로지즈 가부시키가이샤 반도체 제조장치, 반도체장치의 제조방법 및 웨이퍼스테이지
WO2008112673A2 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate processing uniformity

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK111688B (da) 1965-01-13 1968-09-30 Burmeister & Wains Mot Mask Rørvæg til vandrørskedler og bestående af parallelle, i hovedsagen i samme plan liggende rør.
US3440883A (en) * 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
US3888106A (en) 1973-03-07 1975-06-10 Rockwell International Corp Testing apparatus for flow measuring devices
JPS5546346A (en) 1978-09-27 1980-04-01 Tokyo Electric Co Ltd Roaster
JPS601918B2 (ja) 1980-04-26 1985-01-18 ライオン株式会社 再汚染の少ない無燐洗剤組成物
JPS601918A (ja) 1983-06-17 1985-01-08 Fuji Electric Co Ltd マトリツクス形選択回路
JPS621176A (ja) 1985-06-26 1987-01-07 Hitachi Ltd ヘツド支持装置
JPS6298610A (ja) 1985-10-25 1987-05-08 Hitachi Ltd 結晶成長用基板加熱機構
JPH0610391B2 (ja) 1989-11-17 1994-02-09 株式会社ナブコ プラグドアのガイド装置
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) * 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) * 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (ja) 1992-06-29 2003-08-25 アイシン精機株式会社 人体局部洗浄装置
US5414245A (en) * 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) * 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH06326022A (ja) 1993-03-16 1994-11-25 Mitsubishi Electric Corp 半導体基板の製造方法,半導体装置の製造方法,及び,半導体製造装置
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH0778668A (ja) 1993-09-07 1995-03-20 Fanuc Ltd ヒータ装置の異常検出装置
US5504471A (en) * 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP2647799B2 (ja) 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JPH08130184A (ja) 1994-10-31 1996-05-21 Sony Corp 熱処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5702624A (en) 1996-10-09 1997-12-30 Taiwan Semiconductors Manfuacturing Company, Ltd Compete hot plate temperature control system for hot treatment
KR200159921Y1 (ko) 1996-11-23 1999-11-01 이세원 리프터의 업/다운 제어회로
US5994675A (en) * 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) * 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
CA2310583C (en) 1997-11-07 2004-12-28 Shell Oil Company Heater control
US6091060A (en) * 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6100506A (en) * 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP2004303736A (ja) * 1999-08-09 2004-10-28 Ibiden Co Ltd セラミックヒータ
JP2001118662A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
US6175175B1 (en) * 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2001102157A (ja) 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
WO2001031978A1 (fr) * 1999-10-22 2001-05-03 Ibiden Co., Ltd. Plaque chauffante en ceramique
JP2001126743A (ja) 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 高分子電解質型燃料電池
JP2001203257A (ja) * 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
US6888106B2 (en) 2000-04-07 2005-05-03 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) * 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
JP2002059579A (ja) * 2000-08-15 2002-02-26 Casio Comput Co Ltd 駆動回路
US6403403B1 (en) * 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US7075031B2 (en) * 2000-10-25 2006-07-11 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10059665C1 (de) * 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6501052B2 (en) * 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) * 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
ITMI20011253A1 (it) 2001-06-14 2002-12-14 Whirlpool Co Sistema di gestione della potenza in apparecchi di cottura elettrici
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
EP1422754A1 (en) 2001-08-10 2004-05-26 Ibiden Co., Ltd. Ceramic joint body
US6693262B2 (en) 2001-10-17 2004-02-17 Whirlpool Corporation Cooking hob with discrete distributed heating elements
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) * 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
JP3559549B2 (ja) * 2002-01-29 2004-09-02 京セラ株式会社 ウエハ加熱装置
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) * 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) * 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
JP4403073B2 (ja) * 2002-07-11 2010-01-20 テンプトロニック コーポレイション 熱電気モジュールのための隙間を作る層間スペーサを有する熱制御アセンブリを備えるワークピースチャック
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP2004101106A (ja) * 2002-09-11 2004-04-02 Mitsubishi Electric Corp 空気調和機の制御回路及び空気調和機の制御方法
JP3924524B2 (ja) 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) * 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) * 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
KR100904361B1 (ko) * 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
JP3988942B2 (ja) 2003-03-31 2007-10-10 株式会社国際電気セミコンダクターサービス ヒータ検査装置及びそれを搭載した半導体製造装置
US6989210B2 (en) * 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) * 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP2005026120A (ja) * 2003-07-03 2005-01-27 Ibiden Co Ltd セラミックヒータ
US6837231B1 (en) 2003-07-11 2005-01-04 General Motors Corporation Cylinder bank work output balancing based on exhaust gas A/F ratio
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) * 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US6913571B2 (en) 2003-10-14 2005-07-05 Datex-Ohmeda, Inc. Direct heater control for infant care apparatus
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP2005150370A (ja) * 2003-11-14 2005-06-09 Kyocera Corp 静電チャック
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
KR20050053464A (ko) 2003-12-01 2005-06-08 정준호 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자
US20100257871A1 (en) * 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7163722B2 (en) 2003-12-19 2007-01-16 Lcd Lighting, Inc. Device and method for coating serpentine fluorescent lamps
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) * 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
JP4553247B2 (ja) 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7143222B2 (en) 2004-09-21 2006-11-28 International Business Machines Corporation Adaptive message delivery system
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
KR100632544B1 (ko) 2004-12-15 2006-10-09 현대자동차주식회사 직류변환기의 게이트 드라이버 회로
US7475551B2 (en) * 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
DE102005004072A1 (de) 2005-01-28 2006-08-03 Danckert, Hermann, Dr.-Ing. Fluchtraum zum Schutz vor Flutwellen
US20060226123A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
EP1900253B1 (en) 2005-06-29 2013-07-31 Watlow Electric Manufacturing Company Smart layered heater surfaces
JP4667158B2 (ja) * 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) * 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
JP4483751B2 (ja) 2005-09-16 2010-06-16 株式会社デンソー 電源逆接続保護回路
JP2007149598A (ja) * 2005-11-30 2007-06-14 Matsushita Electric Ind Co Ltd シート状温度制御装置
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2007242913A (ja) 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置
US8168050B2 (en) * 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) * 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP4850664B2 (ja) * 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
KR100849069B1 (ko) * 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
WO2008140022A1 (ja) * 2007-05-08 2008-11-20 Tokyo Electron Limited 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP2010157559A (ja) * 2008-12-26 2010-07-15 Hitachi High-Technologies Corp プラズマ処置装置
GB2470063B (en) * 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
EP3020850B1 (en) * 2009-07-08 2018-08-29 Aixtron SE Apparatus for plasma processing
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126743A (ja) * 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
JP2003524885A (ja) * 1999-09-29 2003-08-19 東京エレクトロン株式会社 多重領域抵抗ヒータ
KR20050040729A (ko) * 2003-10-29 2005-05-03 토레센티 테크노로지즈 가부시키가이샤 반도체 제조장치, 반도체장치의 제조방법 및 웨이퍼스테이지
WO2008112673A2 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate processing uniformity

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130094578A (ko) * 2012-02-16 2013-08-26 주식회사 미코 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
KR20180064569A (ko) * 2012-04-24 2018-06-14 어플라이드 머티어리얼스, 인코포레이티드 진보된 rf 및 온도 균일성을 갖는 정전 척
KR20140070494A (ko) * 2012-11-30 2014-06-10 램 리써치 코포레이션 열 제어 엘리먼트들의 어레이를 갖는 esc에 대한 전력 스위칭 시스템
KR20210013247A (ko) * 2012-11-30 2021-02-03 램 리써치 코포레이션 열 제어 엘리먼트들의 어레이를 갖는 esc에 대한 전력 스위칭 시스템
KR20150019596A (ko) * 2013-08-14 2015-02-25 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR20150073862A (ko) * 2013-12-20 2015-07-01 램 리써치 코포레이션 디클램핑 전극을 포함하는 정전척 및 디클램핑 방법
KR20210126164A (ko) * 2014-02-07 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 온도 제어식 기판 지지 조립체
KR20170002383A (ko) * 2014-05-12 2017-01-06 도쿄엘렉트론가부시키가이샤 히터 급전 기구 및 스테이지의 온도 제어 방법
KR20170078645A (ko) * 2014-10-31 2017-07-07 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
KR20190069624A (ko) * 2014-10-31 2019-06-19 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
US11622419B2 (en) 2015-01-18 2023-04-04 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10440777B2 (en) 2015-05-22 2019-10-08 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
KR20170076582A (ko) * 2015-12-24 2017-07-04 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 멀티존 액티브 매트릭스 온도제어 시스템과 온도제어 방법 및 이를 적용한 정전척과 플라스마 처리장치
KR20170126803A (ko) * 2016-05-10 2017-11-20 램 리써치 코포레이션 상이한 히터 트레이스 재료를 사용한 적층된 히터
KR20190073545A (ko) * 2016-11-07 2019-06-26 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 필터 회로, 가열 회로 및 반도체 처리 장치
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11688590B2 (en) 2018-03-26 2023-06-27 Ngk Insulators, Ltd. Electrostatic-chuck heater
US11728198B2 (en) 2018-08-27 2023-08-15 Samsung Electronics Co., Ltd. Electrostatic chuck and wafer etching device including the same
KR20200060690A (ko) * 2018-11-21 2020-06-01 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 다중 영역의 온도 제어가 가능한 플라즈마 반응기
WO2022169923A1 (en) * 2021-02-04 2022-08-11 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
KR102368832B1 (ko) * 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척

Also Published As

Publication number Publication date
US8637794B2 (en) 2014-01-28
TW201125067A (en) 2011-07-16
KR101643800B1 (ko) 2016-07-29
JP5996760B2 (ja) 2016-09-21
JP5836959B2 (ja) 2015-12-24
US20140045337A1 (en) 2014-02-13
US20140047705A1 (en) 2014-02-20
US20170229327A1 (en) 2017-08-10
CN102668058A (zh) 2012-09-12
JP2017037846A (ja) 2017-02-16
TW201545272A (zh) 2015-12-01
WO2011049620A3 (en) 2011-11-03
KR101919675B1 (ko) 2019-02-08
TWI642135B (zh) 2018-11-21
KR20160092035A (ko) 2016-08-03
US10236193B2 (en) 2019-03-19
JP2016054303A (ja) 2016-04-14
US20110092072A1 (en) 2011-04-21
JP6351669B2 (ja) 2018-07-04
US8884194B2 (en) 2014-11-11
US10720346B2 (en) 2020-07-21
TW201635425A (zh) 2016-10-01
US9392643B2 (en) 2016-07-12
US9646861B2 (en) 2017-05-09
JP2013508968A (ja) 2013-03-07
US20160300741A1 (en) 2016-10-13
TWI552263B (zh) 2016-10-01
US20140096909A1 (en) 2014-04-10
TWI511229B (zh) 2015-12-01
CN102668058B (zh) 2015-04-01
WO2011049620A2 (en) 2011-04-28

Similar Documents

Publication Publication Date Title
US10236193B2 (en) Substrate supports with multi-layer structure including independent operated heater zones
US9775194B2 (en) Multiplexed heater array using AC drive for semiconductor processing
KR101915371B1 (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
KR101822318B1 (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 4