JP2003524885A - 多重領域抵抗ヒータ - Google Patents

多重領域抵抗ヒータ

Info

Publication number
JP2003524885A
JP2003524885A JP2001527606A JP2001527606A JP2003524885A JP 2003524885 A JP2003524885 A JP 2003524885A JP 2001527606 A JP2001527606 A JP 2001527606A JP 2001527606 A JP2001527606 A JP 2001527606A JP 2003524885 A JP2003524885 A JP 2003524885A
Authority
JP
Japan
Prior art keywords
heater
heating element
gas
quartz
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001527606A
Other languages
English (en)
Other versions
JP4505169B2 (ja
Inventor
ジョンソン、ウェイン・エル
ストラング、エリック・ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003524885A publication Critical patent/JP2003524885A/ja
Application granted granted Critical
Publication of JP4505169B2 publication Critical patent/JP4505169B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • H05B3/06Heater elements structurally combined with coupling elements or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

(57)【要約】 【課題】 多重領域抵抗ヒータを提供する。 【解決手段】 プラズマ処理に際して基板(例えば、ウェハ又はLCDパネル)を保持するための基板ホルダーである。基板ホルダーは各々が少なくとも1つの機能を実施する処理用発熱エレメントの積重ねである。発熱エレメントは静電チャック(102)とHeガス配分システム(122)と多重領域加熱プレートプレート(132)と多重領域冷却システム(152)とを有する。各発熱エレメントは例えば正常処理に際して基板の熱損失特性に基づいて熱を供給することにより処理システムの特性にマッチするように設計されている。統合設計は限定的意味をもつことなく基板の急速加熱および急速冷却を含む作動状態の適確な制御を可能にする。

Description

【発明の詳細な説明】
【0001】 (関連出願へのクロスレファレンス) 本出願は優先権を主張し、かつその内容が参照してここに組み込まれる199
9年9月29日提出の第6の0/156,595号出願に関する。
【0002】
【発明の属する技術分野】
本発明は、一般的にプラズマシステムにおける処理に際してウェハ(または他
の基板)を保持するための多目的ウェハホルダーに関する。更に詳細には、本ホ
ルダーはクランプ、伝導、加熱、及び/又は、冷却を提供するための少なくとも
1つの積層を有する。層の事例には静電チャック、多重領域Heガスデリバリシ
ステム、多重領域抵抗ヒータ、及び、多重領域冷却システムが含まれる。
【0003】
【従来の技術】
半導体処理の分野においては、処理ガスの存在の下で半導体ウェハを加熱する
ために電気抵抗ヒータを使用することが知られている。この加熱は、半導体ウェ
ハにおいて生じる反応処理の特性を変える。例えば、この種の抵抗ヒータは準高
熱壁、または、温暖壁の反応装置内で使用されている。この場合、抵抗ヒータは
、シリコンウェハの支持体として機能すると共に、処理工程を実施するためにウ
ェハを加熱する。しばしば、シリコンウェハの表面特性に変化を起こさせるため
に、所定の純度及び/又は圧力の処理ガスが加熱されているシリコンウェハ上で
循環させられる。化学蒸着は、この種の抵抗ヒータが半導体ウェハを処理するた
めにその中で使用される1つの環境である。
【0004】 このような抵抗ヒータは、一般に(1)ニッケル‐クロム合金(ニクロム)、
又は、(2)アルミニウム‐鉄合金でできた発熱エレメントを使用している。こ
れら発熱エレメントは、電気抵抗を有し、発熱エレメントを通るように電流が供
給されると発熱する。オーブンに用いられる抵抗性発熱エレメントを作成するた
めに一般に用いられる商業上入手可能な材料の例は、Kanthal、Nikr
othal、及び、Alkrothalであり、これらはBethel、CTの
カンサル社(Kanthal Corporation)によって製造された金
属合金の登録商標名前である。Kanthalファミリには、フェライト系合金
(FeCrAl)が含まれ、Nikrothalファミリには、オーステナイト
系合金(NiCr、NiCrFe)が含まれる。
【0005】 しかしし、過去において、抵抗発熱エレメントは、反応装置内においてシリコ
ンウェハ上を循環させられる処理ガスには露出されたことはなかった。更に、熱
質量の大きい大型発熱エレメントでは、既知のプラズマシステム内での加熱の場
合には、にウェハと発熱エレメントとの間に所定物質の障壁を介在させることが
要求されていた。Kanthal合金の幾く種類かのような既知の電気抵抗性材
料は、発熱エレメントの寿命を長くするためには酸素化された環境を必要とする
。酸素の存在は、発熱エレメントの蒸発を抑制する酸化アルミニウムをKant
hal合金発熱エレメントの表面上に形成させる。酸素の受け入れ可能レベルは
、合金表面と反応する他のガスが無い状態において、760トルの5%である。
代って、比較的酸素の少ない環境においては、酸化層を多孔質化し、酸化鉄が粒
子境界に沿って移動することを可能にし、システムの汚染原因となる。
【0006】
【発明が解決しようとする課題】
伝統的に、ウェハ処理システムの発熱エレメントは、過熱されるウェハ又は基
板よりも著しく大き熱質量を有する。既知のシステムにおいては、重量が僅か2
オンスのウェハを加熱するために重量が数十ポンドの発熱エレメントが使用され
てきた。熱質量が大きい結果として、ヒータの横方向のプロファイルが大きくな
り、ウェハのエッジ領域と比較して中心領域を著しく加熱することになる。この
熱プロファイルを補償するために、既知のシステムにおいては、供給される熱を
調節するための30個程の部品で構成される複雑な装置が用いられた。熱質量が
大きくなると熱慣性(thermal inertia)も大きくなり、結果的
に、ウェハが既にその所要温度に到達した後においても発熱エレメントはウェハ
(または他の基板)へ熱を供給し続ける。また、既知のシステムにおいては、径
方向、即ち、横方向の熱伝達は、処理されされる基板中への熱伝達より大きいの
で、ウェハにおける温度変化を隔離することを困難にする。
【0007】 従って、本発明の目的は、各エレメントが少なくとも1つのウェハ処理機能を
果たすような少なくとも1つの発熱エレメントの積重ねとして作成されるウェハ
ホルダーを提供することである。
【0008】 本発明の更なる目的は、非静電的にクランプされたウェハよりも更に均一な熱
伝導性を与えるように静電クランプを使用する改良されたウェハチャックを提供
することである。
【0009】 本発明の更に他の目的は、(1)ウェハ及び(2)クランプ作用を果たすチャ
ックに収容された2つの隣接する電極のキャパシタンスを測定することによって
静電チャックへのウェハの効果的なクランプを測定することである。
【0010】 本発明の追加的な目的はウェハへの伝導性を増大するために、Heガスデリバ
リシステム(ヘリウムバックサイドとして知られている)を提供することである
【0011】 本発明の更なる目的は、ウェハの異なる領域に異なる圧力を与えることによっ
てウェハの1つの領域における伝導性をウェハの他の領域のそれよりも増大させ
るために多重領域Heガスデリバリシステムを提供することである。
【0012】 本発明の他の目的は、ウェハのまわりを循環させられる処理ガスが抵抗発熱エ
レメントから隔離されているウェハ処理反応装置内で、半導体ウェハを加熱する
ための抵抗ヒータを提供することである。
【0013】 本発明の他の目的は、Kanthal合金、Hastaloy、白金、および
、モリブデンなどの材料を使用した抵抗ヒータを提供することである。
【0014】 本発明の更に他の目的は、低酸素環境においては劣化する高抵抗発熱エレメン
トのための酸素化環境を提供することである。
【0015】 本発明の更に他の目的は、温度均一性の更に良好な制御のための多重加熱領域
を有する抵抗ヒータを提供することである。
【0016】 本発明の更なる目的は、発熱エレメントを取り囲むガス環境が、半導体ウェハ
反応装置内のガス環境と異なり、かつこれと完全に隔離される抵抗ヒータを提供
することである。
【0017】 本発明の他の目的は、加熱される基板の熱伝達特性を測定し、熱損失が高い部
位へさらなる熱を与えるように設計された抵抗ヒータを提供することである。
【0018】 本発明の更なる目的は、加熱される非円形発熱エレメントを横切る均一な加熱
を果たすように構成された抵抗ヒータを提供することである。
【0019】 本発明のさらなる目的は、加熱されるウェハの熱質量にほぼ等価の熱質量を持
つ抵抗ヒータを提供することである。
【0020】 本発明の他の目的は、プラズマ処理以前、又は、その期間中、又は、その後で
、基板の温度を低下させるための冷却システムを提供することである。
【0021】 本発明のさらなる目的は、ウェハの熱損失パターンに従って、プラズマ処理の
以前、又は、その期間中、又は、その後で、ウェハを冷却する多重領域冷却シス
テムを提供することである。
【0022】 本発明の他の目的は、前述の複数のエレメント(即ち、複数の多重領域静電チ
ャック、多重領域Heガスデリバリシステム、多重領域抵抗ヒータ、及び、多重
領域冷却システム)の組合わされた積層体を提供することである。
【0023】 第1の実施形態に従い、簡潔に記述することとし、本発明は、一連のプラズマ
、或いは、熱処理工程に際して、その上に基板(例えば、ウェハ、またLCDパ
ネル)が配置可能である発熱エレメントの積重ね体に関する。積重ね体における
発熱エレメントのタイプには、限定的意味をもつことなしに、静電クランプ(単
一または多重領域のどちらか)、Heガスデリバリシステム(単一または多重領
域のどちらか)、抵抗ヒータ(単一または多重領域のどちらか)、及び/又は、
冷却システム(単一または多重領域のどちらか)が含まれる。発熱エレメントの
少なくとも1つは、実施される処理工程に基づいて選択される。各発熱エレメン
トは、それぞれ他の発熱エレメントから、及び、処理環境から気密に密封される
。従って、本発明の一実施形態は、複数の静電クランプを備えた静電チャック、
抵抗ヒータ、および、冷却システムとして作用する。
【0024】 本発明の一実施形態によれば、それに基板をこれにクランプし、かつ測定され
る基板のクランプを可能にする静電チャックが装備される。この実施形態におい
て、第1および第2の電極が、静電チャック内に収納され、基板をチャックへク
ランプする。第1と第2の電極の間のキャパシタンスは、基板の効果的なクラン
プを決定するために基板がチャックへ適用された後で測定される。
【0025】 本発明の他の一実施形態によれば、プラズマ処理室内の基板の裏側へのHeガ
スデリバリシステムのための圧力制御を果たすために複数の密封されたプレート
が一緒に用いられる。基板とチャックの間の熱伝導を改良するために、ヘリウム
ガスデリバリシステムは、チャンバ(処理)圧力より非常に大きい圧力(即ち、
一般に30−50Torr)で、基板の裏側にHeガスを供給する。基板をチャ
ックへ電気的にクランプすることにより、室チャンバ圧力よりも実質的に大きい
裏側ガス圧力を使用することを可能にする。基板とチャックの部位はそれらが良
好なガス密封を構成するに充分に平滑である。一実施形態において、基板の伝導
性プロファイルにマッチするように、Heガスデリバリシステムは異なる量のH
eガスを基板の異なる部分へ供給するために領域化される。Heガスデリバリシ
ステムは、上述の静電チャックと組合わせて使用可能である。組合わせられた一
実施形態において、静電チャックは、ガス穴を備え、Heガスデリバリシステム
は、静電チャックの下に配置される。次に、Heガスは、静電チャックの穴を介
してウェハへの伝導を果たす。このガスによる伝導性は、圧力と直接的に変化す
る(例えば、15トルまで)。
【0026】 本発明の他の一実施形態によれば、抵抗ヒータは、ウェハ処理反応装置内の半
導体ウェハを加熱するために装備される。このヒータは1つ又は複数の石英プレ
ートに形成されたヒータチャネル内に配置された電気抵抗発熱エレメントを含む
。この抵抗ヒータの一実施形態は、加熱および冷却に際して発生する発熱エレメ
ントの膨張と収縮を許容するために電源エンドヘッドルームを備えたヒータチャ
ネルを含む。
【0027】 抵抗ヒータ用のハウジングを形成する石英プレートは、複数の接合表面におい
て、好ましくは全ての接合表面において一緒に融着される。そして、抵抗発熱エ
レメントは、気密室を形成するようにその間に取着される。プラズマ処理チャン
バの圧力が低下した時に、抵抗ヒータの内圧が融着点を破損することを防止する
ために十分な個数の融着点が設けられる。抵抗発熱エレメントへ結合される電気
端子は、電流を流すために設けられる。少なくとも1つの実施形態において、ウ
ェハホルダーの外面のまわりを循環する処理ガスの成分および圧力から独立して
、所定の成分および圧力のガスが流入可能であるように、石英プレートの間で形
成された気密チャンバへガスダクトが接続される。
【0028】 前記抵抗発熱エレメントは、例えばKanthal合金または白金などのよう
な材料で形成されることが好ましい。理由は、これらの材料は、空気中で加熱さ
れても損傷しないことに因る。この材料のシートは、溶解され、引き出され、化
学的にエッチングされ、スパッタリングされ、レーザ切断され、ウォータジェッ
トによって切断され、或いは、加熱される発熱エレメントの熱伝達特性をマッチ
ングする抵抗発熱エレメントを形成するように形状が整えられる。代って、前述
材料からなる1つ又は複数の線材が、発熱エレメントとして使用可能である。複
数の石英プレートが、発熱エレメントにマッチする形態を持つように構成される
。一緒に融着されると、このような形態は、導体全体に渡るガスから処理環境を
分離するための密閉したシールを提供する。これらシールは、ヒータの超高温を
信頼性良く許容しなければならない。
【0029】 加熱されるエレメントの熱損失に対応する形状の抵抗発熱エレメントを提供す
るために、3つの開示された技法の少なくとも1つを用いて熱伝達特性が調査さ
れる。第1の技法においては、静電チャック上に置かれつ前以て均一に加熱され
た基板に、LCD紙が適用された時におけるLCD紙の変化が調査される。用紙
の変化の写真は、加熱された基板の熱損失の形状を示す。第2の技法においては
、前以て加熱された基板がチャック上で冷却されるにつれて、その表面を横切っ
てて放出される熱放出の変更を、赤外線スキャナ又は検出器によって測定する。
プラズマが不在である場合に、空間的におよび間欠的に生じる温度を監視するこ
の種検出器は、熱電対を備えた商業的に入手可能なウェハである(例えば、セン
スアレー社(SensArray Corporation)、Model第1
の530A号)。第3の技法においては、チャック上の基板の伝達特性は、加熱
される基板の形状および熱特性および基板を加熱するチャックの形状および熱伝
達特性に基づき、コンピュータによってシミュレートされる。
【0030】 (1)処理前に基板を冷却する、(2)処理中に基板の冷却温度を維持する、
及び、(3)処理後に基板の冷却が完全である状態における1つ又は複数1組の
冷却プレートが、本発明に従って装備される。冷却システムの一実施形態は、基
板の熱損失特性に従って基板を冷却する多重領域冷却システムである。そうしな
ければ、冷却が更に遅れるはずの部位を更に迅速に冷却するために冷媒を使用す
ることにより、基板は更に均一に冷却される。また、処理の後で基板温度を迅速
に低下させることによって、あらゆる温度に基づく反応は処理終結点において更
に効果的に抑止される。
【0031】
【本発明の実施の形態】
次の詳細な記述を参照し、特に添付図面と共に考察すれば、本発明の更に完全
な評価およびそれの付随的利点の多くが当該技術分野における当業者にとって容
易に明白になるはずである。
【0032】 幾つかの図面を通じて同等の参照番号は同じか又は対応する部分を示す図面を
参照すると、図1は、発熱エレメントの積重ね体の好ましい実施形態を示す。積
重ね体は、本発明に従って、組合わされた静電チャック102、He配分システ
ム122、電気抵抗ヒータ132、及び、冷却アセンブリ152として作用する
。図に示された積み重ねられた構成体において、上から下に向かって、3枚の第
1のプレート(100、105、110)が、静電チャック102を構成し、次
の2枚のプレート(120、125)が、Heガス配分システム122を構成し
、次の3枚のプレート(130、135、140)は電気抵抗ヒータ132を構
成し、最後の5枚のプレート(145、150、155、160、165)が、
冷却システム152を構成する。異なる実施形態において、複数のプレートが、
スーパプレートに置き換えられるプレートとして同一機能性を持つ1つ又は複数
の「スーパプレート」を形成するために組合わされる。例えば、静電チャックと
共にHeガス配分システム122が用いられる時には、静電チャック102の再
下位のプレート110は、Heガス配分システムの最上位のプレート120と組
み合わせることができる。同様に、Heガス配分システム122の下側のプレー
ト125は電気抵抗ヒータ132の最上位のプレート130と組合わせ可能であ
る。13枚全てのプレートは、相互の上面上に同心円的に積み重ねられ、電気/
流体導管180に取り付けられたベースプレート170上に配置される。
【0033】 図2に示されるように、電気/流体導管180の一例において、10個の明白
な領域が同心円的に配置される。各領域は、少なくとも1つの電気信号、ガス、
及び、冷媒を様々な層への輸送する。電気/流体導管180の典型的な例におい
て、領域は外部から内部に向かって次に示す順序で配置構成される。即ち、冷媒
出口‐外側領域200、冷媒出口‐内側領域205、冷媒入口‐外側領域210
、冷媒入口‐内側の領域215、加熱プレート‐外側領域220、加熱プレート
‐内側領域225、Heガス外側領域230、Heガス‐内側領域235、静電
チャック電気配線‐外側領域240、静電チャック電気的内側領域245の順で
ある。ただし、ここに示す例は、代表に過ぎず、内側と外側領域の順序および入
口と出口の順序は本発明の趣旨から逸脱することなしに変更可能である。
【0034】 図3は、図62に示された追加の入口および出口と関連して用いられる電気/
流体導管180の第2の例を示す。この例において、静電チャック102の内側
領域245および外側領域240用の電気導管は、電気導管181を形成するた
めに加熱プレート132の内側領域225および外側領域220と組合わされる
。導管180の残りの部分は、内側リング175および外側リング173を含む
。ノッチ176及び178を有する内側リングは、Heガス外側領域230およ
びHeガス内側領域235用の2つの導管を形成する。ノッチ172及び174
を有する外側リング173は、冷媒出口‐内側領域205および冷媒入口‐内側
領域215用導管を形成する。この例を用いて、環状領域と比較すると、各内側
導管は外側導管に取着するので、追加構造上の剛性が達成される。リングを2つ
の部分に分割することによって、各々が異なる目的のために使用可能であり、図
2に示す例と比較して、リングの個数も減少する。内部にリブを設けることによ
り、現場での隣接する導管相互の融着のための、同心導管の適切なアラインメン
ト、冷媒およびガス流に関する分岐の改良を実施可能にする。図3に示されたア
ラインメントには全てのノッチ(172、174、176、178)が示されて
いるが、ノッチが相互に異なる角度を持つようにリング173および175が回
転可能である。同様に、各々の層に関して異なる個数の領域を収容するように、
各リングは更に少ない個数の密封セクションに分割可能である。例えば、Heガ
ス配分システム122において3つのHeガス供給領域が用いられるならば、内
側リング175は2つが示されている代りに3つのセクションを含むはずである
【0035】 図4に示された別の代替実施形態においては、導管180は一緒にまとめられ
た一束のチューブとして実現される。この形態においては、チューブは(1)導
管180全体に亙って一定サイズであるか、又は、(2)容積を増加し、管材料
が 石英プレートの積重ねが更に高くなればチューブは不要になるようにアライ
ンメントし直すことが可能なはずである。例えば、図4の外側リングには6本の
チューブ示されているが、少なくとも1本のチューブはそのペイロード(例えば
冷媒)を適当なプレートに引き渡したので、少なくとも1本のチューブはその次
に高いプレートにおいてはもう必要とされないこともあり得る。従って、外側リ
ングの5本のチューブは導管180まで継続可能である。導管に関する更に他の
実施形態においては、大きい方の導管内に小さい方の導管が含まれることもあり
得る。この種の一実施形態において、図4に類似する構造は円形導管内にそれ自
体が閉じ込められ、図5の構成を形成することがあり得る。
【0036】 図1に示されたプレートの各々は、熱伝導性は持つが電気的には絶縁された層
(例えば石英)によって形成され、接着剤として「フリット」を使用し図2ない
し図5に示された対応する導管構造に接合される。接着に先立って、導管内にワ
イヤを通し、プレート間の各隣接縁において、穴のまわりのプレート上にフリッ
トが置かれる。フリットを製造するには、石英の融解温度を下げるために粉末石
英に不純物が加えられる。次に、接合される部分は、キルンにおいて機械的な圧
力の下で組み立てられ、不純物を含む石英を融解するに充分な温度にするために
空気中で点火される。ドーピングされた石英の周辺部分が融解するにつれて、そ
れらの部分が一緒に熔融し、気密シールを形成する。石英の熔融処理は石英処理
の技術分野における当業者には知られている。図65に関して更に詳細に検討さ
れるように、スリーブ内において接合される場合には、アラインメントが容易に
維持される。このアラインメントスリーブ技法は、アラインメントピンの配列体
によって補われるか、又は、代置可能である。このデザインは、石英および拡散
接合によって実施されるが、例えばアルミナ及びガラスフリット接合剤のような
他の材料からも作ることが出来るはずである。当該技術分野における通常の当業
者であれば、本発明の趣旨から逸脱することなしに他の絶縁および接合材料を使
用可能であることを認識するはずである。
【0037】 全てのプレートを一緒に組み立てることによって、多目的基板ホルダーが作成
される。図1の構造は凝縮された形式において図6に示される。プレートに加え
て、チャック上のウェハを一貫してアラインメントし、かつウェハ190全体に
亙ってエッジプラズマに影響を及ぼすフォーカスリング900が示される。組み
立てられると、フォーカスリング900および図6の基板ホルダーは図7に示さ
れた完成された構造を形成する。
【0038】 異なる実施形態において、1つのセクションを形成する一連のプレートは独立
して形成され、図8に示されたようにカバープレート、一次プレート、及び、ベ
ースプレートを含む。この異なる実施形態によれば、一次プレートは、エレメン
ト(例えば、発熱エレメントおよび容量性エレメント)又はチャネル又はグルー
ブに入れられたキャリヤ(例えば、ガスまたは冷媒)を入れるための一連のチャ
ネル又はグルーブによって形成される。カバー及びベースプレートは、発熱エレ
メント及びキャリヤをチャネル又はグルーブ内に維持するために利用される。こ
の実施形態において、カバープレート、一次プレート、及び、ベースプレートを
使用することにより、各々のセクションは個別の「スタンドアロン(stand
−alone)」成分として利用される。即ち、何れかのセクションが、電気/
流体導管に取着され、処理環境が1つの機能(例えば、(1)冷却並びにクラン
プなしの加熱、または、(2)加熱または冷却なしのクランプ)だけを必要とす
る場合には、フォーカスリングと組合わせることが可能である。
【0039】 一般に、半導体処理における基板のジオメトリは円であり、従って、ここに示
されたチャックジオメトリは図9に示された次の一次諸元を持つ円筒形であろう
。ただし、チャックのジオメトリは、円筒形ジオメトリに限定されない。チャッ
クは、その半径Rおよびその高さHによって示すことが可能である。チャックの
高さは、その設計およびそれら各々の厚さの中に含まれる構成成分の関数となる
であろう。図9はこれらの厚さならびに各々のセクションに関する配置構成の好
ましい順序を示す。チャック上のウェハ(または、基板)の反復的配置を可能に
するフォーカスリング用のスペースを提供するために、チャックの半径Rはウェ
ハの半径rwより大きくなっている。図7は相互に関連する諸元を示す。チャッ
クの半径は、処理される基板のサイズ(例えば、直径8及び12インチ)に従っ
て調整され得る。最後に、領域パターンの半径は基板の半径に実質的に等価であ
る。
【0040】 チャックベースは、チャック構成要素にとって構造上のベースとして役立ち、
マニ穴ドとして作用することもできる。この場合、全ての電気配線、冷媒、及び
、ガスはそれらそれぞれの構成成分としての宛先に配分され得る。
【0041】 図10に示された異なる実施形態において、ウェハホルダーは、図1に示され
たプレートの一部分集合のみを含む。この実施形態において、ウェハホルダーは
、静電チャック及びベースプレート上のHeガス配分システムのみを実現する。
従って、電気/流体導管は、適宜、図2‐4に示された導管の一部分集合のみを
含む。例えばHeガス配分システム122を備えたヒータ132のような他のア
センブリも可能である。この種の構成において、プレート100は、Heガス配
分システム122の一部分となり、プレート120上に密封される。更に、アセ
ンブリの作動順序は達成される最も重要な機能によって指定される。例えば、冷
却が加熱よりも重要である場合には、冷却機能が基板に最も近いことを保証する
ために、冷却アセンブリ152はそのままで、加熱アセンブリ132の作動順序
にスイッチが入れられる。
【0042】 静電チャックセクション 次に、層に関して個別に立ち返ることとし、静電チャック102は、それぞれ
第1の、第2の、第3のプレートとして機能し、かつ図11−13に示されるプ
レート100、105、110を有する。この第1のプレート100は、ウェハ
がチャックから持ち上げられることを可能にする(1)リフトピン貫通孔300
、及び、ガスをウェハ190の背部に当てる(2)ガス配分貫通孔305を有す
る。第1のプレート100上のこれらの貫通孔のアラインメントは、第2の及び
第3のプレート(105、及び、110)における貫通孔300及び305の場
合と同様である。図示されてはいないが、ヘリウムガスを更に配分するように、
プレート100は、ウェハ側にグルーブが冷静されても良い。
【0043】 図12に示されたように、第1および第2の静電グリップエレメント(または
、単に「電極」)がその中に配置される2つの同心チャネル310及び315が
第2のプレート105に形成される。ウェハがウェハホルダー上に所在する時に
は、第1及び第2の静電グリップエレメントは、ウェハ190への静電結合を可
能にする。ウェハに対する静電チャックの保持強度は、ウェハ190がウェハホ
ルダー上に配置された状態で、ウェハと第1及び第2の静電グリップエレメント
との間のキャパシタンスを測定することによって間接的に決定され得る。ウェハ
190が静電チャック102によって正しく保持されていない場合には、ウェハ
190を配置し直すようにオペレータに警告される。その代りに、自動ウェハハ
ンドリングシステムが用いられる場合には、ウェハ190は自動的に配置し直さ
れる。いずれの場合にも、ウェハがきつく保持されることを要求する処理が始動
することを防止するように信号が作用することもあり得る。
【0044】 図13は、第2のプレート105の横断面を示し、プレート105の深さ全体
まではチャネルが延びていないことを示す。これは、ワイヤが導管180内を通
る際に、最上面上の静電グリップエレメントがワイヤから電気的に隔離されるこ
とを可能にする。ワイヤは、図14に示された電気グルーブ320内の第2のプ
レート105の下を通過する。ワイヤは、領域240及び245を用いて導管か
ら上方に通る。容易に分かるように、チャネル310及び315は、平らな最上
面に形成された第2のプレートと共に最上位のプレート100に形成される。
【0045】 図15は、第2の実施形態に従った静電チャックの切断上面図である。5個の
領域が、4個の外側領域によって囲まれる内側領域に分割される。制御を追加す
るために、同心の象限を形成するように内側領域も4個の小域帯に分割可能であ
る。各静電グリップエレメントは、隔離され、それ自体の個別電圧に保持される
ことが可能である。静電チャック領域化は単一極式か又は双極式のいずれかであ
り得る(隣接グリップエレメント間電位の交番記号)。両方の場合に、チャック
への基板(例えば、ウェハ)のクランプには静電力が用いられる。図35及び3
6に示された発熱エレメントを分離するためにエレメントスパイアが石英上でア
ラインメントされるように、静電グリップエレメントは平行線発熱エレメント用
に構成される。換言すれば、合成された構造を上方から見た場合、発熱エレメン
トは静電プレートの下に見える。従って、発熱エレメントは、ウェハを直接見る
ことが可能であり、放射状熱伝達が提供される。更に、静電グリップエレメント
は加熱され、ウェハに向かって放熱し、放熱部位を効果的に増大することがあり
得る。ただし、この効果は小さいものと信じられている。個別静電グリップエレ
メントへのリード線を図15に示す。これらは、Heガス配分プレート用に使用
されるHeガスフィードスルーと合致しても差し支えない。典型的なリフトピン
穴300も図示される。最後に、一次プレートの厚さHは、例えば、約1mmま
たは40ミルであっても差し支えない。
【0046】 更に、図15には5個の領域が示されるが、当該技術分野における通常の業者
にとっては、異なる実施形態において追加領域の形成が可能であることは明白で
あろう。領域は同心であるか、象限を形成するか、同心象限を形成するか、また
は、これらの任意な組合わせであっても差し支えない。図15は好ましい実施形
態を示す。この場合にも同様に、結合される基板が円形でないならば、領域は、
均一結合を可能にするために必要であるように任意に選定されたパターンの1組
のストリップとして形成されても差し支えない。
【0047】 静電グリップエレメントは、石英チャネル内に配置される。石英内にエレメン
トを入れるために非常に厚い石英プレートを使用しても差し支えない。また、発
熱エレメントチャネルの場合のように、静電グリップエレメントのチャネル内の
隙間は、グリップエレメントと石英との間に異なる熱膨張係数を許容するために
必要である(熱供給されると、グリップエレメント及び周囲の石英は周囲温度よ
りも実質的に更に高い温度まで加熱されることがあり得る)。
【0048】 ガス配分セクション ガス配分システム122の一実施形態を図16ないし図20に示す。この種ガ
ス配分システムの一実施形態においては、Heガスが配分される。代りの実施形
態においては、貴ガスであることが好ましい他のガスが配分される。静電チャッ
ク102が使用されない時には、静電チャック102の最上プレートがガス配分
システム122内に下方移動されることを図16に示す。静電チャックが使用さ
れる時には、これらのプレートにおける貫通孔300及び305は静電チャック
102の貫通孔と同心円的にアラインメントされる。同様に、これらプレートの
中心部に位置する穴235(例えば、カウンタボア)はガスをプレート内へ通し
、かつ、更に高い位置のプレートにおける静電グリップエレメントへワイヤが導
通することを可能にするために用いられる。図に示すように、最上プレート10
0には、8本の線として放射状に延びた64個の穴(305A及び305B)が
有る。
【0049】 2つの領域内へ分離されるガス配分システム122を図17ないし図20に示
す。ガスはガス‐内側領域235を経て通過し、リング331によって限定され
るガス配分‐内側領域330全体に亙って広がる。図18に示されたように、内
側領域330はプレート120の深さの約半分である。領域330内におけるガ
スは、リング331によって限定される円形上に位置する32個の内側貫通孔3
05Aの1つを経て押し出される。
【0050】 第2の領域には図19に示されたガス配分外側領域335に充満するガスがガ
ス‐外側領域230によって供給される。図18に示された内側領域330の場
合と同様に、プレート125の深さの半分の外側領域335が図20に示される
。ガス‐外側領域230として作用する導管180の一部分は、第5のプレート
120の下で終結し、ガス‐内側領域235だけが上述の動作を継続する。この
層から更に高い層へ通過するガスは32個の外側貫通孔305Bの1つを経て通
過する。従って、ウェハと2つの放射状領域内のウェハホルダーとの間の圧力場
(および、伝導)を調節するために各領域に関する流量(および、ガスのタイプ
)は修正されることがあり得る。次に、熱伝達係数の放射状分布は伝導性対流熱
束の放射状分布を変えるように変動することもあり得る。
【0051】 多重領域静電チャックに関して上述したように、多重領域Heガス配分システ
ム(および、本発明の他の全てのエレメント)はウェハ又は基板にとって必要な
伝導性にマッチするように適した任意の形状または構成における複数の領域を有
する代替実施形態において実現可能である。図21は第2の実施形態に従ったH
eガス配分システムの切断上面図である。図22はHeガス配分構成要素に関す
る一次プレートを示す。Heガス配分プレートの厚さH2は小さくても、即ち、
合計1mm又は40ミル未満であっても差し支えない。
【0052】 図21及び図23は異なる2つのHeガスシャワーヘッドの設計を示す。この
プレートは、フォーカスリング下のチャックのカバープレートとして役立つ。そ
れは単にガスガスをウェハの背後に供給する目的に役立つ。図21の実施形態は
放射状設計を利用し、図23の実施形態は好ましい平行行設計を示す。図23の
実施形態を用いると、平行線状に配置されたガス穴は静電グリップエレメント間
に織り込まれる。一般に、静電構成要素およびHeガス配分構成要素が用いられ
る場合における(Heガスが用いられるならば、ウェハ背部のガス圧力に対処す
るために静電構成要素または他の機械的なグリップエレメントが使用されなけれ
ばならない)、配置構成を図6に示す。これは、図23に示された穴分布は図1
5に示された対応する静電プレートにおける穴分布に一致する(ただし、穴は図
15には図示されていない)。一次プレート(図15)はこれらの穴を持つよう
に設計されている。従って、穴は隣接する静電スパオア(尖塔部品)の間で平行
に配置される。カバープレートの厚さH2’は非常に小さく、即ち、0.5mm
または20ミル未満であり得る。Heガス配分プレートは、静電プレートとウェ
ハの間の距離が最小であるように、従って、静電結合を最大にするように、静電
プレートの下に配置される。
【0053】 図16ないし図20の実施形態と対照的に、領域の区分はウェハホルダー自体
にとって外部的に達成可能である。この実施形態においては、穴305A及び3
05B5を経て通過するガスはウェハホルダーの頂部から底部まで(即ち、ウェ
ハホルダー全体を通って下方のマニ穴ドまで)延びた個別の入口480及び49
0によって供給される。次に、入口480及び490の底部に結合されたガスラ
インは個別の穴または穴のグループにガスを供給する。これらの入口480及び
490は静電グリップエレメント用の電気配管としても役立てられ得る。
【0054】 各々の穴または穴のグループは個別に制御可能であるので、この方法は伝導性
の微粒子的制御を可能にする。ただし、この方法は、穴305A及び305Bが
発熱エレメントまたは以下に説明する冷却チャネルを妨害しないように、石英プ
レートの準備に充分な注意を払うことを必要とする。
【0055】 抵抗加熱セクション 図24ないし図26に示された抵抗ヒータは全体的に参照番号132によって
示され、中央抵抗発熱エレメントのチャネル340および周囲の周辺抵抗発熱エ
レメントチャネル345を有する。図25に示されたように、中央抵抗発熱エレ
メントチャネル340は周囲の環状配列体またはエレメントによる内側周辺部と
実質的に相応な外側周辺を有する。チャネル340及び345用の抵抗発熱エレ
メントは電気/流体導管180を通過する結線へ接続される。
【0056】 チャネルは第8の番目の石英プレート135の上側表面に切り込まれる(また
は、内部に形成される)。その代わりに、チャネルは第7の番目のプレート13
0の下側表面に形成されることも可能である。石英プレートの厚さは抵抗発熱エ
レメントと低圧力処理エリアとの間の圧力差に抵抗するのに十分な機械的強度を
提供するように選択される。ただし、厚さは(1)プレート130および135
を経て最大の熱伝導を提供する必要性と(2)小さい熱質量および熱慣性である
ことという要求によって平衡が保たれる。この種の熱は表面の温度および料質に
応じて主として輻射と伝導によって伝達される。高度に抵抗性の材料を用いたこ
れらの構成においては、(チャックの直径またはウェハの直径、即ち、5ないし
12インチに応じて)5−25kWヒータを達成することが可能である。小さい
熱質量のヒータを用いることにより、その熱質量が基板の熱質量よりも非常に大
きいヒータを使用するよりも、基板の更に迅速な加熱および冷却が可能である。
静電チャック内の静電ントの場合と同様に、グルーブ355はチャネル340お
よび345内の抵抗発熱エレメントを結合するワイヤがその中に配置される第9
の番目のプレート140に設けられる。
【0057】 多重領域静電チャック及び多重領域ガス配分システムを参照して上述したよう
に、本発明は多重領域ヒータも包含する。図34ないし図36は代わりの領域化
を図25と比較して示す。
【0058】 一般に放射状および方位的の両方向において特質の調整および制御を提供する
ので図36が好ましい。好ましい場合においては、5個の領域が用いられるが、
これ以上に多くても差し支えなく、更に有効な放射状または方位的解像度を得る
ことができる。例えば、一般的に、エッジ損失を補償するために、ウェハエッジ
においては更に効果的な放射状解像度を持つことが望ましい。比較するために、
図25は、調整、及び/又は、制御が軸対称(方位調整なし)であるという追加
拘束条件を加える設計を示す。従って、領域はリング(実例として2つの同心リ
ング)によって構成される。再度注記すれば、放射方向において更に大きい解像
度を提供するために個数を増加しても差し支えない。勿論、本発明は記述の設計
の領域型取りに拘束されない。実際、型取りは、処理された基板における全体の
非均一性補償に関して表面面積の幾分複雑な公式化であり得る。この種の例を図
34に示す。
【0059】 図28に示された代わりの実施形態においては、図25のチャネル340及び
345はウェハホルダーの表面面積の約80%を覆うチャネル340’及び34
5’によって代置される。これらのチャネルの拡大図を図30に示す。他の代替
案では2つのプレートを用いてグルーブを作成する。一方のプレートはグルーブ
の底部を構成し、他方のプレートは発熱エレメント間のスペーサにするために完
全に切り通される。2つのプレートを接合することにより、図31に示されたよ
うな同じ横断面が得られる。これらのチャネルは図25のチャネルよりも遥かに
大きい。発熱エレメントの表面面積の設計は発熱エレメント輻射熱の隣接石英プ
レートおよびシリコンウェハへの伝達を最大限化するように指示される。この実
施形態においては、チャネル深さ対チャネル間距離が調整されなければならない
。図31に示されたように、本発明の一実施形態において、各石英フィンガ36
1の幅は、少なくとも、その中にチャネルが形成された後における残りの石英の
厚さと同じでなくてはならない。これは、石英の膨張に起因する内部負荷に耐え
るために充分な引張強さを提供する。ヒータの両実施形態において、ウェハを加
熱するための熱移動メカニズムには、(1)発熱エレメントとウェハ間のアセン
ブリ全体を介した伝導性、(2)発熱エレメントとウェハ間の放射交換、及び、
(3)石英とウェハ間の放射交換によって後続される隣接発熱エレメントと石英
間の伝導性が含まれる。追加的熱移動メカニズムは存在するかもしれないが、上
記3つの方法が最も重要である。更に、領域の個数およびそれらの空間的分布は
本発明の趣旨から逸脱することなしに変更可能である。
【0060】 好ましい実施形態において、抵抗発熱エレメントは蛇行形である。ただし、代
りの実施形態においては、例えば、丸められた縁と対照的な方形縁を持ったV形
およびU形などの非蛇行形が用いられる。
【0061】 図28に示されたように、チャネル340’及び345’はそれの隣接する後
行および先行セグメントに全体的に平行に延びる。例えば、セグメント340A
は隣接セグメント340Bに全体的に平行に延びる。
【0062】 一般に、本発明の加熱セクションは、基板ホルダーの他の任意のセクションと
同様に図32に示されたように5領域構成として、又は、図33に示されたよう
に1組の同心領域として実現可能である。図32の内側領域は象限または内側領
域付き象限に更に分割される。すなわち、図32の5領域(A1−A5)は更に
大きい内側領域A1に組み込み可能である。従って、形状は最小内側領域まで反
復的に定義され得る。即ち、完全に制御されるか、又は、個別内側領域なしの象
限別に制御される。放射方向における象限の幅はそれぞれ同じ寸法である必要が
ない。
【0063】 図33の同心領域に関しては、各々の領域は同じ寸法である必要がない。半径
rが増大するにつれて、中心の近くに比較して縁に近い領域が小さくなるか又は
大きくなることによって、半径方向方向における各領域の幅は変化可能である。
それぞれ4つの象限に分割された等しい個数のリングを用いて図32が実現され
た場合において、この構成は図32の対応する設計と比較して個別制御の程度が
更に小さい。
【0064】 3つの追加設計を図34ないし図36に示す。これらの実施形態の各々におい
て、発熱エレメントは対応する象限の縁に接続される。発熱エレメントの位置を
その上の静電グリップエレメントによって阻止されないように配列することによ
って放射熱は更に効率的に処理中の基板に結合される。加熱セクションのレイア
ウトには、半径方向のみならず加熱セクションの平面内においてもレイアウト及
び材料に関する配慮が含まれる。発熱エレメントのタイプ及びその対応するチャ
ネルも結果的な熱伝達に影響することを図37−45に示す。可能な発熱エレメ
ントを次に示す:即ち、(1)実質的な正方形チャネル内のワイヤ(図37)、
(2)実質的な円形チャネル内のワイヤ(図40)、(3)実質的な矩形チャネ
ル内の矩形ストリップ(図38)、(4)半円形チャネル内の矩形ストリップ、
又は、(5)比較的大きい曲率半径によって丸められた角を備えた実質的な矩形
チャネル内の矩形ストリップである。代りの実施形態(図示せず)において、ス
トリップは実質的に正方形であり、相応寸法の実質的に正方形チャネル内に配置
される。
【0065】 図39は発熱エレメントを収容するように一次プレートに機 械加工されるチ
ャネルの諸元に関する控え目な設計判定基準を示す。図に示されたチャネルの深
さ及び幅はdであり、概略dの直径を持つ発熱エレメントに対して十分な余裕隙
間を有する。更に、発熱エレメント間の間隔、カバープレートの厚さ、及び、一
次プレートの残っている厚さはdでなくてはならない(従って、一次プレートの
厚さは2dである)。図40に示す底を丸くした方法を用いることにより、応力
集中は方形チャネルの角において通常発生可能な程度に最小限化される。一次プ
レートを構成する材料内の応力は迅速な加熱によって発生する。ここに、応力は
異なる材料(即ち、石英およびKanthal)の異なる熱膨張率および単一材
料内に存在する温度勾配と関連した異なる膨張率によって発生される。例えば、
例えば、最上面が底部よりも暖かい様な石英製一次プレートの厚さを横断する温
度勾配は底部表面よりも最上面表面の膨張の方を大きくし、(結果的な内部引張
りと圧縮の下で)プレートを曲げる結果になる。
【0066】 図41および図42は、それらの長さに沿って間隔を保つ「タブ」を有するワ
イヤおよびストリップ発熱エレメントをそれぞれ示す。「タブ」は、発熱エレメ
ントと発熱エレメントを収容する石英(または、他の材料)との間の接触面積を
簡単に最小限化する。発熱エレメントの熱膨張係数は石英のそれより大きいので
(係数約20だけ)、発熱エレメントは移動し易い傾向がある。タブは発熱エレ
メントが所定の場所に留まるまるように支援できる。この場合、図46に示され
たように、収容するチャネル内の曲がった部分は発熱エレメントの熱成長を許容
することができる。図46は発熱エレメントにおける180度の曲がりを表す。
チャネルの通常厚さが1mmであるならば、発熱エレメントの成長を許容するた
めに曲がった部分内の厚さは1.5ないし2mmである。例えば、室温よりも1
000度高い温度に加熱された長さ1mの発熱エレメントは、約1cm成長する
ものと予測される(この距離は曲がった部分全体に均等に配分されるはずである
)。
【0067】 加熱されると発熱エレメントが動きまわり、従って、石英の入れ物との接触に
よって発熱エレメントにおけるあらゆる応力を最小限化するように、発熱エレメ
ント用チャネルを非常に大きくすることが可能である。更に、機械加工する体積
が大きくなれば、複雑な機械加工の必要性は減少する。ただし、幾らかの欠点が
ある。チャネルが上述した寸法よりも単純に更に大きく作成されるならば、発熱
エレメントの正面表面面積が犠牲にされ兼ねない。第2に、中空容積が用いられ
た場合には、発熱エレメントの動きによって発熱エレメントが短絡され兼ねない
【0068】 図45は加熱構成要素のカバープレート及び一次プレートに関する現実寸法の
一例を示す。全ての主要寸法は約1mm(即ち、40mil)である。従って、
図9に示されたように、多重構成要素チャックにおけるこの発熱エレメントの使
用は2mm(即ち、80mil)の厚さHに帰着する。図47は3つの領域(詳
細には、中央領域と2つの外側領域)の交差を示し、個別領域における発熱エレ
メントの間隔に関して幾らかの寸法を提供する。これらが控え目な寸法であるこ
とに留意されたい。これらの寸法は、プレートの熱応答を改良し、構造上の完全
性を維持するために縮小可能である。
【0069】 図48、は一次プレート及びカバープレートを含む加熱構成要素の部分横断面
を示す。詳細には、発熱エレメントへのリード線が加熱構成要素(一次プレート
)から下方の構造体へ通過する点の拡大図を示す。抵抗発熱エレメントを囲む間
隙において、高圧空気(酸素)は強制されるか又は強制されない。高圧力は大気
圧(真空圧力に比較すると相対的に高い)を簡単に平均化できる。発熱エレメン
トを取り巻く環状部分を空気(または酸素)が通過すると2つの目的達成に役立
つ。第1に、Kanthal表面に隣接して酸素が所在することによってアルミ
ニウムの酸化を引き起こさせることが可能であり、それによって、アルミニウム
は鉄、アルミニウム、クロム、炭素、及び、コバルトを含むKanthal合金
の表面に拡散する。結果として得られる酸化アルミニウムの層は発熱エレメント
を保護し、その寿命を延ばすことができる。その上、酸化アルミニウム層は、関
心の対象とされるIRスペクトルにおける放出特質が良好である。第2に、空気
が強制されて環状部分を通過する場合には、発熱エレメントへの電源供給遮断に
際して発熱エレメントを迅速に冷却する追加手段としてこの空気を利用できる。
強制的な対流を用いると、発熱エレメント内の残留熱を除去することが可能であ
り、これによって、冷却システムの全レスポンスを改良できる。各領域用の発熱
エレメントチャネルは電気的および流体機械的にそれ自体の個別の閉ループであ
る。従って、電力、及び/又は、空気流量は領域によって変化可能であり得る。
【0070】 整形された抵抗発熱エレメントは幾つかの異なる方法によって形成可能である
。所要の発熱エレメントパターンを裁断するにはレーザ及びウォータジェットは
良い方法である。結果的に所要の蛇行形構造体となるように、電気抵抗材料のシ
ートが蛇行形に型どられ、(例えば、化学的に、又は、レーザまたは水によって
)エッチングされることが好ましい。好ましい材料は使用(オペレーション)の
所要温度範囲、その下で発熱エレメントが動作しなければならない条件、及び、
加熱される基板のシート寸法と厚さに依存する。白金は非酸化環境において使用
可能であり、ニクロムは酸化環境で使用できる。ニッケルは低温度環境において
有用であり、コスト禁止的でなければ、めっきしたモリブデンも同様に効果的で
ある。幾つかのKanthal合金が利用可能であるが、好ましいKantha
l合金はアルミニウム、クロム、コバルト、鉄によって構成される。この種の材
料は、酸化アルミニウムの保護層がその表面全体に亙って維持されるならば、高
温に対して抵抗性を持つ。この種の保護酸化物層の形成および保存を容易にする
ために、第7のプレート130と第8のプレート135との間に形成されたチャ
ンバ内に、ウェハホルダーを取り囲むガスの圧力および成分に無関係に酸素を導
入するためにはガスダクトが用いられる。
【0071】 第1および第2のチャネル(340’及び345’)内の発熱エレメントを囲
むためのガスが異なる場合、又は、2つの発熱エレメント用に2つの異なる流量
が必要な場合には、この機能性を可能にするために2つのガスダクトが装備され
る。次に、ガスは対応する領域の特性に基づいて個別に供給される。第7のプレ
ート130の下側にリング343を熔融し、各リングにガスダクトを装備するこ
とによって2つの領域が分離される。本発明の更に別の実施形態(図示せず)に
よれば、第7のプレート130が円形かつ同心リングに分割される。円の外側縁
はリング343の内側縁に熔着され、同心リングは(1)内部縁においてリング
343の外側に、また、(2)外部縁においてプレート135の内側に熔着され
る。従って、円形かつ同心リングは垂直の代わりに横に接合される。
【0072】 冷却セクション 本発明は、ウェハの加熱に加えて、冷却システム152を使用してウェハの迅
速な冷却も可能にする。プレート145、150、155、160、165は、
それぞれ冷媒入口215および210を介して内部領域および外部領域へ冷媒を
配分する冷却システムに組合わされる。内側領域用冷媒は入口215から冷媒チ
ャネル360を介して対応する出口205へ移動される。同様に、外側領域用冷
媒は入口210から冷媒チャネル370を介して対応する出口200へ移動され
る。この実施形態においては、入口及び出口冷媒導管はウェハホルダーの中心部
に同心円配置された。
【0073】 代りの実施形態において、プレートプレート150、155、160、165
は図59および図60に示される2つのプレート162および167によって交
換可能である。図59に示されたように、内側入口215からの冷媒は内側領域
冷却チャネル360を介して内側領域出口リング380へ通過する。次に、冷媒
は穴214を経て出口領域205へ放出される(図62に示す)。図40及び図
41に示されたように、導管180の部分ではない外側領域入口430および外
側領域出口420を用いて、外側領域用冷媒は入口430(図62)を介して到
着し、穴211(図61)を介して上向きに通過する。次に、冷媒はチャネル2
13を経て通過し、穴212を介して後方に強制される。次に、冷媒はリング4
40内に下方に収集され(図62)、出口420を介して出る。この構成を用い
て、内側および外側冷却領域は更に均一な冷却効率を得るために同一平面内に配
置される。プレート400及び410は当該発熱エレメント放射スペクトルに対
する反射材料を有する熱絶縁材である。これらの材料は導管180内にの熱伝を
防止することを支援する。図62に示されたように、外側領域用の冷媒入口43
0及び出口420は冷却アセンブリ152の下で冷却マニ穴ドに直接結合され、
冷媒は導管180を通過しない。
【0074】 各領域における冷却作用は、冷媒のタイプ及び冷媒の流量を独立して変えるこ
とにより別々に調節可能である。冷媒流量は熱伝達係数に直接関係する。ただし
、一方において冷媒流量を変えると、冷却配管における圧力降下も変えることに
なる。従って、冷却を強化しようとすれば、流量を増加させることはできるが、
更に大きい圧力降下に悩まされ兼ねない。内部乱流において、熱伝達係数は熱伝
導率、密度、比熱(一定の圧力)、速度、粘性、及び(軽度に)フローダクトの
油圧直径に依存する。冷媒が決定されると、速度と油圧直径だけが残される(こ
の場合、速度は殆ど1対1の直線関係であるので、速度が最も効果的である)。
第2に、配管による圧力降下は密度、摩擦係数(レイノルズ数の関数)、導管の
長さ、油圧直径、および、速度(実際には、速度の二乗に比例する)に依存する
。現行システムは、妥当な圧力降下(△p〜20Psi)において、良好な冷却
レート(h〜3600 W/m2−K)が得られるように設計されている。その
上、この設計は、配管の長さに沿った加熱(即ち、Q=mc△T)と関連した
△Tを最小限化するたにダクトの長さを短くしている。
【0075】 本冷却セクションの第2の実施形態を図63及び図64に示す。図63は多重
領域冷却構成要素用一次プレートを示す。外側領域に関しては、図に示すように
、冷媒流体は入口穴から出口穴まで半径方向に流れる。同様に、冷媒流体は中央
環状導管から内側領域放射状スピンドルに供給される。冷媒入口および出口はチ
ャックベース内の該当する配分および受理チャネルに接続される。特定領域用の
流れ経路は冷媒リザーバ、熱交換器、及び、冷却ダクトを介して冷媒を循環させ
るために用いられるポンプと外部接続される閉ループである。この方法によれば
、冷媒温度、冷媒タイプ、及び、流量は所要の空間冷却特性を得るために領域に
よって異なることがあり得る。同じく図63は、加熱構成要素までの電気リード
線用フィードスルー穴、Heガス配分プレート及び静電プレートまでのガス/電
気導管、及び、リフトピン穴300を示す。
【0076】 図64は、図63に文字Aによって指示される外側領域放射状スピンドルの横
断面を示す。それぞれの冷媒入口及び出口が示される。この場合、入口における
チャネルの深さはhであり、出口におけるチャネルの深さはh’である。半径方
向に外側に向かって移動するにつれて放射状スピンドルの幅が増大するので(図
63)、同一チャネル断面面積を維持するために、チャネルの深さはhからh’
まで減少する。質量保存則により、一定面積は一定流速度を維持する。深さが一
定に維持されるならば、面積増加は流速の減少に帰着し、ひいては、熱伝達係数
を減少させるはずである。ただし、一定流速を維持するように深さを減少するこ
とにより、出口におけるチャネルの流体に接触する周囲が僅かに減少するという
極く僅かな罰が課せられるに過ぎない。これは、流速低下と関連した熱束の著し
い減少と対照的である。この現象は、半径方向セクション△rに関する熱伝達率
の関係、すなわちQ=hA△Tを検査することによって観察できる(ここに、h
は熱伝達係数、Aは流体直接接触表面積、△Tは流体と材料表面間の温度差であ
る)。流体直接接触表面積は流体直接接触周囲に単に△rを乗算するだけで求め
られる。次に、一定横断面面積の場合と横断面面積が係数2だけ増大した場合と
を比較することとする。後者の場合、流速は係数2だけ減少し、熱伝達係数は係
数2だけ減少し、流体直接接触周囲は約20%だけ増加する。従って、所与のA
r及びATに関して、熱束は係数2だけ減少し、半径方向セクションに関する熱
伝達率はほぼ係数2だけ減少する。好ましくは、冷媒流チャネルは全体を通じて
ほぼ一定横断面面積が維持されることが好ましい。例えば、入口の横断面面積の
和、冷却チャネル用横断面図面積の和、及び、出口の横断面面積の和は概略同等
である。これは、全体に亙って一定、ほぼ均一な流れを可能にする。
【0077】 この場合における第2の順位の効果は、同じく熱伝達係数を増加させる(極く
僅かに)ような半径の油圧直径が僅かに減少することである。これら2つの効果
は半径と共に一定熱束の達成を支援するために必要である(熱伝達係数の増加が
冷媒温度の増加と平衡可能である)。
【0078】 代りの実施形態においては、半径の増加と共にチャネルの横断面面積が減少す
る。これは半径方向に外に向かって移動するにつれて熱束の増加を生じる(一定
面積の場合と相対的)。熱伝達係数は流速増加に起因して増大する。例えば、係
数2だけ面積が減少すること(即ち、深さhを係数2からh’=h12まで減少
すること)は、出口における熱伝達係数のほぼ2倍増加を生じることがあり得る
。ただし、これは抵抗の増加と共に圧力降下が増加することを意味する。冷却チ
ャネルは様々な冷却効果(特に半径方向における変動)を生成するように設計可
能である。
【0079】 最後に、冷却構成要素用一次プレートの厚さHは一般的に他の構成要素より
も大きいはずである。冷却チャネルは厚さが4mmで、幅が1cmであり得る。
これは1cmの一次プレートに関して控え目な厚さHであることを意味する。
【0080】 熱伝達分析 特定の形状および構成の抵抗発熱エレメントおよび冷却セクションについて記
述したが、ヒータセクション及び冷却セクションの実際の形状は加熱または冷却
される基板の熱特性にマッチするように決定される。本発明の好ましい第1の実
施形態によれば、処理される形状と厚さの基板はオーブン内において基板がチャ
ック上で加熱される使用温度まで一様に加熱される。次に、加熱されたウェハは
チャック上に置かれ、LCD紙の色は温度と共に変化するので、1つの液晶ディ
スプレイ(LCD)紙が加熱されたウェハへ添付される。LCD紙が冷却するに
つれてその写真が撮影され、このようにして、温度変化を観察/記録するために
LCD紙の色が観察/記録される。冷却処理の写真は基板にどのように熱が供給
されることが必要かの逆を示す。すなわち、急速に熱が失われる部位においては
、基板の残りの部分と比較して基板を均一な温度に保つために余分の熱が供給さ
れる必要があるはずである。従って、発熱エレメントは、当該基板の急速に冷却
される部位の下に追加コイルが置かれるように形成される。
【0081】 熱分析方法の第2の実施形態において、基板が冷却するにつれて当該基板の温
度変更を検出するために赤外線検出器を用いて同じ熱分析が実施可能である。基
板が冷却するにつれて基板から熱がどのように散逸されるかを示すために、サン
プリングされた熱値がコンピュータにディスプレイされる。この方法は、実際の
基板が用いられるので、更に正確な熱伝導および放射を有する基板を測定すると
いう利点をもつ。更に、この基板および測定は実際の処理において予測されるよ
りも更に高い温度において操作可能である。第3に、この方法は、当該処理の実
際のガス環境において操作可能である。
【0082】 熱分析方法の第3の実施形態においては、基板および当該基板がその上で加熱
されるチャックのコンピュータ化されたモデルに基づいて熱伝達特性をシミュレ
ートするためにコンピュータが利用される。コンピュータシミュレーションにお
いては、基板の厚さ、石英の厚さ、及び、処理チャンバの予測された周囲温度が
要因となり得る。最良の方法は、コンピュータシミュレーションを赤外線の測定
結果と比較し、真に予測可能になるまでコンピュータシミュレーションにおける
エラーを改良することである。
【0083】 製造上の考慮事項 チャック構成要素(および、究極的にはチャック自体)の製造に関しては2つ
の主要工程がある。これらの2つの構成工程は(i)個別プレートの機械加工、
及び、(ii)隣接プレートの接着(または、一緒に熔融すること)である。好
ましい実施形態においては、石英を用いたチャックの作成について記述されてい
る(勿論、このチャックを或る特定のエッチング環境において用いようとする場
合には、異なる材料が必要とされるはずである)。その中で石英チャックを使用
可能な環境はアッシュチャンバ又はストリップチャンバ内環境であり、この場合
の処理は基板からフォトレジストが除去(または、ストリップ)される処理であ
る。
【0084】 機械加工工程は幾つかの小工程で構成される。この工程には、グルーブまたは
チャネルの裁断、穴あけ、又は、プレートの全体的な形を単に裁断することが含
まれる。プレートの形状裁断に際して、穴、グルーブ、又は、チャネルの機械加
工は次に示す方法の1つ又は組み合わせによって実施可能である:(i)水また
はレーザ切断、(ii)ダイヤモンド研削ビットの使用、(iii)化学的エッ
チング。例えば、パターンをマスクし(フォトレジストを使用)、チャネルをエ
ッチングすることが可能である。図65に示されたように、プレートを一緒に熔
融する工程を実施できる。図65はその中で熱入力Qが供給されるオーブンを示
す。一緒に熔融されるプレートは隣接プレートをアラインメントするために用い
られる円筒形アラインメントリングを有するオーブンサポート上に設定される。
加熱プレートを製造する場合には、一次プレートが先ず設定され、発熱エレメン
トが挿入され、熔融しようとする表面へフリットが塗布され、その上にカバープ
レート(または、隣接プレート)が置かれる。次に、図65に示されたように、
これら2つのプレートは上側表面全体に亙って均一な力が配分される。勿論、幾
つかのプレートを一度に溶解しても差し支えない。実際には、チャック全体を一
度に製造できる。隣接プレートを接着するために使用されるフリットは主として
接着剤内で(供給し易くするために)混合される石英の微細粉末で構成される。
オーブンは1050℃の温度まで加熱され、この温度で約1時間に亙ってソーク
されてから、冷却される。隣接プレートの溶解に際して、これらのプレートは取
り外され、漏洩完全性についてテストされる。製造の実例となる方法を図69な
いし図71(B)に示す。
【0085】 静電チャック又は発熱エレメントとして役立てられる電極は、型どられたデポ
ジション(堆積)、又は、ブランケットデポジションおよび型取りされたバックエ
ッチングによって製作され得る。更に、石英片は、石英上のドーピングされた石
英のブランケットデポジション(CVD)上にフォトレジストを型どりされたデ
ポジションにしてから、エッチングする方法、又は、石英上のドーピングされた
石英のブランケットデポジション(CVD)の後で型取りされたバックエッチン
グする方法のいずれかによって製作され得る。いずれかの手順を用いて、発熱エ
レメントチャネル又はガスフィードスルー等々を作成可能である。この種構成要
素を作成するためにエッチングおよびデポジション技法を使用する利点は微小ロ
部品(即ち、チャネル)、発熱エレメント、及び、非常に薄い構成要素成分(即
ち、ヒータ用プレート)を作成可能なことである。そうすることにより、ウェハ
の熱慣性に近い熱慣性を持つヒータプレートを作成可能である。図70(B)、
図71はこの種製作技法の説明図を提供する。図70(B)は薄い石英プレート
の上に設定された発熱エレメントを作成する2つの代替案を示す。図71(A)
は接着表面における石英およびドーピングした石英内のチャネルのプレート相対
物を作成する一方法を示す。最後に、図71(B)は2つの部分を熔解接着する
最終工程を呈示する。部品は材料のエッチング及びデポジションによって丸くさ
れた表面を代替案として持つことが可能である。要約すれば、この種技法を用い
るとサブミリメートル(または、更に小さい)部品を作ることを可能にする。
【0086】 熱伝達 図66はプラズマ処理装置の処理環境内における主要熱伝達経路を示す。この
場合、本装置は基板またはウェハからフォトレジストをストリップするために用
いられる誘導的に結合されたプラズマチャンバである。処理チャンバに対して流
入および流出するガス流がある。本チャンバはらせん形コイル及び静電シールド
で囲まれる。プラズマはRF電力をチャンバに誘導的に結合することによって生
成される。フォーカスリング、加熱構成要素、冷却構成要素、及び、チャックベ
ースが誇張された比率で図示されるようにチャックが示される。図に示されたよ
うに、ウェハはチャックの上に配置される。底部から始まって、チャックベース
は加熱および冷却構成要素に電気ライン、冷媒ループ、強制空気ループ(発熱エ
レメント用)等を提供する。
【0087】 冷却構成要素はチャックベース上に配置される。冷媒流は冷却構成要素に対し
て流入および流出する。冷媒が流れると、冷媒は冷却プレートを冷却し、次に、
前述の構造体を冷却する。冷媒と冷却プレートとの間の熱伝達は強制対流を介し
て実施される(導伝対流性熱伝達)。冷媒を介してチャックから対流する熱は熱
交換器内の冷水源と交換される。冷却構成要素とチャックベースとの間には絶縁
体(残りのチャック構造体の熱伝導率よりも遥かに低い熱伝導率を持ち、即ち、
絶縁材または密封された低圧ガス環境であり、この場合、2つのチャック構成要
素の間の熱流は熱伝導率の低い外側リングに限定される)および冗長なIR反射
材料が介在する。絶縁体はチャックベースから伝導(システムが冷却モードであ
るとき重要である)を介して冷却構成要素内へ流入する熱流を最小限化する。第
2に、IR反射材料はチャックベースへのあらゆる熱放射を反射する。
【0088】 加熱構成要素は冷却構成要素の上に配置される。再び、絶縁体およびIR反射
材料が構成要素間に配置される。絶縁体は2つの目的に役立つ:即ち、(1)加
熱構成要素と冷却構成要素との間のインタフェースを横断する大きい△Tを生成
する(チャネル表面において冷媒が沸騰することなしに効果的な冷却作用を提供
する)、及び、(2)加熱構成要素の熱応答を改良する。即ち、この場合には冷
媒が流れていないときであってさえも大きいヒートシンクである冷却プレートに
対して多量の熱を失うことがないので加熱プレートの熱慣性は減少する。絶縁体
が冷却プレートの実用性を妨げることが悪影響を及ぼす。従って、この層に関し
て最適設計がある。また、冷却期間中における発熱エレメント上の強制された空
気は冷却レスポンスを改良するはずである。加熱構成要素と冷却構成要素との間
で伝導性を介して熱が交換される。加熱構成要素は2つのモードの熱伝達を介し
てウェハを加熱する。それらは伝導熱伝達および放射熱伝達である。発熱エレメ
ント温度が低い(例えば、300℃)時には、伝導熱伝達が優勢である。この温
度以上においては、放射熱伝達が更に大きい役割を果たす。詳細には、Kant
halエレメントを1000℃まで急速に加熱することは熱放射によってウェハ
を迅速に加熱することを可能にする。
【0089】 既に述べたように、ウェハはチャック上に配置される。チャックとウェハ間の
伝導は2つの表面の間の接触によって制限される。ギャップ間の熱伝達は静電グ
リップエレメントを使用し、ウェハの背後へガスを供給することによって改良さ
れる。ウェハもプラズマによって加熱される。表面にイオンが衝撃を与える際に
エネルギーがウェハ表面に引き渡される。更に、ウェハはウェハ自体とチャンバ
壁体との間で放射的に熱を交換することができる。
【0090】 改造 上述したように、加熱プレートと冷却プレートとの間に加熱/冷却システムの
熱レスポンスに影響する断熱層を配置できる。この構成に対する代りの案は加熱
プレートと冷却プレートとの間に可変熱コンダクタンスを持つシステムを使用す
ることである。一般に、ほぼ一次元の伝導性熱流に関しては、構成要素間の伝導
性熱伝達は熱伝達係数、表面接触面積、及び、温度差、即ち、Q=hA△Tの関
数である。その点において、熱伝達係数hは2つの表面の間の接触品質に依存す
る。比較的高い圧力においては、熱伝達係数はガスの伝導率に正比例し、ガス層
の厚さに逆比例するが、比較的低い圧力においてこれは更に複雑になることは真
である。特筆すべきことは、低圧力ガスの層が2つの表面の間の至る所に存在す
る(すなわち、2つの表面の間のあらゆる点において接触がない)ように2つの
表面を簡単に分離することによって2つの表面の間の熱伝導率を著しく低下させ
ることが可能なことである。従って、一実施形態においては、2つの構成要素の
2つの対向表面間の熱伝達を最適制御するために可変間隔方式が用いられる。即
ち、(接触点においてさえも)間隔を減少させることによって伝導性熱伝達を増
大させるか、又は、間隔を増大することによって伝導性熱伝達を減少させる。
【0091】 図68は加熱プレートが冷却プレートの上方に幾らかの(可変)距離だけ引き
上げられる設計の概略説明図である。ウェハは加熱プレート上に設定される。加
熱プレートは冷却プレート内に延びた幾つか(例えば、3個)の石英ロッドに熔
着されても差し支えない。この場合、引き上げ機構はロッドの上方および下方運
動を作動化する。引き上げロッド(または、石英ロッド)は電気、及び/又は、
流体導管としても役立てることが可能である。チャンバの真空完全性を維持する
ために必要な場合には真空シールが用いられる。更に、冷却プレートは引き上げ
機構を収容可能であるように幾分大き目である。ただし、ヒータは完全に隔離さ
れた状態に維持される。冷却プレートは実際に熱伝導率を高くするためにアルミ
ニウム製であり、かつ特定のプロセスを可能にする材料、例えば石英を用いて被
覆しても差し支えない。冷媒は事前に規定された温度に保つために冷却プレート
を通って継続的に流れていても差し支えない。加熱処理に際して、熱レスポンス
の高いウェハ加熱を実施するためにヒータ(およびウェハ)を上方へ引き上げて
も差し支えない。冷却処理に関しては、発熱エレメントへの電力は遮断され、遮
断期間中は、外部環境との放射性交換によってヒータおよびウェハを急速に冷却
することができる。300ないし500℃の温度に到達すると、ヒータは冷却プ
レートに向かって降下される。ヒータが接近するにつれて、冷却プレートへの伝
導性熱伝達が増大し、従って、ヒータプレートは更に冷却される。これを近接冷
却と称する。最終的に、冷却プレートへの伝導性熱伝達を最大にするためにヒー
タは冷却プレート上に設定される。ヒータを引き上げ及び引き下げる(ならびに
、発熱エレメントへ電力を供給する)手順は加熱/冷却システムの熱レスポンス
を最大限化し、同時に、あらゆる構成要素に熱衝撃(故障への糸口となり得る)
を与えることのないように加熱および冷却プレートの安全使用を維持するように
設計されている。1つの層のこの種の上げ下げは移動させられる層の下に少なく
とも一種類のガスを注入および除去するこによっても実施可能である。
【0092】 上述したように、抵抗発熱エレメントはアルミニウム、コバルト、クロム、鉄
で構成される1枚のKanthal合金から形成されることが好ましい。モリブ
デン合金から形成される他のKanthal合金も使用可能である。タングステ
ン合金、白金、および、炭化ケイ素を含むKanthal合金以外の材料も抵抗
発熱エレメントを形成するために使用可能である。モリブデンを使用する場合に
は、化学エッチングが好ましい。
【0093】 当該技術分野におけるこれらの当業者は半導体ウェハ反応装置内で使用するた
めに用いられる改良された多重領域抵抗ヒータについて記述されていることをこ
こで理解するはずである。記述済みの抵抗ヒータは抵抗発熱エレメントを処理ガ
ス雰囲気から隔離し、それによって、高温度におけるそれらの劣化、又は、処理
用ガスの性質、及び/又は、圧力に関係なしに理想的な抵抗ヒータ材料の選択を
可能にするために役立つ。また、抵抗ヒータは、基板が迅速に加熱および冷却さ
れるように、加熱される基板の熱質量の極く僅かな倍数の熱質量を持つ。反応装
置の壁体から分離されている構造体として図示されているが、この種の抵抗ヒー
タは反応装置の壁体内に随意に組み込まれることが可能である。
【0094】 或る特定の材料(例えば、Kanthal)を発熱エレメントとして使用する
場合には、チャネルを経て空気を強制供給することも可能である。発熱エレメン
トを取り囲むチャネルを経て空気を通過させる追加的な機能は発熱エレメントの
強制された対流による冷却である。例えば、ウェハを冷却しようとする場合には
、発熱エレメントへの電力が遮断される。その後で、発熱エレメントは周囲構造
体に対して放射的および伝導的に冷える。チャネルを経た空気の通過は発熱エレ
メントの冷却を強化し、ヒータプレートにおける残留熱を除去する時間を短縮す
ることができる。
【0095】 処理用真空環境から隔離された容積全体を包含するように石英プレートが作成
されることは必要でない。発熱エレメントがKanthalから作成された時に
、発熱エレメントは大気状態(酸素環境を伴った)にあることが望ましいが、本
発明はその条件に限定されない。石英を拡散接合する時に良好な密封が達成され
ていない場合には、例えばモリブデンのような他の材料を発熱エレメントとして
使用可能である。従って、発熱エレメントを囲む容積をポンピングして分離する
ことにより、発熱エレメントを囲む環境が不活性雰囲気または真空のいずれかに
露出されても差し支えない。当該容積へのアクセスは、Kanthalを包含す
るときにチャネルを介して空気を強制供給することと同様である。
【0096】 採用する処理に応じて、アルミナチャックまたは石英チャックのどちらかを使
用することが望ましい。アルミナプレートは石英プレートの作成と同様の仕方に
おいて、研磨、及び/又は、エッチング等々を介して作成可能である。プレート
は石英の熔融と同様の仕方においてアルミナフリットを使用して一緒に熔融する
ことが可能である。アルミナは同様の伝達特質を有する。
【0097】 更に他の実施形態において、導管(図に示されたようにウェハホルダーの中心
を貫いて現れている)は積重ね体のあらゆる部分における層の間の導管によって
置き換えられる。導管が各層の側部の縁に到達するようにウェハホルダーの外部
の縁に導管が形成されても差し支えない。更に、縁接続部および中央接続部を備
えた混成設計は内側および外側領域に関する接続部を物理的に分離するために用
いることが可能である。
【0098】 本発明は好ましい実施形態を参照して記述されたが、本記述は説明のみを目的
とするものであり、本発明の範囲を限定するものと解釈されてはならない。当該
技術分野における当業者によれば添付特許請求の範囲によって定義された本発明
の真の趣旨から逸脱することなく他の様々な改造および変更が可能なはずである
【図面の簡単な説明】
【図1】 多重領域静電チャック、多重領域Heガス配分システム、多重領域抵抗ヒータ
、及び、多重領域冷却システムの積重ね体を実現する完全なウェハホルダーの横
断面である。
【図2】 図1に示された種々異なる層へ電気接続部、ガス、及び、冷媒を通過させるた
めの第1の電気/流体導管の側面図である。
【図3】 図1に示された種々異なる層へ電気接続部、ガス、及び、冷媒を通過させるた
めの第2の電気/流体導管の横断面である。
【図4】 図1に示された種々異なる層へ電気接続部、ガス、及び、冷媒を通過させるた
めの第3の電気/流体導管の横断面である。
【図5】 図1に示された種々異なる層へ電気接続部、ガス、及び、冷媒を通過させるた
めの第4の電気/流体導管の横断面である。
【図6】 ウェハホルダーの層上のフォーカスリングの上面図である。
【図7】 図5の層と組合わされるフォーカスリングの上面図である。
【図8】 どのようにして諸セクションが個別カバー及び各セクション用ベースプレート
によって別々に形成可能であるかを示す横断面である。
【図9】 図8の個別セクションを用いて実現されるウェハホルダー上の焦点調節スリン
グの上面図である。
【図10】 多重電極静電チャックの下のHeガス配分システムを含む冷却された静電チャ
ックの横断面である。
【図11】 第1の実施形態に従った静電チャックの最上プレートの上面図である。
【図12】 第1の実施形態に従った静電チャックの中間プレートの上面図である。
【図13】 第1の実施形態に従った静電チャックの中間プレートの横断面である。
【図14】 第1の実施形態に従った静電チャックの底部プレートの上面図である。
【図15】 第2の実施形態に従った静電チャックの切り取った上面図である。
【図16】 第1の実施形態に従ったHeガスデリバリシステムの最上プレートの上面図で
ある。
【図17】 第1の実施形態に従ったHeガスデリバリシステムの中間プレートの上面図で
ある。
【図18】 第1の実施形態に従ったHeガスデリバリシステムの中間プレートの横断面図
である。
【図19】 第1の実施形態に従ったHeガスデリバリシステムの底部プレートの上面図で
ある。
【図20】 第1の実施形態に従った図19の底部プレートの横断面である。
【図21】 第2の実施形態に従ったHeガス配分システムの切り取った上面図である。
【図22】 第2の実施形態に従ったHeガス配分システムの上面図である。
【図23】 第3の実施形態に従ったHeガス配分システム切り取った上面図である。
【図24】 電気抵抗ヒータの最上プレートの上面図である。
【図25】 第1の実施例に従った内側領域および外側領域を有する電気抵抗ヒータの中間
プレートの上面図である。
【図26】 電気抵抗ヒータの底部プレートの上面図である。
【図27】 電気抵抗ヒータの最上プレートの上面図である。
【図28】 第2の実施例に従った内側領域および外側領域を有する電気抵抗ヒータの中間
プレートの上面図である。
【図29】 電気抵抗ヒータの底部プレートの上面図である。
【図30】 第2の実施例に従った中間プレートの一部分の拡大上面図である。
【図31】 第2の実施例に従った中間プレートの内側領域の一部分の横断面である。
【図32】 第3の実施例に従った5部分ヒータの概略説明図である。
【図33】 第4の実施例に従った4部分ヒータの概略説明図である。
【図34】 第5の実施例に従った8部分ヒータの概略説明図である。
【図35】 第6の実施例に従った5部分ヒータの概略説明図である。
【図36】 第7の実施例に従った5部分ヒータの概略説明図である。
【図37】 実質的な方形チャネル内ワイヤ発熱エレメントの概略説明図である。
【図38】 実質的な方形チャネル内ストリップ発熱エレメントの概略説明図である。
【図39】 規則的なパターンの石英チャネル/石英/チャネル内のワイヤ発熱エレメント
の概略説明図である。
【図40】 実質的な円形チャネル内ワイヤ発熱エレメントの概略説明図である。
【図41】 チャネル内サポート上にあるワイヤ発熱エレメントの概略説明図である。
【図42】 実質的な矩形チャネル内タブ上にあるストリップ発熱エレメントの概略説明図
である。
【図43】 ストリップが実質的な矩形チャネル内に所在する時にストリップ発熱エレメン
トがその上のタブの正面横断面図である。
【図44】 ストリップが実質的な矩形チャネル内に所在する時にストリップ発熱エレメン
トがその上のタブの側面図である。
【図45】 規則的なパターンの石英チャネル/石英/チャネル内のワイヤ発熱エレメント
の概略説明図である。
【図46】 曲げられた可変幅ワイヤ発熱エレメントの概略説明図である。
【図47】 発熱エレメントの一セクションの拡大図である。
【図48】 チャネル内ワイヤ発熱エレメントに取付けられたリード線の拡大図である。
【図49】 第1の実施例に従った冷却システムの最上プレートの上面図である。
【図50】 第1の実施例に従った冷却システムの第2のプレートの上面図である。
【図51】 図50に示された冷却システムの第2のプレートの横断面図である。
【図52】 第1の実施例に従った冷却システムの第3のプレートの上面図ある。
【図53】 図52に示された冷却システムの第3のプレートの横断面図である。
【図54】 第1の実施例に従った冷却システムの第4のプレートの上面図である。
【図55】 図54に示された冷却システムの第4のプレートの横断面図である。
【図56】 第1の実施例に従った冷却システムの第5のプレートの上面図である。
【図57】 図56に示された冷却システムの第5のプレートの横断面図である。
【図58】 第2の実施例に従った冷却システムの最上プレートの上面図である。
【図59】 第2の実施例に従った冷却システムの第2のプレートの上面図である。
【図60】 図59に示された冷却システムの第2のプレートの横断面図である。
【図61】 第2の実施例に従った冷却システムの第3のプレートの上面図である。
【図62】 反射材料および第3のプレートの底部へ結合された熱絶縁体を含む図61に示
された冷却システムの第3のプレートの横断面図である。
【図63】 多重領域冷却セクション内冷媒ストリップの上面図である。
【図64】 図63の冷却ストリップの冷却ストリップの横断面図である。
【図65】 個別プレート又は分離したセクションから基板ホルダーを形成するためのオー
ブンの概略説明図である。
【図66】 プラズマ処理環境におけるフォーカスリング及び基板ホルダーの側面図である
【図67】 環状リングによって囲まれた円形内側領域を含む基板ホルダーの概略説明図で
あり、ここに冷媒は半径方向に外に向かって螺旋状になる個別チャネルに供給さ
れる。
【図68】 冷却プレートから持ち上げ可能な加熱プレートプレートの概略説明図である。
【図69】 本発明の層を製造する方法を示す図である。
【図70】 本発明の層を製造する方法を示す図である。
【図71】 本発明の層を製造する方法を示す図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05B 3/10 H05B 3/20 356 3/20 356 H01L 21/302 B Fターム(参考) 3K034 AA01 AA12 AA22 BA04 BB14 BC16 FA14 FA18 JA02 3K092 PP20 QA05 QB02 QB26 QB43 RF01 RF11 RF19 RF26 VV22 5F004 AA01 BB18 BB22 BB25 BB26 BD01 DB26 5F031 CA02 HA01 HA03 HA16 HA37 MA30 NA04 PA05 PA20

Claims (46)

    【特許請求の範囲】
  1. 【請求項1】 処理用反応装置内の処理用ガス中で基板を加熱するヒータで
    あって、 外面およびこの外面とは反対側の内面を有する第1の石英プレートと、 内面を有し、この内面が前記第1の石英プレートの内面と近接するように、前
    記第1の石英プレートに近接かつほぼ平行に配置された第2の石英プレートと、 第1の発熱エレメントと、 この第1の発熱エレメントの形状と相応の形状で、前記第1および第2の石英
    プレートの前記内面の少なくとも1つに形成され、前記第1の発熱エレメントを
    中に受け入れるように第1の発熱エレメントの形状と相応の形状を有する第1の
    チャネルと、 前記第1と第2の石英プレートと共に、これらの間に装着された前記第1の発
    熱エレメントを封止し、(a)前記第1と第2の石英プレートとの間で、(b)
    前記第1のチャネルの周りに気密室を形成する第1の密封部と、 前記ヒータにとって外部に所在する処理用ガスの成分および圧力とは無関係に
    、所定の成分および圧力のガスを前記第1のチャネルへ導入させるための第1の
    保護用ガスダクトとを具備するヒータ。
  2. 【請求項2】 前記第1と第2の番石英プレートとの間に導入される前記ガ
    スは、酸素である請求項1に記載のヒータ。
  3. 【請求項3】 前記第1の石英プレートは、更に第1のリフトピン穴を有し
    、 前記第2の石英プレートは、第1の石英プレートの前記第1のリフトピン穴の
    位置に対応する位置に第2のリフトピン穴を更に有し、また、 このヒータは、 前記第1および第2のリフトピン穴を通るリフトピンと、 前記第1と第2の石英プレートとの間に導入された前記ガスが、前記第1およ
    び第2のリフトピン穴を経て逃れないように、前記第1および第2のリフトピン
    穴を相互に密封するための第2の密封部と を具備する請求項1に記載のヒータ。
  4. 【請求項4】 前記第1の発熱エレメントは、Kanthal合金を含む請
    求項1に記載のヒータ。
  5. 【請求項5】 前記Kanthal合金は、アルミニウム、コバルト、クロ
    ム、および、鉄元素を含む請求項4に記載のヒータ。
  6. 【請求項6】 前記第1の発熱エレメントは、タングステン合金を含む請求
    項1に記載のヒータ。
  7. 【請求項7】 前記第1の発熱エレメントは、モリブデン、白金、炭化ケイ
    素から成るグループから選ばれた材料を含む請求項1に記載のヒータ。
  8. 【請求項8】 前記第1の発熱エレメントの過熱を防止するために、前記第
    1のチャネル内に注入される冷却ガスをさらに具備する請求項1に記載のヒータ
  9. 【請求項9】 前記第1の発熱エレメントは、化学的にエッチングされたK
    anthal合金および化学的にエッチングされたモリブデンから成るグループ
    から選ばれた材料を含む請求項1に記載のヒータ。
  10. 【請求項10】 前記第1の発熱エレメントを電源に結合するために、前記
    第1の保護用ガスダクト通って延びた電気コンダクターを有する請求項1に記載
    のヒータ。
  11. 【請求項11】 前記第1および第2の石英プレートの1つの前記内面は、
    これの周辺から延びた付随フランジを有し、また、前記第1および第2の石英プ
    レートのもう一方の前記内面は、この周辺に沿って形成された凹部を有し、この
    凹部は、これらの間に気密密封部の形成を支援するように前記付随フランジと係
    合する請求項1に記載のヒータ。
  12. 【請求項12】 第3の石英プレートと、 ヒータに前記第3の石英プレートを密封するための第2の密封部と、 ウェハをヒータにクランプさせるために、前記第3の石英プレートとヒータと
    の間に設けられた少なくとも2つの電極とを更に具備する請求項1に記載のヒー
    タ。
  13. 【請求項13】 第1の圧力で第1の伝導ガスを運ぶための第1の導通ダク
    トと、 前記第1の導通ダクトから前記第1の伝導ガスを受け入れるための第1の伝導
    ガスダクト穴、及びヒータ上に置かれた基板への伝導を高めるためにそこを通っ
    て前記第1の伝導ガスを通させるように第1の領域に設けられた第1の組の伝導
    ガス穴を有する第3の石英プレートと、 前記第1の伝導ガスが前記第1の保護用ガスダクトを通らないように、ヒータ
    に前記第3の石英プレートを密封するための第2の密封部と をさらに具備する請求項1に記載のヒータ。
  14. 【請求項14】 第2の圧力の第2の伝導ガスを導通させるための第2の伝
    導ガスダクトと、 前記第2の伝導ガスダクトから前記第2の伝導ガスを受け入れるための第2の
    伝導ガスダクト穴、及びそこを通って前記第2の伝導ガスを通させるように第2
    の領域に設けられた第2の組の伝導ガス穴を有す第4の石英プレートと、 前記第1および第2の伝導ガスの前記第1および第2の圧力が無関係のままで
    あるように、前記第3および第4の石英プレートを同心円的に一緒に密封するた
    めの第3の密封部とを更に具備し、 前記第1と第2の領域は、実質的に重複しない請求項13に記載のヒータ。
  15. 【請求項15】 前記第1の石英プレートは、第1の領域に第1の組の伝導
    ガス穴を更に有し、 前記第2の石英プレートは、前記第1の領域に第2の組の伝導ガス穴を更に有
    し、 前記ヒータは、第1の伝導ガスが前記第1の組の伝導ガスチャネルを通るこが
    可能であるような第1の組の伝導ガスチャネルを形成するように、前記第2の組
    の伝導ガス穴の対応する穴へ前記第1の組の伝導ガス穴の穴を密封するための第
    2の密封部を更に有する 請求項1に記載のヒータ。
  16. 【請求項16】 前記第1の石英プレートは、第2の領域に第3の組の伝導
    ガス穴を更に有し、 前記第2の石英プレートは、前記第2の領域に第4の組の伝導ガス穴を更に有
    し、 前記ヒータは、前記第1の保護用ガスダクトおよび前記第1の組の伝導ガスチ
    ャネルのいずれにも前記第2の伝導ガスを通過させることなく第2の伝導ガスが
    前記第2の組の伝導ガスチャネルを通ることが可能であるような第2の組の伝導
    ガスチャネルを形成するように、前記第4の組の伝導ガス穴の対応する穴へ前記
    第3の組の伝導ガス穴の穴を密封するための第3の密封部を備え、 前記第1と第2の領域が実質的に重複しない請求項15に記載のヒータ。
  17. 【請求項17】 更に、第2の圧力で第2の伝導ガスを運ぶための第2の伝
    導ガスダクトを更に具備し、 前記第3の石英プレートは、前記基板への伝導を高めるために、そこを経て前
    記第2の伝導ガスを通過させる前記第2の伝導ガスダクト穴及び第2の領域の第
    2の組の伝導ガス穴から前記第2の伝導ガスを受け取るための第2の伝導ガスダ
    クト穴を有し、前記第1と第2の領域が実質的に重複しない請求項13に記載の
    ヒータ。
  18. 【請求項18】 第1の圧力で冷却された第1の冷媒を運ぶための第1の冷
    媒入口ダクトと、 前記第1の冷媒がヒータ内の熱を吸収した後に前記第1の冷媒を運ぶための第
    1の冷媒出口ダクトと、 前記第1の冷媒入口ダクトに接続された第1の入口穴、及び前記第1の冷媒出
    口ダクトに接続された第1の出口穴を有する第3の石英プレートと、 前記第1の冷媒が前記第1の保護用ガスダクトを通らないように、前記第3の
    石英プレートをヒータに密封するための第2の密封部とを更に具備する請求項1
    に記載のヒータ。
  19. 【請求項19】 冷却された第2の冷媒を第2の圧力で運ぶための第2の冷
    媒入口ダクトと、 前記第2の冷媒が前記ヒータの熱を吸収した後に前記第2の冷媒を運ぶための
    第2の冷媒出口ダクトと、 前記第2の冷媒入口ダクトへ接続された第2の入口穴、及び前記第2の冷媒出
    口ダクトへ接続された第2の出口穴を有する第4の石英プレートと、 前記第2の冷媒が前記第1の保護用ガスダクトを通らないように前記第4の石
    英プレートをヒータへ密封するための第3の密封部とを更に具備する請求項18
    に記載のヒータ。
  20. 【請求項20】 処理用反応装置内の処理ガス中で基板を加熱する多重領域
    ヒータであって、 前記処理ガスに露出される外面と、この外面とは反対側の内面とを有する第1
    の石英プレートと、 内面を有し、この内面が前記第1の石英プレートの内面と近接するように、前
    記第1の石英プレートに近接かつほぼ平行に配置された第2の石英プレートと、 第1の領域内の第1の発熱エレメントと、 この第1の発熱エレメントの形状と相応の形状で、前記第1および第2の石英
    プレートの前記内面の少なくとも1つに形成され、前記第1の発熱エレメントを
    中に受け入れるように第1の発熱エレメントの形状と相応の形状を有する第1の
    チャネルと 前記第1と第2の石英プレートと共に、これらの間に装着された前記第1の発
    熱エレメントを封止し、前記第1と第2の石英プレートとの間に気密室を形成す
    第1の密封部と、 前記ヒータにとって外部に所在する処理用ガスの成分および圧力とは無関係に
    、第1の所定の成分および圧力のガスを前記第1のチャネルへ導入させるための
    第1の保護用ガスダクトと、 第2の領域内の第2の発熱エレメントと、 中に前記第2の発熱エレメントを受け入れるために前記第2の発熱エレメント
    の形状と相応の形状を有し、前記第1および第2の石英プレートの前記内面の少
    なくとも一方に形成された第2のチャネルと、 前記第1および第2の石英プレートを一緒に密封し、これらの間に取着された
    第2の発熱エレメントを前記第1の発熱エレメントから隔離し、かつ前記第2の
    チャネルの周りに気密密封部を提供するためにする第2の密封部と、 ヒータにとって外部に所在する前記処理用ガスの成分および圧力とは無関係に
    、第2の所定の成分および圧力のガスを第2のチャネルへ導入させるための第2
    の保護用ガスダクトとを具備する多重領域ヒータ。
  21. 【請求項21】 前記第2のダクト内に導入されるガスは、酸素である請求
    項20に記載のヒータ。
  22. 【請求項22】 前記第2の発熱エレメントは、Kanthal合金を含む
    請求項20に記載のヒータ。
  23. 【請求項23】 前記Kanthal合金は、アルミニウム、コバルト、ク
    ロム、鉄元素を含む請求項22に記載のヒータ。
  24. 【請求項24】 前記第2の発熱エレメントは、モリブデン、白金、炭化ケ
    イ素から成るグループから選ばれた材料を含む請求項20に記載のヒータ。
  25. 【請求項25】 前記第1および第2の石英プレートの少なくとも1つの面
    が、平面度が高められた研磨済みプレートである請求項1に記載のヒータ。
  26. 【請求項26】 前記第1および第2の石英プレートの少なくとも1つは、
    デポシション及びエッチングを用いて形成される請求項1に記載のヒータ。
  27. 【請求項27】 前記第2の発熱エレメントは、化学的にエッチングされた
    Kanthal合金を含む請求項20に記載のヒータ。
  28. 【請求項28】 前記第2の発熱エレメントを電源に結合するために、前記
    第2の保護用ガスダクトを通るように延びた電気導体をさらに具備する請求項2
    0に記載のヒータ。
  29. 【請求項29】 第3の石英プレートと、 第3の石英プレートをヒータに密封するための第3の密封部と、 基板を前記ヒータにクランプするように、第3の石英プレートとヒータとの間
    にある少なくとも2つの電極とを更に具備する請求項20に記載のヒータ。
  30. 【請求項30】 少なくとも2つの電極のうちの2つの電極の間のキャパシ
    タンスを測定するキャパシタンス測定装置を更に具備する請求項12に記載のヒ
    ータ。
  31. 【請求項31】 少なくとも2つの電極のうちの2つの、電極の間のキャパ
    シタンスを測定するキャパシタンス測定装置を更に具備する請求項29に記載の
    ヒータ。
  32. 【請求項32】 少なくとも2つの前記電極うちの隣接する2つの電極の間
    のキャパシタンスを測定するキャパシタンス測定装置を更に具備する請求項12
    に記載のヒータ。
  33. 【請求項33】 少なくとも2つの電極のうちの隣接する2つの電極の間の
    キャパシタンスを測定するキャパシタンス測定装置を更に具備する請求項29に
    記載のヒータ。
  34. 【請求項34】 静電チャックへの基板のクランプを測定する方法であって
    、 電気的に互いに絶縁された第1および第2の電極を中に有する静電チャックを
    提供する工程と、 前記静電チャック上に基板を位置させる工程と、 前記基板が前記静電チャック上に位置された後に、前記基板と前記第1および
    第2の電極との間のキャパシタンスを測定する工程と、 前記測定工程において測定されたキャパシタンスに基づいて前記静電チャック
    への前記基板のクランプ程度を決定する工程と を具備する方法。
  35. 【請求項35】 前記決定する工程は、測定された前記キャパシタンスの逆
    関数に従ってクランプ程度を決定することを含む請求項34に記載の方法。
  36. 【請求項36】 処理用反応装置内の処理ガス中で基板を加熱するヒータで
    あって、 外面およびこの外面とは反対側の内面を有する第1のアルミナプレートと、 内面を有し、この内面が前記第1のアルミナプレートの内面と近接するよう
    に、前記第1のアルミナプレートに近接かつほぼ平行に配置された第2のアルミ
    ナプレートと、 第1の発熱エレメントと、 前記第1および第2のアルミナプレートの前記内面の少なくとも1つに形成さ
    れた前記第1の発熱エレメントをその中に受け入れるために前記第1の発熱エレ
    メントの形状と相応の形状をした第1のチャネルと、 前記第1と第2のアルミナプレートと共に、これらの間に装着された前記第1
    の発熱エレメントを封止し、(a)前記第1と第2のアルミナプレートとの間で
    、(b)前記第1のチャネルの周りに気密室を形成する第1の密封部と、 前記ヒータにとって外部に所在する前記処理ガスの前記成分および圧力に関係
    なしに、所定の成分および圧力のガスを前記第1のチャネル内へ導入する第1の
    保護用ガスダクトとを具備するヒータ。
  37. 【請求項37】 処理用反応装置内の処理ガス中で基板を加熱するヒータ
    であって、 外面およびこの外面とは反対側の内面を有する第1の石英プレートと、 上側面および下側面を有し、前記第1の石英の前記内面に近接かつほぼ平行に
    配置され、前記第1の石英プレートに融着されるとチャネルを形成する開口部を
    有する、所定形状の石英のスペーサと、 上側面および下側面を有し、前記スペーサの前記下側面に近接かつほぼ平行に
    配置さた第2の石英プレートと、 前記チャネルの形状と相応に形状の第1の発熱エレメントと、 この第1のチャネルのまわりに気密室を形成するように、前記第1の石英プレ
    ートとスペーサと第2の石英プレートとをこれらの間に取着された前記第1の発
    熱エレメントと共に一緒に密封する第1の密封部とを具備するヒータ。
  38. 【請求項38】 前記スペーサの開口部は、レーザ裁断によって形成された
    請求項37に記載のヒータ。
  39. 【請求項39】 前記スペーサの開口部は、ウォータジェットを用いた裁断
    によって形成された請求項37に記載のヒータ。
  40. 【請求項40】 前記スペーサの開口部は、研磨によって形成された請求項
    37に記載のヒータ。
  41. 【請求項41】 処理用反応装置内の処理ガス中で基板を加熱するヒータで
    あって 外面およびこの外面とは反対側の内面を有する第1の石英プレートと、 内面を有し、この内面が前記第1の石英プレートの内面と近接するように、
    前記第1の石英プレートに近接かつほぼ平行に配置された第2の石英プレートと
    、 第1の発熱エレメントと、 前記第1の発熱エレメントを中に受け入れるように、前記第1および第2の石
    英プレートの前記内面の少なくとも一方に形成され、前記第1の発熱エレメント
    に類似するが、それよりも実質的に大きく形状を有する第1のチャネルと、 前記第1と第2の石英プレートと共に、これらの間に装着された前記第1の発
    熱エレメントを封止し、(a)前記第1と第2の石英プレートとの間で、(b)
    前記第1のチャネルの周りに気密室を形成する第1の密封部とを具備するヒータ
  42. 【請求項42】 基板ホルダーを製造する方法であって、 入口および出口を形成するように石英プレートをエッチングする工程と、 前記入口を前記出口へ接続するチャネルを形成するように前記石英プレートを
    エッチングする工程と、 前記チャネルと入口と出口との中に金属をデポジットする工程と、 前記金属を囲む前記チャネルの上をプレートで密封する工程とを具備する方法
  43. 【請求項43】 基板ホルダーを製造する方法であって、 入口および出口を形成するように石英プレートをエッチングする工程と、 入口を出口へ接続するチャネルを形成するように前記石英プレートをエッチン
    グする工程と、 前記チャネルと入口と出口との中に金属をデポジットする工程と、 金属を囲む前記チャネル上に石英をデポジットする工程とを具備する方法。
  44. 【請求項44】 多層基板ホルダーであって、 処理されされる基板への第1のオペレーションを容易にする第1の処理層と、 処理されされる基板への第2のオペレーションを容易にする第2の処理層と、 第1および第2のオペレーションの少なくとも1の間に、第1および第2の処
    理層を分離するリフティング装置とを具備するホルダー。
  45. 【請求項45】 前記第1の処理層は、発熱エレメントを有し、また、前記
    第2の処理層は、冷却材を有する請求項44に記載の多層基板ホルダー。
  46. 【請求項46】 前記リフティング装置は、機械式リフティング装置である
    請求項44に記載の多層基板ホルダー。
JP2001527606A 1999-09-29 2000-09-18 多重領域抵抗ヒータ Expired - Fee Related JP4505169B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15659599P 1999-09-29 1999-09-29
US60/156,595 1999-09-29
PCT/US2000/025503 WO2001024581A1 (en) 1999-09-29 2000-09-18 Multi-zone resistance heater

Publications (2)

Publication Number Publication Date
JP2003524885A true JP2003524885A (ja) 2003-08-19
JP4505169B2 JP4505169B2 (ja) 2010-07-21

Family

ID=22560232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001527606A Expired - Fee Related JP4505169B2 (ja) 1999-09-29 2000-09-18 多重領域抵抗ヒータ

Country Status (8)

Country Link
EP (1) EP1219141B1 (ja)
JP (1) JP4505169B2 (ja)
KR (1) KR100722057B1 (ja)
CN (1) CN1207939C (ja)
AT (1) ATE491825T1 (ja)
DE (1) DE60045384D1 (ja)
TW (1) TW526672B (ja)
WO (1) WO2001024581A1 (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219363A (ja) * 2009-03-18 2010-09-30 Tokyo Electron Ltd 基板熱処理装置
WO2011152620A2 (ko) * 2010-05-31 2011-12-08 주식회사 코미코 정전척 및 이를 포함하는 기판 처리 장치
KR20120103596A (ko) * 2009-10-21 2012-09-19 램 리써치 코포레이션 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판
JP2013533641A (ja) * 2010-07-29 2013-08-22 ローレンス アドヴァンスド セミコンダクター テクノロジーズ,エルエルシー 基板処理装置およびシステム
JP2013534970A (ja) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP2013545310A (ja) * 2010-11-10 2013-12-19 ラム リサーチ コーポレーション 半導体処理のための平面ヒータゾーンを伴った加熱板
KR20140071467A (ko) * 2011-09-30 2014-06-11 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전기 척
KR20150075037A (ko) * 2013-12-24 2015-07-02 도쿄엘렉트론가부시키가이샤 스테이지, 스테이지의 제조 방법, 열교환기
WO2016067785A1 (ja) * 2014-10-30 2016-05-06 東京エレクトロン株式会社 基板載置台
JP2016082206A (ja) * 2014-10-22 2016-05-16 新光電気工業株式会社 静電チャック及びその静電チャックに使用されるベース部材
JP2016534556A (ja) * 2013-08-06 2016-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
KR20160140486A (ko) * 2015-05-29 2016-12-07 램 리써치 코포레이션 멀티-층 세라믹 제조 기법들을 사용한 라이트업 방지
JP2016541116A (ja) * 2013-11-22 2016-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック表面向けのパッド設計
KR20170070115A (ko) * 2014-11-12 2017-06-21 도쿄엘렉트론가부시키가이샤 스테이지 및 기판 처리 장치
JP2017530542A (ja) * 2014-07-23 2017-10-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10568163B2 (en) 2010-10-22 2020-02-18 Lam Research Corporation Methods of fault detection for multiplexed heater array
JP2020512692A (ja) * 2017-03-31 2020-04-23 ラム リサーチ コーポレーションLam Research Corporation 柔軟なウエハ温度制御を伴う静電チャック
WO2020170682A1 (ja) * 2019-02-22 2020-08-27 住友電気工業株式会社 ヒータ
US10761433B2 (en) 2005-12-30 2020-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2021211246A1 (en) * 2020-04-16 2021-10-21 Lam Research Corporation Showerhead thermal management using gas cooling

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4945031B2 (ja) * 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
EP1254606A1 (en) 2001-05-04 2002-11-06 Philip Morris Products S.A. Apparatus and method for delaminating parcels of tobacco
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
CN100505953C (zh) * 2002-12-03 2009-06-24 肖特股份公司 有用于导电地加热熔体的电极的加热设备
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
JP4278046B2 (ja) * 2003-11-10 2009-06-10 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 ヒータ機構付き静電チャック
KR100589201B1 (ko) * 2004-08-06 2006-06-14 한국과학기술연구원 가스 분배기
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
KR20090001091A (ko) * 2007-06-29 2009-01-08 (주)티티에스 외부발열부재가 구성된 반도체 제조장치
KR100995250B1 (ko) * 2008-09-09 2010-11-18 주식회사 코미코 열 응력 감소를 위한 버퍼층을 포함하는 정전 척
KR101029094B1 (ko) * 2010-11-02 2011-04-13 (주)비앤비텍 반도체 공정설비용 석영히터의 히터판 결합방법과 석영히터의 제조방법 및 이 제조방법에 의해 제조된 석영히터
CN102685945A (zh) * 2011-03-17 2012-09-19 中国科学院微电子研究所 加热器
WO2013033348A1 (en) * 2011-08-30 2013-03-07 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
CN104332436B (zh) * 2014-11-20 2017-09-01 靖江先锋半导体科技有限公司 一种刻蚀机内部的水冷卡盘结构
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US11464081B2 (en) * 2015-04-23 2022-10-04 Altria Client Services Llc Unitary heating element and heater assemblies, cartridges, and E-vapor devices including a unitary heating element
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN106531601B (zh) * 2016-10-31 2018-03-20 中国电子科技集团公司第四十八研究所 一种用于离子束刻蚀机的工件台
WO2018093664A1 (en) * 2016-11-21 2018-05-24 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
KR102110749B1 (ko) * 2016-12-12 2020-05-14 어플라이드 머티어리얼스, 인코포레이티드 진공 증착 프로세스에서 기판을 홀딩하기 위한 장치, 기판 상의 층 증착을 위한 시스템, 및 기판을 홀딩하기 위한 방법
KR102013670B1 (ko) * 2017-05-18 2019-08-26 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
CN107690206B (zh) * 2017-08-21 2021-05-04 宁波柔碳电子科技有限公司 一种加热电极结构和加热装置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10910243B2 (en) * 2018-08-31 2021-02-02 Applied Materials, Inc. Thermal management system
WO2020090163A1 (ja) * 2018-10-30 2020-05-07 株式会社アルバック 真空処理装置
KR101992692B1 (ko) * 2019-03-28 2019-06-25 이영식 대면적 디스플레이 기판 포토레지스트 공정용 베이크 오븐의 기판 적재 장치
KR102440415B1 (ko) 2020-05-07 2022-09-13 주식회사 유진테크 다구역 온도 제어를 위한 기판 지지 어셈블리 및 그 기판 지지 어셈블리를 포함하는 플라즈마 프로세싱 시스템
CN116153840B (zh) * 2023-02-24 2023-11-03 长春光华微电子设备工程中心有限公司 一种卡盘

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057371A1 (en) * 1997-06-09 1998-12-17 Applied Materials, Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
WO1999045745A1 (en) * 1998-03-05 1999-09-10 Fsi International, Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2007111A (en) * 1931-10-17 1935-07-02 Doherty Res Co Glazed electric range heating unit and glaze therefor
US3110795A (en) * 1959-09-17 1963-11-12 Gen Motors Corp Domestic electric appliance
US5093557A (en) * 1989-05-16 1992-03-03 Microscience, Inc. Substrate heater and heating element
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
TW444922U (en) 1994-09-29 2001-07-01 Tokyo Electron Ltd Heating device and the processing device using the same
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057371A1 (en) * 1997-06-09 1998-12-17 Applied Materials, Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
WO1999045745A1 (en) * 1998-03-05 1999-09-10 Fsi International, Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11669021B2 (en) 2005-12-30 2023-06-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10761433B2 (en) 2005-12-30 2020-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US11275316B2 (en) 2005-12-30 2022-03-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP7072493B2 (ja) 2005-12-30 2022-05-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
JP2010219363A (ja) * 2009-03-18 2010-09-30 Tokyo Electron Ltd 基板熱処理装置
US10720346B2 (en) 2009-10-21 2020-07-21 Lam Research Corporation Substrate support with thermal zones for semiconductor processing
JP2013508968A (ja) * 2009-10-21 2013-03-07 ラム リサーチ コーポレーション 半導体処理用の平面ヒータゾーンを備える加熱プレート
US10236193B2 (en) 2009-10-21 2019-03-19 Lam Research Corporation Substrate supports with multi-layer structure including independent operated heater zones
US9646861B2 (en) 2009-10-21 2017-05-09 Lam Research Corporation Heating plate with heating zones for substrate processing and method of use thereof
JP2017037846A (ja) * 2009-10-21 2017-02-16 ラム リサーチ コーポレーションLam Research Corporation 加熱プレートおよび基板支持体
KR101643800B1 (ko) 2009-10-21 2016-07-29 램 리써치 코포레이션 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판
KR20120103596A (ko) * 2009-10-21 2012-09-19 램 리써치 코포레이션 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판
JP2013511162A (ja) * 2010-05-31 2013-03-28 コミコ株式会社 静電チャック及びそれを含む基板処理装置
WO2011152620A3 (ko) * 2010-05-31 2012-04-19 주식회사 코미코 정전척 및 이를 포함하는 기판 처리 장치
WO2011152620A2 (ko) * 2010-05-31 2011-12-08 주식회사 코미코 정전척 및 이를 포함하는 기판 처리 장치
JP2013534970A (ja) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP2013533641A (ja) * 2010-07-29 2013-08-22 ローレンス アドヴァンスド セミコンダクター テクノロジーズ,エルエルシー 基板処理装置およびシステム
US10568163B2 (en) 2010-10-22 2020-02-18 Lam Research Corporation Methods of fault detection for multiplexed heater array
JP2013545310A (ja) * 2010-11-10 2013-12-19 ラム リサーチ コーポレーション 半導体処理のための平面ヒータゾーンを伴った加熱板
KR102103136B1 (ko) * 2011-09-30 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전 척
JP2014534614A (ja) * 2011-09-30 2014-12-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
KR20140071467A (ko) * 2011-09-30 2014-06-11 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전기 척
JP2016534556A (ja) * 2013-08-06 2016-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
US9735037B2 (en) 2013-08-06 2017-08-15 Applied Materials, Inc. Locally heated multi-zone substrate support
US9984912B2 (en) 2013-08-06 2018-05-29 Applied Materials, Inc. Locally heated multi-zone substrate support
JP2019047132A (ja) * 2013-08-06 2019-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2016541116A (ja) * 2013-11-22 2016-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック表面向けのパッド設計
JP2015144242A (ja) * 2013-12-24 2015-08-06 東京エレクトロン株式会社 ステージ、ステージの製造方法、熱交換器
KR102311554B1 (ko) * 2013-12-24 2021-10-13 도쿄엘렉트론가부시키가이샤 스테이지, 스테이지의 제조 방법, 열교환기
US10475686B2 (en) 2013-12-24 2019-11-12 Tokyo Electron Limited Stage, stage manufacturing method, and heat exchanger
KR20150075037A (ko) * 2013-12-24 2015-07-02 도쿄엘렉트론가부시키가이샤 스테이지, 스테이지의 제조 방법, 열교환기
US11437259B2 (en) 2013-12-24 2022-09-06 Tokyo Electron Limited Stage, stage manufacturing method, and heat exchanger
JP2017530542A (ja) * 2014-07-23 2017-10-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
JP2016082206A (ja) * 2014-10-22 2016-05-16 新光電気工業株式会社 静電チャック及びその静電チャックに使用されるベース部材
US10283398B2 (en) 2014-10-30 2019-05-07 Tokyo Electron Limited Substrate placing table
US11171033B2 (en) 2014-10-30 2021-11-09 Tokyo Electron Limited Substrate placing table
WO2016067785A1 (ja) * 2014-10-30 2016-05-06 東京エレクトロン株式会社 基板載置台
KR102000852B1 (ko) 2014-11-12 2019-07-16 도쿄엘렉트론가부시키가이샤 스테이지 및 기판 처리 장치
KR20170070115A (ko) * 2014-11-12 2017-06-21 도쿄엘렉트론가부시키가이샤 스테이지 및 기판 처리 장치
JP2016225616A (ja) * 2015-05-29 2016-12-28 ラム リサーチ コーポレーションLam Research Corporation 多層セラミック製造技術を使用した発光阻止
KR102540186B1 (ko) * 2015-05-29 2023-06-02 램 리써치 코포레이션 멀티-층 세라믹 제조 기법들을 사용한 라이트업 방지
KR20160140486A (ko) * 2015-05-29 2016-12-07 램 리써치 코포레이션 멀티-층 세라믹 제조 기법들을 사용한 라이트업 방지
JP2020512692A (ja) * 2017-03-31 2020-04-23 ラム リサーチ コーポレーションLam Research Corporation 柔軟なウエハ温度制御を伴う静電チャック
JP7227154B2 (ja) 2017-03-31 2023-02-21 ラム リサーチ コーポレーション 柔軟なウエハ温度制御を伴う静電チャック
KR20210114458A (ko) * 2019-02-22 2021-09-23 스미토모덴키고교가부시키가이샤 히터
JPWO2020170682A1 (ja) * 2019-02-22 2021-03-11 住友電気工業株式会社 ヒータ
WO2020170682A1 (ja) * 2019-02-22 2020-08-27 住友電気工業株式会社 ヒータ
KR102592798B1 (ko) * 2019-02-22 2023-10-20 스미토모덴키고교가부시키가이샤 히터
WO2021211246A1 (en) * 2020-04-16 2021-10-21 Lam Research Corporation Showerhead thermal management using gas cooling

Also Published As

Publication number Publication date
CN1377568A (zh) 2002-10-30
WO2001024581A8 (en) 2001-07-19
TW526672B (en) 2003-04-01
ATE491825T1 (de) 2011-01-15
DE60045384D1 (de) 2011-01-27
EP1219141B1 (en) 2010-12-15
KR20020043601A (ko) 2002-06-10
CN1207939C (zh) 2005-06-22
JP4505169B2 (ja) 2010-07-21
KR100722057B1 (ko) 2007-05-25
WO2001024581A1 (en) 2001-04-05
EP1219141A4 (en) 2008-10-01
EP1219141A1 (en) 2002-07-03

Similar Documents

Publication Publication Date Title
JP4505169B2 (ja) 多重領域抵抗ヒータ
US6740853B1 (en) Multi-zone resistance heater
US5730803A (en) Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JP5347214B2 (ja) 載置台構造及び熱処理装置
KR100974130B1 (ko) 용접된 판과 저항식 히터를 갖는 기판 지지대
JP5194125B2 (ja) シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
JP4149002B2 (ja) 静電チャックおよび物体の温度をプラズマ処理中制御する方法
US7347901B2 (en) Thermally zoned substrate holder assembly
CN1945807B (zh) 控制衬底温度的装置
TWI576951B (zh) 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程
KR20010111058A (ko) 전체 영역 온도 제어 정전기 척 및 그 제조방법
JPH10294285A (ja) 熱処理装置
US20080041836A1 (en) High temperature heating element for preventing contamination of a work piece
US7285758B2 (en) Rapid thermal processing lamp and method for manufacturing the same
CN105518825A (zh) 多区加热器
JP4693993B2 (ja) 蒸着リアクタおよび蒸着方法
US20040065656A1 (en) Heated substrate support
EP0641017A1 (en) Method and apparatus for semiconductor device processing
US20030121898A1 (en) Heated vacuum support apparatus
JP7290687B2 (ja) 静電チャック及びその製造方法と基板処理装置
JPH07273175A (ja) 保持装置
JP5376023B2 (ja) 載置台構造及び熱処理装置
WO2022209292A1 (ja) 載置盤および載置構造
CN115410978B (zh) 静电卡盘和半导体工艺设备
US20230117184A1 (en) Batch processing oven for magnetic anneal

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100330

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100426

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees