KR101915371B1 - 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트 - Google Patents

반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트 Download PDF

Info

Publication number
KR101915371B1
KR101915371B1 KR1020167023307A KR20167023307A KR101915371B1 KR 101915371 B1 KR101915371 B1 KR 101915371B1 KR 1020167023307 A KR1020167023307 A KR 1020167023307A KR 20167023307 A KR20167023307 A KR 20167023307A KR 101915371 B1 KR101915371 B1 KR 101915371B1
Authority
KR
South Korea
Prior art keywords
planar thermal
power supply
insulating layer
power
diodes
Prior art date
Application number
KR1020167023307A
Other languages
English (en)
Other versions
KR20160104104A (ko
Inventor
키이스 윌리암 개프
키이스 커멘댄트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160104104A publication Critical patent/KR20160104104A/ko
Application granted granted Critical
Publication of KR101915371B1 publication Critical patent/KR101915371B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

반도체 플라즈마 처리 장치에서 기판 지지부 어셈블리를 위한 가열 플레이트는 스케일링 가능한 멀티플렉싱 레이아웃에 배열된 복수의 독립적으로 제어가능한 평탄한 히터존들 및 평탄한 히터 존들을 독립적으로 제어하고 평탄한 히터존들에 전력을 공급하도록 전자 장치를 포함한다. 평탄한 히터존 각각은 히터 엘리먼트로서 적어도 하나의 다이오드를 이용한다. 가열 플레이트가 통합된 기판 지지부 어셈블리는 정전 클램핑 전극 및 온도 제어된 베이스 플레이트를 포함한다. 가열 플레이트 제조 방법은 평탄한 히터 존들을 갖는 세라믹 또는 폴리머 시트들, 전력 공급 라인들, 전력 리턴 라인들 및 비아들을 본딩하는 단계를 포함한다.

Description

반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트{HEATING PLATE WITH DIODE PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING}
각각의 연속하는 반도체 기술 세대에 따라, 기판 직경은 증가하는 성향이 있고 트랜지스터 사이즈는 감소하여, 기판 처리에서 훨씬 더 높은 정도의 정확도 및 반복도의 필요성을 초래한다. 실리콘 기판과 같은 반도체 기판 재료는 진공 챔버의 이용을 포함하는 기법에 의해 처리된다. 이 기법은 전자 빔 증착과 같은 비플라즈마 어플리케이션 뿐만 아니라, 스퍼터 증착, 플라즈마-강화 화학 기상 증착 (PECVD), 레지스트 박리, 및 플라즈마 에칭과 같은 플라즈마 어플리게이션을 포함한다.
오늘날 이용가능한 플라즈마 처리 시스템은 개선된 정확성 및 반복성에 대한 증가하는 필요성에 종속된 반도체 제작 툴 (tool) 에 속한다. 플라즈마 처리 시스템에 대한 하나의 메트릭 (metric) 은 증가된 균일성이고, 이 균일성은 반도체 기판 표면 상의 처리 결과의 균일성뿐만 아니라, 명목상 동일한 입력 파라미터로 처리된 기판의 연속 처리 결과의 균일성을 포함한다. 기판 상 균일성의 지속적 개선이 요구되고 있다. 다른 것 중에서, 이는 개선된 균일성, 일관성 및 자가진단을 갖는 플라즈마 챔버를 필요로 한다.
본 명세서에서 반도체 처리 장치에서 반도체 기판을 지지하는데 이용되는 기판 지지부 어셈블리를 위한 가열 플레이트가 설명되며, 가열 플레이트는, 전기 절연층; 적어도 제 1 평탄한 히터존 (heater zone), 제 2 평탄한 히터존, 제 3 평탄한 히터존 및 제 4 평탄한 히터존을 포함하는 평탄한 히터존들로서, 평탄한 히터존 각각은 히터 (heater) 엘리먼트로서 하나 이상의 다이오드들을 포함하고, 평탄한 히터존들은 전기적으로 절연하는 층에 걸쳐 측방향으로 분포되고 상기 반도체 기판에 공간적 온도 프로파일 (profile) 을 조절하도록 동작가능한, 평탄한 히터존들; 적어도 제 1 평탄한 히터존 및 제 2 평탄한 히터존의 하나 이상의 다이오드들의 애노드들에 전기적으로 연결되는 제 1 전기 전도성 전력 공급 라인 (line) 및 제 3 평탄한 히터존 및 제 4 평탄한 히터존의 하나 이상의 다이오드들의 애노드들로 전기적으로 연결되는 제 2 전기 전도성 전력 공급 라인을 포함하는 전력 공급 라인들; 및 적어도 제 1 평탄한 히터존 및 제 3 평탄한 히터존의 하나 이상의 다이오드들의 캐소드들로 전기적으로 연결되는 제 1 전기 전도성 전력 리턴 (return) 라인 및 제 2 평탄한 히터존 및 제 4 평탄한 히터존의 하나 이상의 다이오드들의 캐소드들에 전기적으로 연결되는 제 1 전기 전도성 전력 리턴 라인을 포함하는 전력 리턴 라인들을 포함한다.
도 1은 평탄한 히터존들의 어레이를 갖는 가열 플레이트가 통합되고, 또한 정전척 (ESC) 을 포함하는 기판 지지부 어셈블리의 개략적인 단면도이다.
도 2는 기판 지지부 어셈블리에 통합될 수 있는 가열 플레이트에 평탄한 히터존들의 어레이에 대한 전력 공급 라인들 및 전력 리턴 라인들의 전기적 연결을 도시한다.
도 3은 전력 공급 라인 및 전력 리턴 라인에 대한, 평탄한 히터존 내에서 히터 엘리먼트로서의 다이오드의 전기적 연결을 도시한다.
도 4는, 일 실시예에 따른, 가열 플레이트가 통합된 기판 지지부 어셈블리의 개략적인 단면도이다.
도 5는, 일 실시예에 따른, 가열 플레이트가 통합된 기판 지지부 어셈블리의 개략적인 단면도이다.
도 6은, 일 실시예에 따른, 가열 플레이트가 통합된 기판 지지부 어셈블리의 개략적인 단면도이다.
도 7은, 가열 플레이트가 통합되고, 주 히터층을 더 포함하는 기판 지지부 어셈블리의 개략적인 단면도이다.
도 8은 본 명세서에 설명된 가열 플레이트를 갖는 기판 지지부 어셈블리를 포함할 수 있는 예시적인 플라즈마 처리 챔버의 개략도이다.
기판 상에서 원하는 임계 치수 (critical dimension; CD) 균일성을 달성하도록 반도체 처리 장치 내의 방사상의 그리고 방위각의 기판 온도 제어가 더 요구되고 있다. 온도의 작은 변화라도 특히 반도체 제작 처리에서 CD가 100 ㎚ 이하 (sub-100 ㎚) 로 접근하는 경우, 허용불가능한 정도로 CD에 영향을 미칠 수도 있다.
기판 지지부 어셈블리는 처리 동안 기판 지지, 기판 온도 조절, 및 무선 주파수 전력 공급과 같은 다양한 기능들을 위해 구성될 수도 있다. 기판 지지부 어셈블리는 처리 동안 기판 지지부 어셈블리 상에 기판을 정전기적으로 클램핑 (clamping) 하기 유용한 정전척 (ESC) 을 포함할 수 있다. ESC는 조절가능한 ESC (tunable ESC; T-ESC) 일 수도 있다. T-ESC는 본 명세서에 참조로써 통합되는 공통 양도된 미국 특허 제 6,847,014 호 및 제 6,921,724 호에서 설명된다. 기판 지지부 어셈블리는 단계적 (step by step) 그리고 방사상의 온도 제어를 실현하도록 세라믹 기판 홀더 (holder), (이하 냉각 플레이트로 지칭되는) 유체-냉각된 열 싱크 (sink), 및 복수의 동심원의 평탄한 히터존들을 포함할 수도 있다. 통상적으로, 냉각 플레이트는 0 ℃ 와 30 ℃ 사이에 유지된다. 히터들은 사이에 열 절연체의 층을 갖는 냉각 플레이트 상에 위치된다. 히터들은 냉각 플레이트 온도 위로 약 0 ℃ 내지 80 ℃의 온도로 기판 지지부 어셈블리의 지지부 표면을 유지할 수 있다. 복수의 평탄한 히터존들 내의 히터 전력을 변화시킴으로써, 기판 지지부 온도 프로파일이 변화될 수 있다. 또한, 평균 기판 지지부 온도는 냉각 플레이트 온도 위로 약 0 ℃ 내지 80 ℃ 의 동작하는 범위내에서 단계적으로 변화될 수 있다. 작은 방위각의 온도 변화는 반도체 기술의 진보로 CD가 감소함에 따라 점점 더 큰 난제를 제기한다.
온도를 제어하는 것은 몇몇의 이유로 쉬운 과제가 아니다. 첫째로, 열 소스 및 열 싱크의 위치, 매체의 운동, 매체의 재료, 및 매체의 형상과 같은 다수의 인자들이 열 전달에 영향을 미칠 수 있다. 둘째로, 열 전달은 동적 과정이다. 논의되는 시스템이 열 평형에 있지 않다면, 열 전달이 발생할 것이고 온도 프로파일 및 열 전달은 시간에 따라 변화할 것이다. 셋째로, 플라즈마 처리에서 항상 존재하는 플라즈마와 같은 비평형 현상은 임의의 실제 플라즈마 처리 장치의 열 전달 거동의 이론적 예측을, 불가능하지 않다면, 매우 어렵게 한다.
플라즈마 처리 장치 내의 기판 온도 프로파일은 플라즈마 밀도 프로파일, RF 전력 프로파일 및 척 내의 다양한 가열 엘리먼트 또는 냉각 엘리먼트의 세부구조와 같은 다수의 인자들에 의해 영향을 받으므로, 기판 온도 프로파일은 종종 균일하지 않고 적은 수의 가열 엘리먼트 또는 냉각 엘리먼트로 제어하기 어렵다. 이 결함은 전체 기판에 걸친 처리 속도 (processing rate) 의 불균일성으로 전이하고 기판 상에서 디바이스 다이 (die) 들의 임계 치수의 불균일성으로 전이한다.
온도 제어의 복잡한 본질을 고려하면, 장치가 원하는 공간적 온도 프로파일 및 시간적 온도 프로파일을 능동적으로 생성하여 유지하고, CD 균일성에 영향을 미치는 다른 부정적인 인자들에 대해 보상하는 것을 가능하게 하도록, 기판 지지부 어셈블리에서 복수의 독립적으로 제어가능한 평탄한 히터존들을 통합하는 것이 유리할 것이다.
본 명세서에서 반도체 처리 장치에서 기판 지지부 어셈블리를 위한 가열 플레이트가 설명되며, 가열 플레이트는 히터존 내에 히터 엘리먼트들로 다이오드들을 이용하는 복수의 독립적으로 제어가능한 평탄한 히터존들을 갖는다. 바람직하게는, 평탄한 히터존들은 저항성 히터 엘리먼트들을 갖지 않는다. 이 가열 플레이트는 평탄한 히터존들, 전력 공급 라인들 및 전력 리턴 라인들 (통칭하여, 전력 라인들) 의 스케일링가능한 (scalable) 멀티플렉싱 (multiplexing) 레이아웃 설계 (scheme) 를 포함한다. 평탄한 히터존들의 전력을 조절함으로써, 처리 동안 온도 프로파일은 방사상으로 그리고 방위각으로 성형될 수 있다. 더 많은 세부사항들은 그 개시가 본 명세서에 참조로써 통합되는, 공동 소유된 미국 공개 특허 공보 제 2011/0092072 호 및 제 2011/0143462 호에 개시된다. 이 가열 플레이트가 플라즈마 처리 장치에 대해 주로 설명되었으나, 이 가열 플레이트는 플라즈마를 이용하지 않는 다른 반도체 처리 장치에 또한 이용될 수 있다.
이 가열 플레이트 내의 평탄한 히터존들은 바람직하게는, 예를 들어, 직사각형 그리드 (grid), 육각형 그리드, 폴라 어레이 (polar array), 동심원 링 (ring) 또는 임의의 원하는 패턴 (pattern) 과 같은 정의된 패턴으로 배열된다. 각각의 평탄한 히터존은 임의의 적합한 사이즈일 수도 있고 하나 이상의 히터 엘리먼트들을 가질 수도 있다. 평탄한 히터존이 전력을 공급받는 경우, 평탄한 히터존 내의 모든 히터 엘리먼트들이 전력을 공급받는다; 평탄한 히터존이 전력을 공급받지 않는 경우, 평탄한 히터존 내의 모든 히터 엘리먼트들이 전력을 공급받지 않는다. 전기적 연결들의 수를 최소화하기 위해, 전력 공급 라인들 및 전력 리턴 라인들은, 각각의 전력 공급 라인이 다른 그룹의 평탄한 히터존들에 연결되고 각각의 전력 리턴 라인이 다른 그룹의 평탄한 히터존들에 연결되도록, 배열되고, 각각의 평탄한 히터존은 특정한 전력 공급 라인에 연결된 그룹들 중 하나 내에 그리고 특정한 전력 리턴 라인에 연결된 그룹들 중 하나 내에 있다. 2개의 평탄한 히터존들은 동일한 한 쌍의 전력 공급 라인 및 전력 리턴 라인에 연결되지 않는다. 각각의 평탄한 히터존의 히터 엘리먼트(들)의 애노드(들)는 이 평탄한 히터존이 연결된 전력 공급 라인에 연결되며; 이 히터 엘리먼트(들)의 캐소드(들)는 이 평탄한 히터존이 연결된 전력 리턴 라인에 연결된다. 평탄한 히터존은 이 특정한 평탄한 히터 존이 연결된 한 쌍의 전력 공급 라인 및 전력 리턴 라인을 통해 DC 전류를 항하게 함으로써 활성화될 수 있다. DC 전류는 전력 공급 라인으로부터 평탄한 히터존으로 흐르고 다음으로 전력 리턴 라인으로 흐른다. 히터 엘리먼트들의 피크 (peak) 전력은 바람직하게는 20 W보다 작고, 더 바람직하게는 1 W 내지 10 W이다. 일 실시예에서, 각각의 평탄한 히터존은 반도체 기판 상에서 제조되는 4 개의 디바이스 다이들보다 크지 않고, 또는 반도체 기판 상에서 제조되는 2 개의 디바이스 다이들보다 크지 않고, 또는 반도체 기판 상에서 제조되는 하나의 디바이스 다이보다 크지 않고, 0.1 ㎠ 내지 15 ㎠, 예컨대, 기판 상에 디바이스 다이들에 상응하는 면적에서 0.1 ㎠ 내지 1 ㎠ 또는 2 ㎠ 내지 3 ㎠이다. 가열 플레이트는 100 개 내지 700 개의 평탄한 히터존들과 같은 임의의 적합한 수의 평탄한 히터존들을 포함할 수 있다. 히터 엘리먼트들의 두께는 100 마이크로미터에서 2 밀리미터까지의 범위일 수도 있다. 평탄한 히터존들 사이 및/또는 전력 공급 라인과 전력 리턴 라인 사이에 공간을 허용하기 위해, 평탄한 히터존들의 총 면적은 기판 지지부 어셈블리의 상부면의 면적의 99 % 까지, 예를 들어, 면적의 50 % 내지 99 %일 수도 있다. 전력 공급 라인들 또는 전력 리턴 라인들은 평탄한 히터존들 사이에 1 ㎜ 에서 10 ㎜ 까지의 범위의 갭들 (gaps) 로 배열될 수도 있고, 또는 전기 절연층에 의해 평탄한 히터존들 평면으로부터 분리된 분리 평면들 (separate planes) 에 배열될 수도 있다. 전력 공급 라인들 및 전력 리턴 라인들은 바람직하게는, 큰 전류를 운반하고 줄 가열 (Joule heating) 을 감소시키도록, 공간이 허용하는 것만큼 넓게 제조된다. 전력 라인들이 평탄한 히터존들과 같이 동일한 평면 내에 있는 일 실시예에서, 전력 라인들의 폭은 바람직하게는 0.3 ㎜ 내지 2 ㎜이다. 전력 라인들이 평탄한 히터존들과 상이한 평면 상에 있는 다른 실시예에서, 전력 라인들의 폭은 평탄한 히터존들 만큼 클 수 있고, 예를 들어, 300 ㎜ 척에 대해 폭은 1 인치 내지 2 인치일 수 있다. 바람직하게는, 전력 공급 라인들 및 전력 리턴 라인들의 재료는 Cu, Al, W, 인코넬® 또는 Mo와 같은 낮은 비저항 (resistivity) 을 갖는 재료이다.
종래의 저항성 히터 엘리먼트들은, 특히 5 ㎜ × 5 ㎜보다 크지 않은 평탄한 히터존들이 요구되는 경우, 평탄한 히터존들의 소형화를 제한하는 사형 (serpentine) 도체 트레이스들 (traces) 을 통상적으로 포함한다. 또한, 사형 도체 트레이스들은 평탄한 히터 존의 전체 면적을 점유할 수 없다. 사형 도체 트레이스들 사이의 공간은, 특히 평탄한 히터존이 작은 경우, 평탄한 히터존 내에서 중대한 온도 불균일성을 발생시킬 수 있다. 이에 비해, 다이오드는 평탄한 히터존과 본질적으로 동일한 사이즈의 연속적인 시트로 제조될 수 있으므로, 평탄한 히터존들 내에 히터 엘리먼트들로 다이오드들을 이용하는 것은 저항성 히터 엘리먼트들과 관련된 상술된 문제를 완화한다. 히터 엘리먼트들로 다이오드들을 이용하는 평탄한 히터존들을 갖는 가열 플레이트는 그것의 디자인에서 더 큰 유연성을 갖고, 그것의 구조에서 더 단순하고, 각각의 평탄한 히터존 내에 더 우수한 온도 균일성을 이끌 수 있다.
도 1은 전기 절연층 (103) 을 갖는 가열 플레이트의 일 실시예를 포함하는 기판 지지부 어셈블리를 도시한다. 층 (103) 은 실리콘 산화물, 알루미나, 이트리어 (yttria), 질화 알루미늄과 같은 세라믹, 폴리머 재료, 무기 (inorganic) 재료 또는 다른 적합한 재료로 이루어진 하나 이상의 층을 가질 수도 있다. 기판 지지부 어셈블리는 (a) DC 전압으로 층 (103) 의 표면에 기판을 정전기적으로 클램핑하도록 층 (103) 내에 임베딩된 (embedded) 적어도 하나의 ESC (electrostatic clamping) 전극 (102) (예컨대, 모노폴라 또는 바이폴라), (b) 열 배리어 층 (107), (c) 냉각재 흐름을 위한 채널들 (106) 을 포함하는 냉각 플레이트 (105) 를 더 포함한다. 전력 공급 라인들 및 전력 리턴 라인들은 명확성을 위해 도시되지 않았다.
도 2에 도시된 바와 같이, 각각의 평탄한 히터존들 (101) 은 전력 공급 라인들 (201) 중 하나 및 전력 리턴 라인들 (202) 중 하나에 연결된다. 2개의 히터존들은 동일한 한 쌍의 전력 공급 라인 (201) 및 전력 리턴 라인 (202) 을 공유하지 않는다. 적합한 전기적 스위칭 배열들에 의해, 한 쌍의 전력 공급 라인 (201) 및 전력 리턴 라인 (202) 을, 이 한 쌍의 전력 라인들에 연결된 평탄한 히터존만이 전력을 공급받게 하는, (도시되지 않은) 전력 공급부로 연결하는 것이 가능하다. 각각의 평탄한 히터 존의 시간-평균 가열 전력은 시간-영역 멀티플렉싱에 의해 개별적으로 조절될 수 있다.
도 3은 한 쌍의 전력 공급 라인 (201) 및 전력 리턴 라인 (202) 에 평탄한 히터존 (101) 의 연결의 세부사항을 도시한다. 평탄한 히터존 (101) 은 그것의 히터 엘리먼트들로 하나 이상의 다이오드들 (250) 을 갖는다. 다이오드 (250) 의 애노드 (250a) 는 전력 공급 라인 (201) 에 연결되고 다이오드 (250) 의 캐소드 (250c) 는 전력 리턴 라인 (202) 에 연결된다.
도 4 내지 도 6은 전기 절연층, 히터 엘리먼트들로 하나 이상의 다이오드들을 포함하는 평탄한 히터존들, 전력 공급 라인들 및 전력 리턴 라인들을 포함하는 가열 플레이트의 실시예들을 도시한다. 가열 플레이트는 가열 플레이트 위의 정전척을 그리고 가열 플레이트 아래에 위치된 냉각 플레이트를 포함하는 기판 지지부 어셈블리에 통합될 수 있다.
도 4는, 일 실시예에 따른, 도 1의 기판 지지부 어셈블리 내에서 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 의 배열을 도시한다. 평탄한 히터존들의 다이오드들 (250) 은 층 (103) 의 하단면에 본딩되고, 층 (103) 내에서 수직으로 확장하는 비아들 (301) 을 통해 층 (103) 내에 임베딩된 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 에 전기적으로 연결된다. 바람직하게는, 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 는 동일한 평면 내에 있지 않다.
도 5는, 다른 실시예에 따른, 도 1의 기판 지지부 어셈블리 내에서 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 의 배열을 도시한다. 층 (103) 은 상부층 (103a) 및 하부층 (103b) 을 포함한다. ESC 전극 (102) 는 상부층 (103a) 에 임베딩된다. 다이오드들 (205) 은 하부층 (103b) 의 상부면에 본딩된다. 전력 리턴 라인들 (202) 은 하부층 (103b) 의 상부면 상에 배치된다. 전력 공급 라인들 (201) 은 하부층 (103b) 내에 임베딩된다. 다이오드들 (250) 은 수직으로 확장하는 비아들 (301) 을 통해 전력 공급 라인들 (201) 에 전기적으로 연결된다. 다이오드들 (250) 은 전력 리턴 라인 (202) 에 측방향으로 전기적으로 연결된다. 하부층 (103b) 은 상부층 (103a) 과 열 배리어 층 (107) 사이에 샌드위치된다.
도 6은, 다른 실시예에 따른, 도 1의 기판 지지부 어셈블리 내에서 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 의 배열을 도시한다. 층 (103) 은 상부층 (103a) 및 하부층 (103b) 을 포함한다. ESC 전극 (102) 은 상부층 (103a) 내에 임베딩된다. 다이오드들 (250) 은 하부층 (103) 의 상부면에 본딩된다. 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 은 하부층 (103) 내에 임베딩되고, 바람직하게는 동일한 평면 상에 있지 않다. 다이오드들 (250) 은 하부층 (103b) 내에서 수직으로 확장하는 비아들 (301) 을 통해 전력 공급 라인들 (201) 및 전력 리턴 라인들 (202) 에 전기적으로 연결된다. 하부층 (103b) 은 상부층 (103a) 과 열 배리어 층 (107) 사이에 샌드위치된다.
층 (103) 은 바람직하게는 세라믹으로 이루어진다. 도 4 내지 도 6에 도시된 가열 플레이트는 시트들로 세라믹 파우더, 바인더 (binder) 및 액체 의 혼합물을 프레싱 (pressing) 하는 단계; 시트들 내에 홀들 (holes) 을 펀칭 (punching) 함으로써 시트들 내에 비아들을 형성하는 단계; 도체 파우더 (예컨대, W, WC, 도핑된 SiC 또는 MoSi2) 의 슬러리 (slurry) 를 스크린 인쇄 하는 것, 프리컷 (precut) 금속 포일 (foil) 을 프레싱하는 것, 도체 파우더의 슬러리를 스프레이하는 것, 또는 임의의 다른 적합한 기법에 의해 시트들 상에 전력 공급 라인들 및 전력 리턴 라인들을 형성하는 단계; 시트들을 정렬하는 단계; 층 (103) 을 형성하도록 소결함으로써 또는 점착제로써 시트들을 본딩하는 단계; 도체 파우더의 슬러리로 비아들을 충진하는 단계; 각각의 평탄한 히터존 내의 다이오드들이 한 쌍의 전력 공급 라인 및 전력 리턴 라인에 연결되도록 그리고 상이한 평탄한 히터 존들 내의 2개의 다이오드들이 동일한 한 쌍의 전력 공급 라인 및 전력 리턴 라인을 공유하지 않도록, 층 (103) 의 하부면 상에 다이오드들을 본딩하는 단계를 포함하는 예시적인 방법에 의해 제조될 수 있다. 시트들은 두께가 약 0.3 ㎜일 수 있다.
도 4 내지 도 6에서 도시된 바와 같이 가열 플레이트는 (a) 섬유유리 조성물 플레이트로 또는 전기 절연 폴리머 막 (예컨대, 폴리이미드) 으로써 덮인 금속 플레이트 상에 (Al, 인코넬® 또는 Cu 포일과 같은) 금속 시트를 본딩하는 단계 (예컨대, 열 프레스, 점착제로 부착); (b) 금속 시트의 표면에 패터닝 (patterning) 된 레지스트 막을 도포하는 단계로서, 패터닝된 레지스트 막 내의 개구들은 전력 라인들의 그룹의 위치 및 형상을 정의하는, 레지스트 막을 도포하는 단계; (c) 패터닝된 레지스트 막 내의 개구들을 통해 노출된 금속 시트의 부분들을 화학적으로 에칭함으로써 전력 라인들의 그룹을 형성하는 단계; (d) (적합한 용매에 용해 또는 건식 스트리핑에 의해) 레지스트 막을 제거하는 단계; (e) 금속 시트 상에 전기 절연 폴리머 막을 도포하는 단계; (f) 1회 이상 단계 (b) 내지 단계 (e) 를 선택적으로 반복하는 단계; (g) 전기 절연 폴리머 막(들) 및 금속 시트(들) 을 관통하여 홀들을 펀칭함으로써 비아들을 형성하고 도체 파우더의 슬러리로 홀들을 충진하는 단계; (h) 각각의 평탄한 히터존 내의 다이오드들이 한 쌍의 전력 공급 라인 및 전력 리턴 라인에 연결되도록 그리고 상이한 평탄한 히터 존들 내의 2개의 다이오드들이 동일한 한 쌍의 전력 공급 라인 및 전력 리턴 라인을 공유하지 않도록 최상단의 전기 절연 폴리머의 노출된 표면 상에 다이오드들을 본딩하고 선택적으로 전력 라인들의 그룹을 형성하는 단계를 포함하는 다른 방법에 의해 또한 제조될 수 있다.
다이오드들 (250) 은 뉴욕 하퍼지에 위치된 센트럴 세미컨덕터 (Central Semiconductor) 에 의해 제조된 CPD07과 같은 임의의 적합한 모델일 수 있다. 예시적인 다이오드 (250) 는 약 2.5 ㎜ × 2.5 ㎜ 의 치수를 갖고, 8 A까지의 전류를 운반할 수 있고, 10 W까지의 가열 전력을 가질 수 있다. 다이오드 (250) 는 바람직하게는 적어도 0.1 W/㎠의 가열 전력 밀도를 가지고, 2 ℃ 온도 섭동 (perturbation) 을 달성하기 충분하다. 열 절연층 (107) 은 바람직하게는 약 0.01 ㎡K/W 에서 약 100 ㎡K/W (예컨대, 약 1 ㎡K/W) 까지의 열 저항을 갖는다.
평탄한 히터존 (101) 에 전력을 공급하는 경우, DC 전류는 히터존 (101) 내에서 다이오드(들) (250) 을 통해, 다이오드(들) (250) 의 애노드(들)로부터 다이오드(들) (250) 의 캐소드(들)로의 방향으로, 향해진다.
도 7은 주 히터층 (601) 을 더 포함하는 도 1의 기판 지지부 어셈블리를 도시한다. 바람직하게는, 주 히터들 (601) 은 개별적으로 제어되는 고-전력 히터들이다. 주 히터들의 전력은 100 W 와 10,000 W 사이, 바람직하게는, 1,000 W 내지 5,000 W이다. 주 히터들은 직사각형 그리드, 동심원 환형 존들 (zones), 방사상 존 또는 환형 존들과 방사상 존들의 조합으로 배열될 수도 있다. 주 히터들은 기판 상에서 단계적인 온도 제어를 위해, 방사상의 온도 프로파일을 조절하기 위해 또는 평균 온도를 변화시키기 위해 이용될 수도 있다. 주 히터는 가열 플레이트의 히터존들 위 또는 아래에 위치될 수도 있다.
플라즈마 처리 챔버가 동작하는 방법의 개관으로서, 도 8은 본 명세서에 개시된 가열 플레이트를 통합하는 기판 지지부 어셈블리 (704) 및 상부 샤워헤드 전극 (703) 을 갖는 챔버 (713) 를 포함하는 플라즈마 처리 챔버의 개략도를 도시한다. 기판 (712) 은 기판 지지부 어셈블리 (704) 상에서 로딩 포트 (loading port) (711) 를 통해 로딩된다. 가스 라인 (709) 은 챔버로 처리 가스를 전달하는 상부 샤워헤드 전극 (703) 으로 처리 가스를 공급한다. 가스 소스 (708) (예컨대, 적합한 가스 혼합물을 공급하는 질량 유량 제어기) 는 가스 라인 (709) 에 연결된다. RF 전력 소스 (702) 는 상부 샤워헤드 전극 (703) 에 연결된다. 동작에서, 챔버는 진공 펌프 (710) 에 의해 비워지고 RF 전력은 기판 (712) 과 상부 샤워헤드 전극 (703) 사이 공간에서 처리 가스를 플라즈마로 에너자이징 (energizing) 하도록 기판 지지부 어셈블리 (704) 내에 상부 샤워헤드 전극 (703) 과 하부 전극 사이에서 용량성으로 커플링된다. 플라즈마는 기판 (712) 상에서 층으로 디바이스 다이 피처들을 에칭하는데 이용될 수 있다. 기판 지지부 어셈블리 (704) 는 그 안에 통합된 히터들을 가질 수도 있다. 상술된 바와 같이, 플라즈마 처리 챔버의 세부적인 디자인이 변할 수도 있으나, RF 전력은 기판 지지부 어셈블리 (704) 를 통해 플라즈마와 커플링된다는 것이 인식되어야 한다.
기판 지지부 어셈블리의 제조에 이용을 위한 적합한 절연 재료 및 전도성 재료의 예시는 공통 양도된 미국 특허 제 6,483,690 호에 개시되고, 그 명세서는 참조로써 본 명세서에 통합된다.
가열 플레이트, 가열 플레이트 제조 방법, 및 가열 플레이트를 포함하는 기판 지지부 어셈블리가 그것의 구체적인 실시예들을 참조하여 상세히 설명되었으나, 첨부된 청구항의 범위를 벗어나지 않고, 다양한 변경들 및 수정들이 이루어지고 등가물들이 채용될 수 있음이 당업자들에게 자명할 것이다.

Claims (18)

  1. 반도체 프로세싱 장치에서 반도체 기판에 지지를 제공하는 기판 지지부에 있어서,
    상기 기판 지지부는,
    상부 전기 절연층과 하부 전기 절연층을 포함하는 전기 절연층;
    적어도 제 1 평면 열 존 (planar thermal zone), 제 2 평면 열 존, 제 3 평면 열 존 및 제 4 평면 열 존 그리고 상기 평면 열 존들 각각 내에 적어도 하나의 다이오드를 포함한 평면 열 존들로서, 상기 평면 열 존들은 상기 상부 전기 절연층과 상기 하부 전기 절연층 사이의 상기 전기 절연층에 임베딩되고 (embedded), 상기 평면 열 존들은 상기 기판 지지부의 상부면 상의 온도 프로파일을 조절하도록 구성되는, 상기 평면 열 존들;
    상기 제 1 평면 열 존 및 상기 제 2 평면 열 존의 상기 하나 이상의 다이오드들의 애노드들에 전기적으로 연결되는 제 1 전력 공급 소스 및 상기 제 3 평면 열 존 및 상기 제 4 평면 열 존의 상기 하나 이상의 다이오드들의 애노드들에 전기적으로 연결되는 제 2 전력 공급 소스를 적어도 포함하는 전력 공급 소스들; 및
    상기 제 1 평면 열 존 및 상기 제 3 평면 열 존의 상기 하나 이상의 다이오드들의 캐소드들에 전기적으로 연결되는 제 1 전력 리턴 (return) 소스 및 상기 제 2 평면 열 존 및 상기 제 4 평면 열 존의 상기 하나 이상의 다이오드들의 캐소드들에 전기적으로 연결되는 제 2 전력 리턴 소스를 적어도 포함하는 전력 리턴 소스들을 포함하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 평면 열 존들은 어떠한 저항성 히터 엘리먼트도 포함하지 않는, 기판 지지부.
  3. 제 1 항에 있어서,
    (a) 상기 전력 공급 소스들 및 상기 전력 리턴 소스들은 상기 전기 절연층에 임베딩되고 (embedded); 상기 다이오드들은 상기 전기 절연층의 하부면 또는 상부면에 본딩되고 (bonding); 상기 전력 공급 소스들 및 상기 전력 리턴 소스들은 상기 기판 지지부를 통해 수직으로 연장하는 비아들 (via) 에 의해 상기 평면 열 존들에 전기적으로 연결되거나;
    (b) 상기 전력 공급 소스들은 상기 전기 절연층에 임베딩되고; 상기 전력 리턴 소스들은 상기 전기 절연층의 상부면 상에 있고; 상기 다이오드들은 상기 전기 절연층의 상기 상부면에 본딩되고; 상기 전력 리턴 소스들은 상기 평면 열 존들에 측방향으로 전기적으로 연결되고 상기 전력 공급 소스들은 상기 기판 지지부를 통해 수직으로 연장하는 비아들에 의해 상기 평면 열 존들에 전기적으로 연결되는, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 평면 열 존들은,
    (a) 상기 평면 열 존 각각이 0.1 ㎠ 내지 1 ㎠가 되도록, 또는
    (b) 상기 평면 열 존 각각이 1 ㎠ 내지 3 ㎠ 가 되도록, 또는
    (c) 상기 평면 열 존 각각이 3 ㎠ 내지 15 ㎠ 가 되도록 사이징되는, 기판 지지부.
  5. 제 1 항에 있어서,
    100 개 내지 700 개의 평면 열 존들을 포함하는, 기판 지지부.
  6. 제 2 항에 있어서,
    상기 전기 절연층은 폴리머 (polymer) 재료, 세라믹 (ceramic) 재료, 섬유유리 (fiberglass) 조성물, 또는 이들의 조합을 포함하는, 기판 지지부.
  7. 제 1 항에 있어서,
    상기 전력 공급 소스들 및 상기 전력 리턴 소스들의 총 개수는 상기 평면 열 존들의 총 개수 이하인, 기판 지지부.
  8. 제 1 항에 있어서,
    상기 평면 열 존들의 총 면적은 상기 기판 지지부의 상부면의 50 % 내지 99 %인, 기판 지지부.
  9. 제 1 항에 있어서,
    상기 평면 열 존들은 직사각형 그리드 (grid), 육각형 그리드 또는 동심원 링들 (ring) 내에 배열되고; 상기 평면 열 존들은 폭이 최소한 1 밀리미터이고 폭이 최대한 10 밀리미터인 갭들에 의해 서로 분리되는, 기판 지지부.
  10. 삭제
  11. 제 1 항에 있어서,
    상기 다이오드들은 8 A까지의 전류를 운반하고, 10 W까지의 가열 전력을 갖는, 기판 지지부.
  12. 기판 지지부 어셈블리 상에 반도체 기판을 정전기적으로 클램핑하도록 (clamping) 구성되는 적어도 하나의 정전 클램핑 전극을 포함하는 정전척 (ESC);
    상부 전기 절연층과 하부 전기 절연층을 포함하는 전기 절연층;
    적어도 제 1 평면 열 존, 제 2 평면 열 존, 제 3 평면 열 존 및 제 4 평면 열 존 그리고 상기 평면 열 존들 각각 내에 적어도 하나의 다이오드를 포함한 평면 열 존들로서, 상기 평면 열 존들은 상기 상부 전기 절연층과 상기 하부 전기 절연층 사이의 상기 전기 절연층에 임베딩되고 (embedded), 상기 평면 열 존들은 상기 기판 지지부 어셈블리의 상부면 상의 온도 프로파일을 조절하도록 구성되는, 상기 열 존들;
    상기 제 1 평면 열 존 및 상기 제 2 평면 열 존의 상기 하나 이상의 다이오드들의 애노드들에 전기적으로 연결되는 제 1 전력 공급 소스 및 상기 제 3 평면 열 존 및 상기 제 4 평면 열 존의 상기 하나 이상의 다이오드들의 애노드들에 전기적으로 연결되는 제 2 전력 공급 소스를 적어도 포함하는 전력 공급 소스들;
    상기 제 1 평면 열 존 및 상기 제 3 평면 열 존의 상기 하나 이상의 다이오드들의 캐소드들에 전기적으로 연결되는 제 1 전력 리턴 소스 및 상기 제 2 평면 열 존 및 상기 제 4 평면 열 존의 상기 하나 이상의 다이오드들의 캐소드들에 전기적으로 연결되는 제 2 전력 리턴 소스를 적어도 포함하는 전력 리턴 소스들; 및
    열 배리어층 (thermal barrier layer) 에 의해 상기 전기 절연층의 하부측에 부착되는 냉각 플레이트를 포함하는, 기판 지지부 어셈블리.
  13. 제 12 항에 있어서,
    상기 평면 열 존들의 위 또는 아래에 배열된 적어도 하나의 주 (primary) 히터층을 더 포함하고,
    상기 주 히터층은 상기 평면 열 존들, 상기 전력 공급 소스들, 및 상기 전력 리턴 소스들로부터 전기적으로 절연되고; 그리고 상기 주 히터층은 상기 기판 지지부 어셈블리의 상기 상부면의 평균 온도 제어를 제공하는 적어도 하나의 히터를 포함하는, 기판 지지부 어셈블리.
  14. 제 13 항에 있어서,
    상기 주 히터층은 2 이상의 히터들을 포함하는, 기판 지지부 어셈블리.
  15. 제 1 항에 기재된 상기 기판 지지부를 제작하기 위한 방법에 있어서,
    세라믹 파우더 (powder), 바인더 (binder) 및 액체의 혼합물을 시트들 (sheet) 로 프레싱하는 (pressing) 단계;
    상기 시트들을 건조하는 단계;
    상기 시트들에 홀들 (hole) 을 펀칭함으로써 (punching) 상기 시트들에 비아들을 형성하는 단계;
    상기 시트들 상에 상기 전력 공급 소스들 및 상기 전력 리턴 소스들을 제공하는 단계;
    상기 시트들을 정렬하는 단계;
    상기 전기 절연층의 상기 상부 전기 절연층을 형성하도록 소결함으로써 (sintering) 또는 점착제에 의해 상기 시트들을 본딩하는 단계;
    도체 파우더 (conducting powder) 의 슬러리 (slurry) 로 상기 비아들을 충진하는 단계; 및
    다이오드(들) 각각이 한 쌍의 전력 공급 소스들 및 전력 리턴 소스들에 연결되도록 그리고 2 개의 다이오드들이 동일한 상기 한 쌍의 전력 공급 소스들 및 전력 리턴 소스들을 공유하지 않도록 상기 전기 절연층의 상기 상부 전기 절연층의 하부면 상에 상기 다이오드들을 본딩하는 단계를 포함하는, 기판 지지부를 제작하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 전력 공급 소스들 및 상기 전력 리턴 소스들은 도체 파우더의 슬러리를 스크린 인쇄하는 것, 프리컷 (precut) 금속 포일 (foil) 을 프레싱하는 것, 또는 도체 파우더의 슬러리를 스프레이하는 것에 의해 형성된 전력 라인들을 포함하는, 기판 지지부를 제작하기 위한 방법.
  17. 제 1 항에 기재된 상기 기판 지지부를 제작하기 위한 방법에 있어서,
    (a) 섬유유리 조성물 플레이트 상에, 또는 전기 절연 폴리머 막에 의해 덮힌 금속 플레이트 상에 금속 시트를 본딩하는 단계;
    (b) 상기 금속 시트의 표면에 패터닝된 (patterned) 레지스트 막을 도포하는 (applying) 단계로서, 상기 패터닝된 레지스트 막 내의 개구들은 전력 라인들의 그룹의 위치들 및 형상들을 정의하는, 상기 패터닝된 레지스트 막을 도포하는 단계;
    (c) 상기 패터닝된 레지스트 막 내의 상기 개구들을 통해 노출된 상기 금속 시트의 부분들을 화학적으로 에칭함으로써 상기 전력 라인들의 그룹을 형성하는 단계;
    (d) 상기 레지스트 막을 제거하는 단계;
    (e) 상기 금속 시트 상에 전기 절연 폴리머 막을 도포하는 단계;
    (f) 상기 하부 전기 절연층을 형성하도록 선택 가능하게 1회 이상 단계 (b) 내지 단계 (e) 를 반복하는 단계;
    (g) 상기 금속 시트(들) 및 상기 전기 절연 폴리머 막(들)을 통해 홀들을 펀칭함으로써 비아들을 형성하고 도체 파우더의 슬러리로 상기 홀들을 충진하는 단계; 및
    (h) 다이오드들 각각이 한 쌍의 전력 공급 소스들 및 전력 리턴 소스들에 연결되도록 그리고 2 개의 다이오드들이 동일한 한 쌍의 전력 공급 소스들 및 전력 리턴 소스들을 공유하지 않도록 최상단의 전기 절연 폴리머 막의 노출된 표면 상에 다이오드들을 본딩하고, 선택 가능하게 전력 라인들의 그룹을 형성하는 단계를 포함하는, 기판 지지부를 제작하기 위한 방법.
  18. 제 12 항에 기재된 상기 기판 지지부 어셈블리를 포함하는 플라즈마 처리 챔버에서 반도체 기판을 플라즈마 처리하기 위한 방법에 있어서,
    (a) 상기 처리 챔버로 상기 반도체 기판을 로딩하고 상기 기판 지지 어셈블리 상에 상기 반도체 기판을 위치시키는 단계;
    (b) 임계 치수 (critical dimension; CD) 균일성에 영향을 미치는 처리 조건들을 보상하는 (compensate) 온도 프로파일을 결정하는 단계;
    (c) 상기 기판 지지부 어셈블리를 이용하여 상기 온도 프로파일에 일치하도록 상기 반도체 기판을 가열하는 단계;
    (d) 플라즈마를 점화하고 상기 평면 열 존들의 독립적으로 제어되는 가열에 의해 상기 온도 프로파일을 제어하는 동안 상기 반도체 기판을 처리하는 단계; 및
    (e) 상기 처리 챔버로부터 상기 반도체 기판을 언로딩하고 (unloading) 상이한 반도체 기판으로 단계 (a) 내지 단계 (e) 를 반복하는 단계를 포함하는, 반도체 기판을 플라즈마 처리하기 위한 방법.
KR1020167023307A 2011-09-20 2012-09-17 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트 KR101915371B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/237,444 US8624168B2 (en) 2011-09-20 2011-09-20 Heating plate with diode planar heater zones for semiconductor processing
US13/237,444 2011-09-20
PCT/IB2012/054911 WO2013042030A1 (en) 2011-09-20 2012-09-17 Heating plate with diode planar heater zones for semiconductor processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147010576A Division KR101653470B1 (ko) 2011-09-20 2012-09-17 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트

Publications (2)

Publication Number Publication Date
KR20160104104A KR20160104104A (ko) 2016-09-02
KR101915371B1 true KR101915371B1 (ko) 2018-11-05

Family

ID=47879653

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167023307A KR101915371B1 (ko) 2011-09-20 2012-09-17 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
KR1020147010576A KR101653470B1 (ko) 2011-09-20 2012-09-17 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147010576A KR101653470B1 (ko) 2011-09-20 2012-09-17 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트

Country Status (7)

Country Link
US (1) US8624168B2 (ko)
JP (2) JP5925897B2 (ko)
KR (2) KR101915371B1 (ko)
CN (2) CN106057708A (ko)
SG (1) SG11201400620YA (ko)
TW (3) TWI553760B (ko)
WO (1) WO2013042030A1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
KR20180110213A (ko) * 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
CN104502400A (zh) * 2014-11-25 2015-04-08 航天材料及工艺研究所 一种隔热材料高温热导率平面热源测试系统及方法
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
JP6653535B2 (ja) * 2015-08-07 2020-02-26 日本発條株式会社 ヒータユニット
JP6661311B2 (ja) 2015-09-11 2020-03-11 キヤノン株式会社 像加熱装置及び像加熱装置に用いるヒータ
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
KR20200086357A (ko) * 2017-11-21 2020-07-16 와틀로 일렉트릭 매뉴팩츄어링 컴파니 라우팅 레이어가 있는 다중-구역 받침대 히터
JP7008497B2 (ja) * 2017-12-22 2022-01-25 東京エレクトロン株式会社 基板処理装置および温度制御方法
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
WO2021025809A1 (en) * 2019-08-02 2021-02-11 Applied Materials, Inc. Pedestal with multi-zone heating
JP7411431B2 (ja) 2020-01-31 2024-01-11 新光電気工業株式会社 静電チャック、基板固定装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006250736A (ja) 2005-03-10 2006-09-21 Mitsuteru Kimura 加熱ダイオード温度測定装置とこれを用いた赤外線温度測定装置および流量測定装置ならびに流量センシング部の製作方法
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber
US20100283565A1 (en) 2009-05-08 2010-11-11 Siemens Plc. Quench Propagation Circuit for Superconducting Magnets
US20110033175A1 (en) 2008-01-28 2011-02-10 Tokyo Electron Limited Annealing apparatus
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
US5414245A (en) 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JPH0798358A (ja) * 1993-09-29 1995-04-11 Toshiba Corp 半導体装置
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
US6091060A (en) 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6175175B1 (en) 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1199908A4 (en) 1999-10-22 2003-01-22 Ibiden Co Ltd CERAMIC HEATING PLATE
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US7075031B2 (en) 2000-10-25 2006-07-11 Tokyo Electron Limited Method of and structure for controlling electrode temperature
WO2002071446A2 (en) 2001-03-02 2002-09-12 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP4549022B2 (ja) 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
JP3808407B2 (ja) 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
DE10397020B4 (de) 2002-07-11 2022-08-04 Temptronic Corp. Werkstück-Einspannvorrichtung mit Temperatursteuereinheit mit Abstandshaltern zwischen Schichten, die einen Zwischenraum für thermoelektrische Module schaffen und Verfahren zum Halten eines Werkstücks
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
CN100464927C (zh) 2003-03-28 2009-03-04 东京毅力科创株式会社 用于衬底的温度控制的方法和系统
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US7221579B2 (en) * 2005-06-13 2007-05-22 International Business Machines Corporation Method and structure for high performance phase change memory
JP4667158B2 (ja) 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
JP4850664B2 (ja) 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
KR100849069B1 (ko) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP2009152163A (ja) * 2007-12-20 2009-07-09 Inter Central:Kk 安定した多量の遠赤外線を放射する平板形加温装置
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US10049859B2 (en) 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006250736A (ja) 2005-03-10 2006-09-21 Mitsuteru Kimura 加熱ダイオード温度測定装置とこれを用いた赤外線温度測定装置および流量測定装置ならびに流量センシング部の製作方法
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber
US20110033175A1 (en) 2008-01-28 2011-02-10 Tokyo Electron Limited Annealing apparatus
US20100283565A1 (en) 2009-05-08 2010-11-11 Siemens Plc. Quench Propagation Circuit for Superconducting Magnets
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing

Also Published As

Publication number Publication date
JP2014528168A (ja) 2014-10-23
TWI575640B (zh) 2017-03-21
KR101653470B1 (ko) 2016-09-01
WO2013042030A1 (en) 2013-03-28
TW201707114A (zh) 2017-02-16
KR20140070615A (ko) 2014-06-10
KR20160104104A (ko) 2016-09-02
CN106057708A (zh) 2016-10-26
CN103946423B (zh) 2016-08-17
JP5925897B2 (ja) 2016-05-25
TW201633428A (zh) 2016-09-16
TWI618174B (zh) 2018-03-11
SG11201400620YA (en) 2014-04-28
US20130068750A1 (en) 2013-03-21
JP2016213447A (ja) 2016-12-15
TWI553760B (zh) 2016-10-11
JP6276800B2 (ja) 2018-02-07
TW201318092A (zh) 2013-05-01
CN103946423A (zh) 2014-07-23
US8624168B2 (en) 2014-01-07

Similar Documents

Publication Publication Date Title
KR101915371B1 (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
JP6205460B2 (ja) 基板支持体、静電チャック、基板支持体の各熱領域を作成する方法、及び基板支持体の層を製造する方法
KR101822318B1 (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트
KR101643800B1 (ko) 반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant