TW201635425A - 用於半導體處理之具平面加熱區的加熱板及其製造方法 - Google Patents

用於半導體處理之具平面加熱區的加熱板及其製造方法 Download PDF

Info

Publication number
TW201635425A
TW201635425A TW105119672A TW105119672A TW201635425A TW 201635425 A TW201635425 A TW 201635425A TW 105119672 A TW105119672 A TW 105119672A TW 105119672 A TW105119672 A TW 105119672A TW 201635425 A TW201635425 A TW 201635425A
Authority
TW
Taiwan
Prior art keywords
substrate support
power
power supply
substrate
heating
Prior art date
Application number
TW105119672A
Other languages
English (en)
Other versions
TWI642135B (zh
Inventor
席恩哈密特
高夫凱伊斯
班傑明尼爾
卡門登特凱伊斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201635425A publication Critical patent/TW201635425A/zh
Application granted granted Critical
Publication of TWI642135B publication Critical patent/TWI642135B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/26Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49083Heater type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49099Coating resistive material on a base

Abstract

用於半導體電漿處理設備中的基板支撐組件之加熱板包含佈置在可縮放的多工布局中的數個獨立控制平面加熱區,以及用以獨立控制並供電給平面加熱區的電子元件。併有加熱板的基板支撐組件包括靜電夾箝電極與溫度受控底板。製造加熱板的方法包括將具有平面加熱區、供電線路、電力回流線路與穿孔的陶瓷或高分子薄片接合在一起。

Description

用於半導體處理之具平面加熱區的加熱板及其製造方法
本發明係關於用於半導體處理之具平面加熱區的加熱板。
隨著各個接續不斷的半導體技術世代,基板直徑趨向增加而電晶體尺寸趨向縮小,以致對於基板處理的精確度與可重複性有更高要求。半導體基板材料(如矽基板)是由包括使用真空腔等等技術來處理。此等技術包括非電漿應用方法,例如電子束沉積,以及電漿應用方法,例如濺鍍沉積、電漿輔助化學氣相沉積(PECVD, plasma-enhanced chemical vapor deposition)、抗蝕層剝除、以及電漿蝕刻。
今日市售的電漿處理系統是那些面臨對於增進的精確度與可重複性有高漲要求的半導體生產機具。電漿處理系統的一個評斷標準為均勻度提升,包括半導體基板表面上的製程結果均勻度,以及以相同名義的輸入參數所處理之一連串基板的製程結果均勻度。基板上均勻度的持續改進係備受期待。這需要電漿腔室具備增進的均勻度、一致性與自我診斷能力等等。
依照一實施例,在半導體電漿處理設備中用以支撐半導體基板的基板支撐組件之加熱板至少包含第一電氣絕緣層;平面加熱區,其至少包含橫向分布在該第一電氣絕緣層各處的第一、第二、第三、與第四平面加熱區;導電供電線路,其至少包含電連接至該第一與第二平面加熱區的第一供電線路,與電連接至該第三與第四平面加熱區的第二供電線路;導電電力回流線路,其至少包含電連接至該第一與第三平面加熱區的第一電力回流線路,與電連接至該第二與第四平面加熱區的第二電力回流線路。
在半導體處理設備中,以放射狀與方位角形式控制基板溫度以達成基板上期望的臨界尺寸(CD, critical dimension)均勻度之要求越變越嚴苛。即使微小的溫度變化也會影響CD至無法接受的程度,而在CD逼近100nm以下的半導體製程時更是如此。
在處理期間,基板支撐組件可用於各式功能,例如支撐基板、調整基板溫度、以及供應射頻電力。基板支撐組件可包含在處理期間用以透過靜電將基板夾在基板支撐組件上之靜電夾頭(ESC, electrostatic chuck)。ESC可為可調式ESC(T-ESC)。在共同受讓的美國專利申請案第6,847,014號與第6,921,724號中有描述一T-ESC,該內容併入此案以供參考。基板支撐組件可包含陶瓷基板支座、液冷散熱裝置(此後稱為冷卻板)、以及多個同心加熱區來達成逐步及放射狀的溫度控制。冷卻板一般會維持在0 °C與30 °C之間。加熱器位在冷卻板上,而二者之間具有一層絕熱器。加熱器能維持基板支撐組件的支撐表面的溫度高於冷卻板溫度約0 °C至80 °C。藉由改變多個加熱區中的加熱器功率,基板支撐溫度分布就能在中央熱、中央冷、與均勻之間改變。另外,可在高於冷卻板溫度0 °C至80 °C的操作範圍中,逐步改變平均的基板支撐溫度。隨著CD因半導體技術的發展而縮小,微小的方向角溫度變化因而亦帶來日漸困難的挑戰。
有幾個原因造成溫度控制不易。首先,許多因素皆可影響熱量傳遞,例如熱源與散熱裝置的位置、移動、媒介的材料與形狀。第二,熱量傳遞為動態過程。除非所探討的系統處於熱平衡,否則熱量傳遞將會發生,且溫度分布與熱量傳遞將隨時間改變。第三,不平衡現象(例如當然總會在電漿處理中存在的電漿)會使得以理論預估任何實際的電漿處理設備之熱傳現象非常困難(若非無法辦到)。
電漿處理設備中的基板溫度分布受到許多因素影響,例如電漿密度分布、RF功率分布、以及夾頭中各種加熱或冷卻元件的詳細結構,因而基板溫度分布通常並不均勻,且難以用少量的加熱或冷卻元件來控制。此缺陷轉化成整個基板上的處理速率不均勻,以及基板上元件晶粒的臨界尺寸不均勻。
鑒於溫度控制的複雜本質,在基板支撐組件中併入數個獨立控制的加熱區將會頗有助益,其能使設備主動產生並維持期望的空間與時間溫度分布,並能彌補其他會對CD均勻度造成不良影響的因素。
此處所論為具備數個獨立控制加熱區之加熱板,其用於半導體處理設備中的基板支撐組件上。此加熱板包含加熱區以及供電與電力回流線路之可縮放的多工布局設計。藉由調整加熱區的功率,就能以放射狀與方位角兩種形式來塑造處理期間的溫度分布。雖然此加熱板主要是針對電漿處理設備而描述,但此加熱板亦可用於其他不使用電漿的半導體處理設備。
亦描述用以製造此加熱板、包含此類加熱板的基板支撐組件之方法,以及用以供電並控制包含此類加熱板的基板支撐組件之方法。
最好能把加熱板中的加熱區佈置成明確的圖形,例如矩形網格、六角形網格、環形陣列、同心圓或任何期望的圖形。各加熱區可為任何適合的尺寸並可具備一個以上的加熱元件。加熱區中的所有加熱元件係一起開啟或關閉。為了讓電連接點數量最少,供電與電力回流線路係佈置如下:各供電線路連接至不同的加熱區群組,且各電力回流線路連接至不同的加熱區群組,其中各加熱區係屬於連接至一特定供電線路的群組之一以及連接至一特定電力回流線路的群組之一。任二個加熱區皆不會連接至相同的供電與電力回流線路對。因此,就能藉由指引電流通過一特定加熱區所連接的供電與電力回流線路對來啟動該加熱區。加熱元件的功率最好小於20 W(5至10 W更佳)。加熱元件可為電阻式加熱器,例如聚醯亞胺加熱器、矽膠加熱器、雲母加熱器、金屬加熱器(如W、Ni/Cr合金、Mo或Ta)、陶瓷加熱器(如WC)、半導體加熱器或碳加熱器。加熱元件可為網板印刷式、繞線式或蝕刻式金屬薄片加熱器。在一實施例中,各加熱區相應基板上的元件晶粒,係為不大於四個製造在半導體基板上的元件晶粒,或是不大於二個製造在半導體基板上的元件晶粒、或是不大於一個製造在半導體基板上的元件晶粒、或是介於2和3 cm2 之間的面積。加熱元件的厚度範圍可從2微米至1毫米,以5-80微米最佳。為了在多個加熱區以及/或是供電與電力回流線路之間留有空間,加熱區的總面積最高可為基板支撐組件的上表面面積的90%,如50%-90%的面積。可把供電線路或電力回流線路(總稱為電線)配置在範圍從1至10 mm之加熱區間的間隙中,或在以電氣絕緣層和加熱區平面隔開的獨立平面上。為了承載大量電流並減少焦耳熱,只要空間上允許,最好使供電與電力回流線路儘可能地寬。在電線和加熱區處於相同平面的一實施例中,電線的寬度最好介於0.3 mm與2 mm之間。在電線和加熱區處於不同平面的另一實施例中,電線的寬度可和加熱區一樣大,例如針對一個300 mm的夾頭,此寬度可為1至2英吋。供電與電力回流線路的材料可和加熱元件的材料相同或不同。供電與電力回流線路的材料最好為低電阻係數材料,如Cu, Al, W, Inconel®或Mo。
圖1-2呈現包含加熱板實施例之基板支撐組件,該加熱板具有併在二個電氣絕緣層104A與104B中的加熱區101陣列。電氣絕緣層可為高分子材料、無機材料、陶瓷(如氧化矽、氧化鋁、氧化釔、氮化鋁)或其他適合的材料。基板支撐組件更包含(a)具有陶瓷層103(靜電夾箝層)的ESC,在陶瓷層103中嵌入電極102(如單極或雙極),以採DC電壓透過靜電將基板夾在陶瓷層103的表面上;(b)熱阻層107;(c)內含通道106(供冷卻劑流動)的冷卻板105。
如圖2所示,各個加熱區101和供電線路201之一者連接,並和電力回流線路202之一者連接。任何二個加熱區101皆不會共用相同的供電201與電力回流202線路對。藉由適合的電氣切換設備,就能連接供電201與電力回流202線路對至電源(未呈現),僅有連接至此線路對的加熱區會藉以開啟。各加熱區的均時加熱功率可藉由時域多工(time-domain multiplexing)來個別調整。為了預防在不同的加熱區之間發生串音(crosstalk),可串聯一整流器250(如二極體)於各加熱區與和連接至其的供電線路之間(如圖2所示)、或是於各加熱區和連接至其的電力回流線路之間(未呈現)。整流器可實際位在加熱板中或任何適合的位置。或者,可使用任何電流阻隔設備(如固態切換器)來預防串音發生。
圖3A、3B與3C呈現包含ESC、冷卻板、以及加熱板一實施例之基板支撐組件,其中加熱區101與供電線路201係佈置在第一平面302中,而電力回流線路202係佈置在藉由電氣絕緣層304和第一平面302隔開的第二平面303中。電力回流線路202透過延伸於第一平面302與第二平面303之間的電氣絕緣層304中的導電孔301和加熱區101連接。
使用上,供電線路201與電力回流線路202係經由冷卻板中的孔洞或導管連接至加熱板外的電路系統。應知冷卻板中存在的孔洞或導管會負面影響基板支撐組件的溫度均勻度,因而減少冷卻板中的孔洞或導管數量能提高溫度均勻度。除此之外,少量的孔洞才能使其沿著基板支撐組件的邊緣放置。舉例而言,冷卻板中的單一供電導管可用以供應電氣導線給供電線路201。在一實施例中(圖4A與圖4B),加熱區101與供電線路201係佈置在第一平面402中。供電線路201透過延伸於第一平面402與第二平面403之間的導電孔301連接到第二平面403中的導線404。第二平面403以電氣絕緣層(未呈現)和第一平面402隔開。電力回流線路202係佈置在第二平面403中,並透過延伸於第一平面402與第二平面403之間的導電孔301連接到加熱區101。在第二平面403中,在維持導線404之間絕緣的情況下將導線404從冷卻板中的孔洞或導管401牽過。相似地,電力回流線路202連接至導線405,且是在維持導線405之間絕緣的情況下將導線405從冷卻板中的孔洞或導管406牽過。
圖5A、5B、5C與5D呈現包含另一加熱板實施例之基板支撐組件,其中加熱區101係佈置在第一平面501中;供電線路201係佈置在第二平面502中;以及電力回流線路202係佈置在第三平面503中。第一平面501、第二平面502、與第三平面503是藉由電氣絕緣層504與304而彼此隔開。供電線路201與電力回流線路202透過延伸於平面501、502與503之間的電氣絕緣層304與504中的導電孔301而連接到加熱區101。連接到供電線路201的導線(未呈現)繞經層504中的孔洞或導管505。當知在穿孔與導管配置合宜的前提下,可以垂直方向的任何順序來佈置平面501、502與503。加熱器最好配置成離基板支撐組件的上表面最近。圖5E呈現一實施例,其中各加熱區101透過整流器506(如二極體)連接到電力回流線路202。整流器506僅允許電流從供電線路201穿過加熱區101流至電力回流線路202,因而預防在加熱區之間發生串音。
基板支撐組件能包含一額外的電氣絕緣層604,其中併有一個以上的額外加熱器(此後稱為主要加熱器601)(請看圖6)。主要加熱器601最好為個別控制的高功率加熱器。主要加熱器的功率介於100與10000 W之間,最好是介於1000與5000 W之間。主要加熱器可配置成矩形網格、同心環形區、放射狀區或環形區與放射狀區之組合。主要加熱器可用以在基板上改變平均溫度、調整放射狀溫度分布、或逐步的溫度控制。主要加熱器可位在加熱板的加熱區之上或之下。
在一實施例中,加熱板的絕緣層中至少一者為高分子材料薄片。
在另一實施例中,加熱板的絕緣層中之至少一者為無機材料(如陶瓷或氧化矽)薄片。用以製造陶瓷夾頭的適合絕緣與導電材料實例係揭露在共同受讓的美國專利案第6483690號,該案內容併入本申請案以供參考。
基板支撐組件可包含加熱板實施例,其中加熱板的各加熱區尺寸相似或小於基板上的單一元件晶粒或元件晶粒群組,以致基板溫度以及由此而來的電漿蝕刻製程能針對各元件晶粒位置來控制,以從該基板得到最大的元件良率。加熱板的可縮放結構能以最少量的供電線路、電力回流線路、與冷卻板中的供給孔,輕易容納逐個晶粒的基板溫度控制(通常在300 mm直徑的基板上會有超過100個的晶粒)所需的加熱區數量,因而減少基板溫度的擾動、製造成本與基板支持組件的複雜度。雖然並未呈現,基板支撐組件可包含如下所列的特徵:抬升基板用的抬升栓、氦氣背冷裝置、提供溫度回授信號的溫度感測器、提供加熱功率回授信號的電壓與電流感測器、加熱器以及/或是夾箝電極的供電裝置、以及/或是RF濾波器。
在製造加熱板的一方法實施例中(其中絕緣層為陶瓷),可使用如電漿噴塗(plasma spraying)、化學氣相沉積或濺鍍等方法來將陶瓷材料沉積在適合的基板上以形成絕緣層。此層可為初始層或加熱板的絕緣層之一層。
在製造加熱板的一方法實施例中(其中絕緣層為陶瓷),可將陶瓷粉末、黏結劑、與液體混合物加壓成為薄片並乾燥此薄片(此後稱為生胚薄片(green sheet))以形成絕緣層。生胚薄片可為約0.3 mm厚。可在生胚薄片中打出孔洞以在生胚薄片中形成穿孔。這些孔洞填滿了導電粉末漿料。加熱元件、供電與電力回流線路可由下列方法形成:網板印刷導電粉末漿料(如W、WC、摻SiC或MoSi2 )、加壓預切金屬薄片、噴塗導電粉末漿料、或其他適合的方法。可在生胚薄片的形成製程期間加壓出容納任何整流器(如二極體)的凹槽,或在形成製程之後於生胚薄片中切出這些凹槽。可在這些凹槽中安裝個別元件的整流器。接著會對齊、加壓並燒結具備各式元件(電線、穿孔、整流器與加熱元件)的數個生胚薄片以形成整個加熱板。
在製造加熱板的另一方法實施例中(其中絕緣層為陶瓷),可藉由將陶瓷粉末、黏結劑與液體的混合物加壓成生胚薄片並乾燥此生胚薄片以形成絕緣層。生胚薄片可為約0.3 mm厚。在生胚薄片中打出孔洞以容納穿孔。可在生胚薄片的形成製程期間加壓出容納任何整流器(如二極體)的凹槽,或在形成製程之後於生胚薄片中切出這些凹槽。接著就會燒結個別的生胚薄片。在燒結薄片中用以容納穿孔的孔洞會填滿導電粉末漿料。可網板印刷導電粉末漿料(如W、WC、摻SiC或MoSi2 )或使用任何其他適合的方法在燒結薄片上形成加熱元件、供電與電力回流線路。可在燒結薄片的凹槽中安裝個別元件的整流器。接著會對齊並透過黏著劑接合具備各式元件(線路、穿孔、整流器與加熱元件)的數個燒結薄片以形成整個加熱板。
在絕緣層為氧化矽薄片的一實施例中,可使用如蒸鍍、濺鍍、PVD、CVD、PECVD的方法將氧化矽薄膜沉積在適合的基板上以形成絕緣層。
在製造加熱板的一較佳方法實施例中,如Al、Inconel®或Cu薄片的金屬薄片(元件層)係接合(如熱壓合、用黏著劑黏合)至如聚醯亞胺的第一高分子薄膜上。在元件層的表面上塗覆圖形化的抗蝕膜,其中該圖形定義電子元件(如加熱元件、供電與電力回流線路)的形狀與位置。暴露的金屬受到化學蝕刻而抗蝕圖形則留存在剩餘的金屬薄片中。接著藉由在適合的溶劑中溶解或乾式剝離來移除抗蝕層。用以容納穿孔而具孔洞的第二高分子薄膜(穿孔層)和第一高分子薄膜對齊並接合。可藉由在其中電鍍金屬來塗覆孔洞的側壁。可把任何適合數量的元件層與穿孔層接續合併。最後,為了電氣絕緣而用連續高分子薄膜來覆蓋暴露的金屬元件。
在另一實施例中,加熱元件、供電與電力回流線路是由沉積(如電漿噴塗、電鍍、化學氣相沉積、或濺鍍)在絕緣層或基板上(如生胚薄片)的金屬薄膜構成。
在另一實施例中,加熱元件、供電與電力回流線路是由沉積(如電鍍、化學氣相沉積、或濺鍍)在絕緣層或基板上(如生胚薄片)的一層非晶導電無機薄膜(如氧化銦錫)構成。
在又另一實施例中,加熱元件、供電與電力回流線路是由沉積(如化學氣相沉積、或濺鍍)在絕緣層或基板上(如生胚薄片)的一層導電陶瓷薄膜構成。
在一實施例中,加熱板中的供電與電力回流線路可由端點連接器連接至外部電路系統,如嵌入至冷卻板中但與之電氣絕緣的頂端彈簧式連接器(spring tipped passthroughs)。
在另一實施例中,加熱板中的供電與電力回流線路可藉由下列方式連接至外部電路系統:把導線附著(焊接、用導電黏著劑接合或點焊)在供電與電力回流線路上,並將這些導線穿過冷卻板中的孔洞或導管。
在一電漿處理系統中,用在電漿處理系統腔室中的RF功率通常高於100 W,有時會高於1000 W。RF電壓的幅度可超過千伏。在無適當的過濾或絕緣下,如此龐大的RF功率可輕易影響加熱區的控制與供電電路之運作。可使用RF濾波器來讓RF功率從控制與供電電路中分流。RF濾波器可為簡單寬頻濾波器或針對電漿處理系統所使用的特定RF頻率之調式濾波器。相對地,RF隔離器消除任一RF耦合元件和控制與供電電路之間的直接電連接。RF隔離器可為光耦合器或變壓器。
作為電漿處理系統如何運作的綜觀,圖7A呈現包含腔室713的電漿處理腔室之示意圖,其中配置上部噴頭電極(showerhead electrode)703與基板支撐組件704。基板712穿過載入埠711載入至基板支撐組件704上。氣體線路709供應製程氣體給傳送製程氣體進入腔室的上部噴頭電極703。氣體源708(如供應適合的氣體混合物之質量流量控制器)連接至氣體線路709。RF電源702連接至上部噴頭電極703。操作上,藉由真空泵710排空腔室,並且RF電力在上部噴頭電極703與基板支撐組件704中的下部電極之間電容耦合,以在基板712與上部噴頭電極703之間的空間中將製程氣體激發為電漿。可用電漿來蝕刻元件晶粒特徵至基板712的層中。基板支撐組件704可具併於其中的加熱器。應知雖然電漿處理腔室的細部設計可能不同,但仍可經由基板支撐組件704耦合RF電力。
圖7B呈現RF過濾或隔離的一實施例示意圖,其中在加熱區的供電與電力回流線路上並未連接濾波器或隔離器,而控制與供電電路705連接至和接地端701連接的濾波器或隔離器706B。由於主要加熱器的高功率,所以若在基板支撐組件中存在主要加熱器(未呈現),其最好能具備獨立的濾波器或隔離器。在此方法中,控制與供電電路705在RF電位上或「高側」上浮動。此方法允許數個加熱區共享單一濾波器或隔離器。
所有高側電路系統皆可容納在緊接於基板支撐組件基底結構之下的局部浮動法拉第籠的內側。
或者,可用隔離變壓器作為單一濾波器或隔離器706B,以使供電與控制電路705和RF隔離。因為變壓器強烈地消弱DC與低頻傳輸,所以加熱區的控制與供電電路705應當要能在相當高的頻率下(25至250 KHz)下運作。控制與供電電路係參照至單一浮動電位(浮動接地)。這需要連接至此隔離變壓器的控制與供電電路必須處在非常相似的RF暴露下。若二群控制與供電電路之間的RF電位相差非常多,顯著的RF電流就會在這些群組間流動。在此情境中,各群組就必須具有各自的濾波器或隔離器,亦或在這些群組之間必須要有濾波器或隔離器。
濾波器或隔離器706B可實際位在電漿處理腔室中或其他任何適合的位置上。
圖8中繪有加熱器控制電子元件的一實施例。低側控制器809可為微控制器單元(MCU, microcontroller unit)或為較高階設備如電腦(PC)。透過光耦合器807,低側控制器和高側MCU 805數位通訊,而後者和加熱區801、感測器803、以及任何輔助電路802互動。若高側MCU 805具有足夠容量與局部記憶體,就可在各運作前預先載入任一設定點與程式至高側MCU 805中,因而就不需和低側控制器809有即時連結。804代表模組之間的單向通訊連結。806代表模組之間的雙向通訊連結。
在時域多工系統的一實施例中,高側MCU依序供電給各個加熱區供電線路。同一時間僅有一個供電線路連接至電源。在供電給一個供電線路的期間,高側MCU可在這期間的一部分時間中維持任何或所有電力回流線路連接至浮動參考端。在以下狀況時會開啟加熱區:連接至該加熱區的供電線路中至少有一個和電源連接,且連接至該加熱區的電力回流線路中至少有一個連接至浮動參考端。加熱區的平均功率和開啟加熱器的平均期間直接成比例。或是,在供電給一個供電線路的期間,高側MCU可在這整個期間中維持任何或所有電力回流線路連接至浮動參考端,並調節會傳送至各個開啟的加熱區之功率。
以具10乘10網格的加熱區為例,在第N列的加熱區連接至第N號供電線路;在第M行的加熱區連接至第M號電力回流線路。高側MCU可採用各供電線路依序和電源連接100 ms的方式來控制加熱。舉例而言,在第3號供電線路連接至電源的100 ms期間,可在這100 ms期間如特定加熱需求所指示般,操作MCU以分別連接第7、8、與9號電力回流線路至浮動參考端達10、50、與100 ms。因此,在第3列與第7行的加熱區就具有1%的工作週期;在第3列與第8行的加熱區就具有5%的工作週期;在第3列與第9行的加熱區就具有10%的工作週期。在此特定實例中,會設定各加熱區的最大尖峰功率為期望平均最大功率的十倍。
為了預防可察覺的溫度調變,切換頻率與整個多工系統最好要快到使各加熱區頻繁(至少1 Hz)獲得指派。藉由使用來自一個以上的溫度感測器之回授資料來實行額外的迴圈控制。若想要亦可使用電壓與電流感測器。可裝置這些感測器以測量參數,例如基板上不同位置的溫度與加熱區的功率。為了使測量參數與其設定目標值之間的差異最小,這些測量參數會送至控制與供電電路以和這些參數的設定目標值作比較,以致控制與供電電路可依此調整傳送至加熱區的功率。
雖然已參照特定實施例詳細描述加熱板、製造此加熱板的方法、包含此加熱板的基板支撐組件、以及使用含有此基板支撐組件的電漿處理腔室的方法,但是熟習本技術者當可明白在未偏離隨附申請專利範圍的範疇下,仍可進行各式變更與修改,並可使用均等者。舉例而言,基板支撐組件可包括用以監控基板溫度的溫度感測器、以期望箝位電壓供電給ESC的供電設備、用以升起與降下基板的升降栓設備、用以供應如氦氣的氣體至基板底側的熱量傳遞氣體供應設備、供應熱量傳遞液體至冷卻板的溫度受控液體供應設備、用以分別供電給在平面加熱區之上或之下的主要加熱器之供電設備、以一個以上的頻率供應RF電力給併於基板支撐組件中的下部電極之供電設備等等。
101‧‧‧加熱區
102‧‧‧電極
103‧‧‧陶瓷層
104A‧‧‧電氣絕緣層
104B‧‧‧電氣絕緣層
105‧‧‧冷卻板
106‧‧‧通道
107‧‧‧熱阻層
201‧‧‧供電線路
202‧‧‧電力回流線路
250‧‧‧整流器
301‧‧‧導電孔
302‧‧‧第一平面
303‧‧‧第二平面
304‧‧‧電氣絕緣層
401‧‧‧孔洞或導管
402‧‧‧第一平面
403‧‧‧第二平面
404‧‧‧導線
405‧‧‧導線
406‧‧‧孔洞或導管
501‧‧‧第一平面
502‧‧‧第二平面
503‧‧‧第三平面
504‧‧‧電氣絕緣層
505‧‧‧孔洞或導管
601‧‧‧主要加熱器
604‧‧‧電氣絕緣層
701‧‧‧接地端
702‧‧‧RF電源
703‧‧‧上部噴頭電極
704‧‧‧基板支撐組件
705‧‧‧控制與供電電路
706B‧‧‧濾波器或隔離器
708‧‧‧氣體源
709‧‧‧氣體線路
710‧‧‧真空泵
711‧‧‧載入埠
712‧‧‧基板
713‧‧‧腔室
801‧‧‧加熱區
802‧‧‧輔助電路
803‧‧‧感測器
804‧‧‧單向通訊連結
805‧‧‧高側微控制器單元(MCU)
806‧‧‧雙向通訊連結
807‧‧‧光耦合器
809‧‧‧低側控制器
圖1為基板支撐組件的橫剖面示意圖,其中併有具加熱區陣列的加熱板,且該基板支撐組件亦包含靜電夾頭(ESC)。
圖2描繪一加熱板實施例中加熱區陣列和供電與電力回流線路之間的拓撲關係,該加熱板可併於基板支撐組件中。
圖3A呈現供電線路與加熱區在相同平面的一實施例。
圖3B呈現電力回流線路位在以電氣絕緣層和圖3A中的平面隔開之平面上,且電力回流線路透過延伸穿過電氣絕緣層的穿孔和加熱區連接。
圖3C呈現基板支撐組件的橫剖面示意圖,該基板支撐組件併有圖3A與3B的加熱板。
圖4A呈現供電線路與加熱區在相同平面的一實施例。
圖4B呈現以電氣絕緣層和圖4A中的平面隔開之一平面,其中該供電線路透過穿孔和此平面中的導線連接,並被牽線至冷卻板(未呈現)中的單一孔洞。在此平面中的電力回流線路透過延伸於此平面與圖4A平面之間的穿孔和加熱區連接。電力回流線路亦被牽線至冷卻板(未呈現)中的單一孔洞。
圖5A呈現加熱區位在無供電線路與電力回流線路的平面上之一實施例。該加熱區透過穿孔連接至在一個以上不同平面的供電線路與電力回流線路。
圖5B呈現供電線路在以電氣絕緣層和圖5A中的平面隔開之第二平面上。供電線路透過延伸於圖5A與5B中的二個平面之間的穿孔和加熱區連接。
圖5C呈現電力回流線路在以另一電氣絕緣層和圖5A與5B中的平面隔開之第三平面上。電力回流線路透過延伸於圖5A-C中的所有三個平面之間的穿孔和加熱區連接。連接至圖5B中供電線路的導線亦在此平面中繞經供應孔。
圖5D為基板支撐組件的橫剖面示意圖,該基板支撐組件併有圖5A-C的加熱板。
圖5E為基板支撐組件的橫剖面示意圖,該基板支撐組件併有圖5A-C的另一加熱板。
圖6為併入加熱板的基板支撐組件之橫剖面示意圖,該基板支撐組件更包括加熱區陣列之上的主要加熱器層,該主要加熱器位在以電氣絕緣層和加熱板中所有平面隔開的額外平面上。
圖7A為示範性電漿處理腔室的示意圖,其可包括具備本文所述的加熱板之基板支撐組件。
圖7B為RF隔離方法的示意圖。
圖8呈現在基板支撐組件的控制電子元件實施例中之信號流的方塊圖。
101‧‧‧加熱區
201‧‧‧供電線路
202‧‧‧電力回流線路
250‧‧‧整流器
301‧‧‧導電孔

Claims (18)

  1. 一種基板支撐件,對於在基板處理腔室中的基板提供支撐,該基板支撐件包含: 可獨立控制的熱元件,配置成調整該基板支撐件之上表面的溫度分布,該等熱元件係由二條以上電線供電,其中每一電線係電連接至該等熱元件的不同群組,其中沒有兩個熱元件係電連接至同一對電線。
  2. 如申請專利範圍第1項之基板支撐件,更包含溫度感測器,配置成測量在該基板支撐件上之複數位置的溫度;及控制與供電電路,其係電連接至該等熱元件,且配置成從該等溫度感測器接收測量到的參數,並調整傳送至該等熱元件的功率,以將該等測量到的參數與設定目標值之間的差異最小化。
  3. 如申請專利範圍第1項之基板支撐件,進一步包含: 一切換裝置,其供電至該等熱元件的每一者,以藉由分時多工提供均時功率至該等熱元件的每一者。
  4. 如申請專利範圍第3項之基板支撐件,進一步包含電連接至電接地端的一隔離器,其中,該切換裝置係電連接至該隔離器。
  5. 如申請專利範圍第3項之基板支撐件,其中,該切換裝置係位於真空腔室中。
  6. 如申請專利範圍第4項之基板支撐件,其中,該隔離器係一隔離變壓器。
  7. 如申請專利範圍第1項之基板支撐件,進一步包含: 一主要加熱器層,包含一個以上主要加熱器; 該等熱元件係被支撐在一第一電氣絕緣層上,且該一個以上主要加熱器係電氣絕緣於該等熱元件及該等電線。
  8. 如申請專利範圍第3項之基板支撐件,其中,該切換裝置係一高側控制器,其在一RF電位上浮動,該基板支撐件進一步包含: 一光耦合器,連接至該高側控制器,以與一低側控制器數位通訊。
  9. 如申請專利範圍第3項之基板支撐件,其中,該等電線包含供電線路及電力回流線路,且該切換裝置將至少一電力回流線路電連接至一浮動參考端。
  10. 如申請專利範圍第3項之基板支撐件,其中,當電連接至該等熱元件的至少一供電線路係電連接至電源,且電連接至該等熱元件的至少一電力回流線路係電連接至浮動參考端時,該切換裝置係配置成開啟該等熱元件的其中一者。
  11. 如申請專利範圍第3項之基板支撐件,其中,該等電線包含供電線路及電力回流線路,且該切換裝置將所有電力回流線路電連接至一浮動參考端。
  12. 如申請專利範圍第3項之基板支撐件,其中,該切換裝置係配置成以至少1 Hz的頻率對每一熱元件選址。
  13. 如申請專利範圍第1項之基板支撐件,進一步包含複數感測器,配置成測量供應至該等熱元件的功率。
  14. 如申請專利範圍第13項之基板支撐件,其中,該等感測器包含電壓感測器及電流感測器的其中至少一者。
  15. 如申請專利範圍第3項之基板支撐件,其中,該等電線包含供電線路及電力回流線路,且該切換裝置係配置成在一供電線路受到供電期間維持所有電力回流線路與一浮動參考端之間的電連接,並調節傳送至被開啟之熱元件的功率。
  16. 如申請專利範圍第1項之基板支撐件,進一步包含一靜電夾箝(ESC)層,及溫度受控且由射頻(RF)供電的一底板。
  17. 如申請專利範圍第1項之基板支撐件,進一步包含一局部法拉第籠,該局部法拉第籠配置成容納高側電路。
  18. 如申請專利範圍第16項之基板支撐件,進一步包含一主要加熱器層,位在由射頻(RF)供電之該底板的上方。
TW105119672A 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法 TWI642135B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/582,991 US8637794B2 (en) 2009-10-21 2009-10-21 Heating plate with planar heating zones for semiconductor processing
US12/582,991 2009-10-21

Publications (2)

Publication Number Publication Date
TW201635425A true TW201635425A (zh) 2016-10-01
TWI642135B TWI642135B (zh) 2018-11-21

Family

ID=43879632

Family Applications (3)

Application Number Title Priority Date Filing Date
TW104128381A TWI552263B (zh) 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法
TW105119672A TWI642135B (zh) 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法
TW099135939A TWI511229B (zh) 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104128381A TWI552263B (zh) 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW099135939A TWI511229B (zh) 2009-10-21 2010-10-21 用於半導體處理之具平面加熱區的加熱板及其製造方法

Country Status (6)

Country Link
US (6) US8637794B2 (zh)
JP (3) JP5836959B2 (zh)
KR (2) KR101643800B1 (zh)
CN (1) CN102668058B (zh)
TW (3) TWI552263B (zh)
WO (1) WO2011049620A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780386B (zh) * 2018-12-27 2022-10-11 大陸商中微半導體設備(上海)股份有限公司 電漿反應器及其加熱裝置
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤

Families Citing this family (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101031226B1 (ko) * 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) * 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10010213B2 (en) * 2010-11-02 2018-07-03 Ember Technologies, Inc. Heated or cooled dishware and drinkware and food containers
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN103443914B (zh) * 2011-03-23 2016-05-04 住友大阪水泥股份有限公司 静电卡盘装置
JP6052169B2 (ja) * 2011-04-27 2016-12-27 住友大阪セメント株式会社 静電チャック装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
WO2013025852A1 (en) * 2011-08-17 2013-02-21 Lam Research Corporation A system and method for monitoring temperatures of and controlling multiplexed heater array
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
AU2015203198B2 (en) * 2011-08-30 2017-03-09 Watlow Electric Manufacturing Company Thermal array system
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
AU2015203212C1 (en) * 2011-08-30 2017-07-06 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203195B2 (en) * 2011-08-30 2017-04-13 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203215C1 (en) * 2011-08-30 2016-09-15 Watlow Electric Manufacturing Company Thermal array system
BR112014004907A2 (pt) 2011-08-30 2017-03-28 Watlow Electric Mfg método e sistema para controlar uma matriz térmica
US10883950B2 (en) * 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
AU2015203200B2 (en) * 2011-08-30 2016-06-02 Watlow Electric Manufacturing Company Thermal array system
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) * 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US20130154143A1 (en) * 2011-09-29 2013-06-20 Watlow Electric Manufacturing Company High dynamic temperature control system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
KR101976538B1 (ko) * 2012-02-16 2019-05-10 주식회사 미코 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013127589A1 (en) * 2012-02-29 2013-09-06 Asml Netherlands B.V. Electrostatic clamp
JP6106659B2 (ja) * 2012-03-07 2017-04-05 日本特殊陶業株式会社 搬送装置およびセラミック部材
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
JP6359236B2 (ja) * 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
JP5793473B2 (ja) * 2012-07-20 2015-10-14 株式会社新川 ボンディング装置用ヒータ及びその冷却方法
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
CN103633003B (zh) * 2012-08-28 2016-12-21 中微半导体设备(上海)有限公司 一种静电卡盘
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103681185B (zh) * 2012-08-30 2016-05-04 中微半导体设备(上海)有限公司 一种静电卡盘及等离子体处理装置
JP6077258B2 (ja) * 2012-10-05 2017-02-08 日本特殊陶業株式会社 積層発熱体、静電チャック、及びセラミックヒータ
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US9543183B2 (en) * 2013-04-01 2017-01-10 Fm Industries, Inc. Heated electrostatic chuck and semiconductor wafer heater and methods for manufacturing same
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
DE102014202302B4 (de) * 2013-07-03 2015-02-19 Technische Universität Dresden Vorrichtung zum Beheizen von Vorformkörpern
KR102278077B1 (ko) * 2013-08-14 2021-07-16 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI589178B (zh) * 2013-08-19 2017-06-21 友達光電股份有限公司 加熱器以及加熱方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
US9420639B2 (en) 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
JP2015095409A (ja) * 2013-11-13 2015-05-18 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9518946B2 (en) * 2013-12-04 2016-12-13 Watlow Electric Manufacturing Company Thermographic inspection system
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752130A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理装置及其静电卡盘
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
EP3103136B1 (en) * 2014-02-07 2021-06-23 Entegris, Inc. Electrostatic chuck and method of making same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN106104410B (zh) 2014-03-13 2020-02-14 株式会社半导体能源研究所 电子设备
CN104934279B (zh) * 2014-03-17 2017-08-01 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台的制造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952682A (zh) * 2014-03-25 2015-09-30 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US9595464B2 (en) * 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104233195B (zh) * 2014-08-28 2017-02-08 京东方科技集团股份有限公司 一种蒸镀设备及蒸镀方法
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102110267B1 (ko) * 2014-10-31 2020-05-14 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
CN104503513A (zh) * 2014-12-11 2015-04-08 无锡市锡容电力电器有限公司 一种加热板智能控温加热系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
WO2016190905A1 (en) 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10453775B1 (en) * 2015-06-10 2019-10-22 SA Photonics, Inc. Distributed thermoelectric cooling system
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US20190013555A1 (en) * 2015-07-31 2019-01-10 Illinois Tool Works Inc. Heating Panel
JP6653535B2 (ja) * 2015-08-07 2020-02-26 日本発條株式会社 ヒータユニット
KR101776562B1 (ko) * 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6256454B2 (ja) * 2015-11-30 2018-01-10 株式会社デンソー ヒータプレート、このヒータプレートを用いる熱流束センサの製造装置、このヒータプレートの製造方法、及び、このヒータプレートの製造装置
US9812342B2 (en) * 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106920768A (zh) * 2015-12-24 2017-07-04 中微半导体设备(上海)有限公司 多区主动矩阵温控系统和温控方法及其适用的静电吸盘和等离子处理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
KR102513443B1 (ko) 2016-03-15 2023-03-24 삼성전자주식회사 정전 척 및 그를 포함하는 기판 처리 장치
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
CN107303622A (zh) * 2016-04-20 2017-10-31 张跃 一种夹式钎焊装置及其使用方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10764966B2 (en) * 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
KR102329513B1 (ko) * 2016-05-10 2021-11-23 램 리써치 코포레이션 적층된 히터와 히터 전압 입력부들 사이의 연결부들
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
JP2018056333A (ja) * 2016-09-29 2018-04-05 日本発條株式会社 基板載置台、および基板載置台の作製方法
JP2018063974A (ja) 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN108075473B (zh) * 2016-11-07 2019-11-29 北京北方华创微电子装备有限公司 一种滤波电路、加热电路和半导体处理设备
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101909190B1 (ko) * 2016-12-30 2018-12-10 세메스 주식회사 기판 온도 제어 장치 및 이를 포함하는 기판 처리 장치
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6850137B2 (ja) * 2017-01-24 2021-03-31 日本特殊陶業株式会社 保持装置
US11631597B2 (en) * 2017-02-01 2023-04-18 Ngk Spark Plug Co., Ltd. Holding apparatus
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
JP7158131B2 (ja) * 2017-05-30 2022-10-21 東京エレクトロン株式会社 ステージ及びプラズマ処理装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102019790B1 (ko) * 2017-06-29 2019-09-09 주식회사 디아이티 층별 소재가 다른 다층 세라믹 기판 및 그의 제조 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109425437B (zh) * 2017-09-01 2020-07-28 京元电子股份有限公司 浮动温度感应装置及使用该装置的半导体组件测试模块
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
DE112018005962T5 (de) * 2017-11-21 2020-08-06 WATLOW ELECTRIC MANUFACTURING COMPANY (n.d.Ges.d. Staates Missouri) Multi-zonen trägerheizung ohne durchkontaktierungen
US11083050B2 (en) 2017-11-21 2021-08-03 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
US10761041B2 (en) 2017-11-21 2020-09-01 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10460914B2 (en) 2017-11-30 2019-10-29 Lam Research Corporation Ferrite cage RF isolator for power circuitry
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108203812B (zh) * 2018-01-25 2020-02-07 京东方科技集团股份有限公司 一种基板固定载具、蒸镀设备及蒸镀方法
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
CN111712910B (zh) 2018-02-16 2023-11-07 日本特殊陶业株式会社 保持装置
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019187785A1 (ja) 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
WO2020068546A2 (en) * 2018-09-24 2020-04-02 Lam Research Corporation Multiplexed high tcr based ampoule heaters
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111155072B (zh) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111211029B (zh) * 2018-11-21 2023-09-01 中微半导体设备(上海)股份有限公司 一种多区控温等离子反应器
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TW202105584A (zh) 2018-12-07 2021-02-01 美商蘭姆研究公司 用於具有多工加熱器陣列之靜電卡盤的長壽命延伸溫度範圍嵌入式二極體設計
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111326388B (zh) * 2018-12-17 2023-02-28 中微半导体设备(上海)股份有限公司 一种用于基片支撑的加热装置以及等离子体处理器
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
CN111383891B (zh) * 2018-12-29 2023-03-10 中微半导体设备(上海)股份有限公司 用于半导体处理设备的温度控制装置及其温度控制方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220126300A1 (en) * 2019-06-21 2022-04-28 Analog Devices International Unlimited Company A thermal platform and a method of fabricating a thermal platform
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11196937B2 (en) * 2019-11-25 2021-12-07 Qualcomm Incorporated High frame rate in high dynamic range processing
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11784080B2 (en) * 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN111372336B (zh) * 2020-03-25 2021-10-26 广州智慧医疗科技有限公司 一种红外电热膜及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2023537946A (ja) * 2020-08-10 2023-09-06 ラム リサーチ コーポレーション 局所熱制御を備えた結合ヒータゾーンを含む多層構造を有する基板支持体
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114496692B (zh) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 加热组件、基片承载组件及其等离子体处理装置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220248500A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
KR20220122154A (ko) 2021-02-26 2022-09-02 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
KR102368832B1 (ko) 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
TW202312319A (zh) 2021-08-31 2023-03-16 南韓商細美事有限公司 加熱構件及基板處理設備
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3127762B1 (fr) * 2021-10-05 2023-10-13 Safran Electronics & Defense Dispositif de chauffage d’un substrat pour dépôt sous vide
CN117642847A (zh) * 2022-06-23 2024-03-01 株式会社日立高新技术 等离子处理装置
KR20240038856A (ko) 2022-09-16 2024-03-26 세메스 주식회사 기판 가열 장치와 이를 이용한 기판 처리 장치

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK111688B (da) 1965-01-13 1968-09-30 Burmeister & Wains Mot Mask Rørvæg til vandrørskedler og bestående af parallelle, i hovedsagen i samme plan liggende rør.
US3440883A (en) * 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
US3888106A (en) 1973-03-07 1975-06-10 Rockwell International Corp Testing apparatus for flow measuring devices
JPS5546346A (en) 1978-09-27 1980-04-01 Tokyo Electric Co Ltd Roaster
JPS601918B2 (ja) 1980-04-26 1985-01-18 ライオン株式会社 再汚染の少ない無燐洗剤組成物
JPS601918A (ja) 1983-06-17 1985-01-08 Fuji Electric Co Ltd マトリツクス形選択回路
JPS621176A (ja) 1985-06-26 1987-01-07 Hitachi Ltd ヘツド支持装置
JPS6298610A (ja) 1985-10-25 1987-05-08 Hitachi Ltd 結晶成長用基板加熱機構
JPH0610391B2 (ja) 1989-11-17 1994-02-09 株式会社ナブコ プラグドアのガイド装置
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) * 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) * 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (ja) 1992-06-29 2003-08-25 アイシン精機株式会社 人体局部洗浄装置
US5414245A (en) * 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) * 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH06326022A (ja) 1993-03-16 1994-11-25 Mitsubishi Electric Corp 半導体基板の製造方法,半導体装置の製造方法,及び,半導体製造装置
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH0778668A (ja) 1993-09-07 1995-03-20 Fanuc Ltd ヒータ装置の異常検出装置
US5504471A (en) * 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP2647799B2 (ja) 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JPH08130184A (ja) 1994-10-31 1996-05-21 Sony Corp 熱処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5702624A (en) 1996-10-09 1997-12-30 Taiwan Semiconductors Manfuacturing Company, Ltd Compete hot plate temperature control system for hot treatment
KR200159921Y1 (ko) 1996-11-23 1999-11-01 이세원 리프터의 업/다운 제어회로
US5994675A (en) * 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) * 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JPH11126743A (ja) 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
CA2310583C (en) 1997-11-07 2004-12-28 Shell Oil Company Heater control
US6091060A (en) * 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6100506A (en) * 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP2004303736A (ja) * 1999-08-09 2004-10-28 Ibiden Co Ltd セラミックヒータ
JP2001118662A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
US6175175B1 (en) * 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2001102157A (ja) 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
WO2001031978A1 (fr) * 1999-10-22 2001-05-03 Ibiden Co., Ltd. Plaque chauffante en ceramique
JP2001126743A (ja) 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 高分子電解質型燃料電池
JP2001203257A (ja) * 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
US6888106B2 (en) 2000-04-07 2005-05-03 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) * 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
JP2002059579A (ja) * 2000-08-15 2002-02-26 Casio Comput Co Ltd 駆動回路
US6403403B1 (en) * 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US7075031B2 (en) * 2000-10-25 2006-07-11 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10059665C1 (de) * 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6501052B2 (en) * 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) * 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
ITMI20011253A1 (it) 2001-06-14 2002-12-14 Whirlpool Co Sistema di gestione della potenza in apparecchi di cottura elettrici
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
EP1422754A1 (en) 2001-08-10 2004-05-26 Ibiden Co., Ltd. Ceramic joint body
US6693262B2 (en) 2001-10-17 2004-02-17 Whirlpool Corporation Cooking hob with discrete distributed heating elements
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) * 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
JP3559549B2 (ja) * 2002-01-29 2004-09-02 京セラ株式会社 ウエハ加熱装置
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) * 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) * 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
JP4403073B2 (ja) * 2002-07-11 2010-01-20 テンプトロニック コーポレイション 熱電気モジュールのための隙間を作る層間スペーサを有する熱制御アセンブリを備えるワークピースチャック
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP2004101106A (ja) * 2002-09-11 2004-04-02 Mitsubishi Electric Corp 空気調和機の制御回路及び空気調和機の制御方法
JP3924524B2 (ja) 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) * 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) * 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
KR100904361B1 (ko) * 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
JP3988942B2 (ja) 2003-03-31 2007-10-10 株式会社国際電気セミコンダクターサービス ヒータ検査装置及びそれを搭載した半導体製造装置
US6989210B2 (en) * 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) * 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP2005026120A (ja) * 2003-07-03 2005-01-27 Ibiden Co Ltd セラミックヒータ
US6837231B1 (en) 2003-07-11 2005-01-04 General Motors Corporation Cylinder bank work output balancing based on exhaust gas A/F ratio
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) * 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US6913571B2 (en) 2003-10-14 2005-07-05 Datex-Ohmeda, Inc. Direct heater control for infant care apparatus
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP2005150370A (ja) * 2003-11-14 2005-06-09 Kyocera Corp 静電チャック
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
KR20050053464A (ko) 2003-12-01 2005-06-08 정준호 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자
US20100257871A1 (en) * 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7163722B2 (en) 2003-12-19 2007-01-16 Lcd Lighting, Inc. Device and method for coating serpentine fluorescent lamps
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) * 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
JP4553247B2 (ja) 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7143222B2 (en) 2004-09-21 2006-11-28 International Business Machines Corporation Adaptive message delivery system
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
KR100632544B1 (ko) 2004-12-15 2006-10-09 현대자동차주식회사 직류변환기의 게이트 드라이버 회로
US7475551B2 (en) * 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
DE102005004072A1 (de) 2005-01-28 2006-08-03 Danckert, Hermann, Dr.-Ing. Fluchtraum zum Schutz vor Flutwellen
US20060226123A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
EP1900253B1 (en) 2005-06-29 2013-07-31 Watlow Electric Manufacturing Company Smart layered heater surfaces
JP4667158B2 (ja) * 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) * 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
JP4483751B2 (ja) 2005-09-16 2010-06-16 株式会社デンソー 電源逆接続保護回路
JP2007149598A (ja) * 2005-11-30 2007-06-14 Matsushita Electric Ind Co Ltd シート状温度制御装置
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2007242913A (ja) 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置
US8168050B2 (en) * 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) * 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP4850664B2 (ja) * 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
KR101526615B1 (ko) * 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
KR100849069B1 (ko) * 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
WO2008140022A1 (ja) * 2007-05-08 2008-11-20 Tokyo Electron Limited 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP2010157559A (ja) * 2008-12-26 2010-07-15 Hitachi High-Technologies Corp プラズマ処置装置
GB2470063B (en) * 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
EP3020850B1 (en) * 2009-07-08 2018-08-29 Aixtron SE Apparatus for plasma processing
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780386B (zh) * 2018-12-27 2022-10-11 大陸商中微半導體設備(上海)股份有限公司 電漿反應器及其加熱裝置
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤

Also Published As

Publication number Publication date
US8637794B2 (en) 2014-01-28
TW201125067A (en) 2011-07-16
KR101643800B1 (ko) 2016-07-29
JP5996760B2 (ja) 2016-09-21
JP5836959B2 (ja) 2015-12-24
US20140045337A1 (en) 2014-02-13
US20140047705A1 (en) 2014-02-20
US20170229327A1 (en) 2017-08-10
CN102668058A (zh) 2012-09-12
JP2017037846A (ja) 2017-02-16
TW201545272A (zh) 2015-12-01
WO2011049620A3 (en) 2011-11-03
KR101919675B1 (ko) 2019-02-08
TWI642135B (zh) 2018-11-21
KR20160092035A (ko) 2016-08-03
US10236193B2 (en) 2019-03-19
KR20120103596A (ko) 2012-09-19
JP2016054303A (ja) 2016-04-14
US20110092072A1 (en) 2011-04-21
JP6351669B2 (ja) 2018-07-04
US8884194B2 (en) 2014-11-11
US10720346B2 (en) 2020-07-21
US9392643B2 (en) 2016-07-12
US9646861B2 (en) 2017-05-09
JP2013508968A (ja) 2013-03-07
US20160300741A1 (en) 2016-10-13
TWI552263B (zh) 2016-10-01
US20140096909A1 (en) 2014-04-10
TWI511229B (zh) 2015-12-01
CN102668058B (zh) 2015-04-01
WO2011049620A2 (en) 2011-04-28

Similar Documents

Publication Publication Date Title
TWI642135B (zh) 用於半導體處理之具平面加熱區的加熱板及其製造方法
KR101915371B1 (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
KR101822318B1 (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트
CN107452647B (zh) 用于半导体加工的使用交流驱动的多路复用加热器阵列