JP5996760B2 - 加熱プレートおよび基板支持体 - Google Patents

加熱プレートおよび基板支持体 Download PDF

Info

Publication number
JP5996760B2
JP5996760B2 JP2015216245A JP2015216245A JP5996760B2 JP 5996760 B2 JP5996760 B2 JP 5996760B2 JP 2015216245 A JP2015216245 A JP 2015216245A JP 2015216245 A JP2015216245 A JP 2015216245A JP 5996760 B2 JP5996760 B2 JP 5996760B2
Authority
JP
Japan
Prior art keywords
substrate support
power supply
power
heater
heating plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015216245A
Other languages
English (en)
Other versions
JP2016054303A (ja
Inventor
シン・ハーミート
ギャフ・キース
ベンジャミン・ニール
コメンダント・キース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016054303A publication Critical patent/JP2016054303A/ja
Application granted granted Critical
Publication of JP5996760B2 publication Critical patent/JP5996760B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/26Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49083Heater type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49082Resistor making
    • Y10T29/49099Coating resistive material on a base

Description

半導体技術世代を重ねるとごとに、基板の直径は大きくなり、トランジスタの大きさは小さくなる傾向にあり、その結果、基板処理においてさらに高い精度および再現性が必要とされる。シリコン基板などの半導体基板材料は、真空室の使用を含む技術によって処理される。これらの技術には、電子ビーム蒸着といった非プラズマ応用例だけではなく、スパッタリング蒸着、プラズマ化学気相成長(PECVD)、レジスト除去、およびプラズマエッチングといったプラズマ応用例が含まれる。
既存のプラズマ処理システムは、精度および再現性の向上への高まりつつある要求にさらされているそのような半導体製造装置の一つである。プラズマ処理システムの場合の一つの基準は、均一性の向上であり、それには半導体基板表面における処理結果の均一性だけではなく、名目的に同じ入力パラメータで処理された一連の基板の処理結果の均一性が含まれる。基板上の均一性の継続的な向上が望まれる。特に、プラズマ室に対して、均一性、一貫性、および自己診断機能の向上が求められる。
一実施形態によれば、プラズマ半導体処理装置において半導体基板を支持するために用いられる基板支持アセンブリ用の加熱プレートは、少なくとも第1の電気絶縁層と、この第1の電気絶縁層上に横方向に分布する第1、第2、第3、および第4の平面ヒータゾーンを少なくとも含む平面ヒータゾーンと、第1と第2の平面ヒータゾーンに電気的に接続された第1の電力供給ラインおよび第3と第4のヒータゾーンに電気的に接続された第2の電力供給ラインを少なくとも含む導電性電力供給ラインと、第1と第3のヒータゾーンに電気的に接続された第1の電力リターンラインおよび第2と第4のヒータゾーンに電気的に接続された第2の電力リターンラインを少なくとも含む導電性電力リターンラインと、を備える。
ヒータゾーンのアレイを備える加熱プレートが組み込まれた基板支持アセンブリの概略断面図であり、この基板支持アセンブリは、さらに静電チャック(ESC)を有している。
基板支持アセンブリに組み込むことができる加熱プレートの一実施形態における、ヒータゾーン・アレイへの電力供給ラインおよび電力リターンラインの位相的接続を示している。
電力供給ラインとヒータゾーンが同一平面上にある一実施形態を示している。
図3Aの平面から電気絶縁層により分離された平面上にある電力リターンラインを示しており、これらの電力リターンラインは、電気絶縁層を貫通して延在するビアを介してヒータゾーンに接続されている。
図3Aおよび図3Bの加熱プレートが組み込まれた基板支持アセンブリの概略断面図である。
電力供給ラインとヒータゾーンが同一平面上にある一実施形態を示している。
図4Aの平面から電気絶縁層により分離された平面を示しており、電力供給ラインは、ビアを介してこの平面内のリード線に接続されて、冷却プレート(図示せず)の単一の孔につながれている。この平面上の電力リターンラインは、この平面と図4Aの平面との間に延在するビアを介してヒータゾーンに接続されている。電力リターンラインは、同じく冷却プレート(図示せず)の単一の孔につながれている。
ヒータゾーンが平面上にあり、電力供給ラインと電力リターンラインはその平面上にない一実施形態を示している。ヒータゾーンは、ビアを介して1つまたは複数の異なる平面上にある電力供給ラインおよび電力リターンラインに接続されている。
図5Aの平面から電気絶縁層により分離された第2の平面上にある電力供給ラインを示している。電力供給ラインは、図5Aおよび図5Bの2つの平面の間に延在するビアを介してヒータゾーンに接続されている。
もう1つの電気絶縁層によって図5Aおよび図5Bの平面から分離された第3の平面上にある電力リターンラインを示している。これらの電力リターンラインは、図5Aから図5Cの3つすべての平面の間に延在するビアを介してヒータゾーンに接続されている。図5Bにおいて電力供給ラインに接続しているリード線も、この平面内のフィードスルーを経由している。
図5Aから図5Cの加熱プレートが組み込まれた基板支持アセンブリの概略断面図である。
図5Aから図5Cの別の加熱プレートが組み込まれた基板支持アセンブリの概略断面図である。
加熱プレートが組み込まれた基板支持アセンブリの概略断面図であり、この基板支持アセンブリは、さらに、ヒータゾーン・アレイの上方に主ヒータ層を有し、主ヒータは、加熱プレート内のすべての平面から電気絶縁層により分離された追加平面上に配置されている。
典型例となるプラズマ処理室の概略図であり、これは、本明細書に記載の加熱プレートを備える基板支持アセンブリを含むことができる。
RFアイソレーション・アプローチの概略図である。
基板支持アセンブリ用の電子制御装置の一実施形態における信号の流れのブロック図を示している。
基板上で所望の限界寸法(CD)均一性を達成するため、半導体処理装置での径方向および方位の基板温度制御についての要求がますます高まっている。半導体製造プロセスにおいて、特にCDがサブ100nmに近づくと、温度の小さな変化でも、容認できないほどCDに影響することがある。
基板支持アセンブリは、処理の際に、基板の支持、基板温度の調整、高周波電力の供給など、様々な機能のための設定を行うことができる。基板支持アセンブリは、処理中に基板を基板支持アセンブリ上に静電的に固定するのに役立つ静電チャック(ESC)を備えることができる。ESCは、チューナブルESC(T−ESC)とすることができる。T−ESCは、本発明の譲受人に譲渡された米国特許第6847014号および第6921724号に記載されており、これらは参照により本明細書に組み込まれる。基板支持アセンブリは、セラミックの基板ホルダと、流体冷却式ヒートシンク(以下、冷却プレートと呼ぶ)と、径方向の段階的な温度制御を実現するための複数の同心円状ヒータゾーンとを備えることができる。一般的には、冷却プレートは、0℃〜30℃の間に維持される。ヒータは、断熱材の層を間に挟んで冷却プレート上に配置されている。ヒータは、基板支持アセンブリの支持面を冷却プレートの温度よりも上の約0℃〜80℃の温度に維持することができる。複数のヒータゾーンの範囲内でヒータ出力を変化させることにより、基板支持温度プロファイルを、センターホット、センターコールド、均一の間で変更することができる。さらに、平均基板支持温度を、冷却プレート温度より上の0〜80℃の動作範囲内で段階的に変更することができる。半導体技術の進歩に伴ってCDが小さくなるにつれて、小さな方位温度変化が、ますます大きな課題となる。
温度を制御することは、いくつかの理由によって、簡単なことではない。第一に、熱源およびヒートシンクの位置、媒体の動き、材質、形状など、多くの要因が熱伝達に影響し得る。第二に、熱伝達は動的プロセスである。当該システムが熱平衡状態にあるのでなければ、熱伝達が生じ、温度プロファイルおよび熱伝達は時間とともに変化することになる。第三に、プラズマ処理では当然常に生じているプラズマなどの非平衡現象が、実際のプラズマ処理装置の熱伝達挙動の理論予測を、不可能ではないにしても非常に困難にしている。
プラズマ処理装置における基板温度プロファイルは、プラズマ密度プロファイル、RF電力プロファイル、チャック内のいくつかの加熱および冷却エレメントの詳細な構造といった多くの要因に影響され、このため、基板温度プロファイルは、均一ではないことが多く、少数の加熱または冷却エレメントで制御することは難しい。この欠陥は、基板全体での処理速度の不均一性、および基板上の素子ダイの限界寸法の不均一性と言い換えることができる。
装置が所望の空間的および時間的温度プロファイルを能動的に作り出して維持することを可能にするため、また、CD均一性に影響を与える他の有害因子を補償するためには、温度制御の複雑性を考えると、独立に制御可能なヒータゾーンを基板支持アセンブリに組み込むことが効果的となる。
本明細書で記載するのは、半導体処理装置の基板支持アセンブリ用の、複数の独立に制御可能なヒータゾーンを備えた加熱プレートである。この加熱プレートは、ヒータゾーンと電力供給ラインおよび電力リターンラインのスケーラブルな多重化レイアウト方式を含むものである。ヒータゾーンの出力を調整することで、処理中の温度プロファイルを、径方向と方位の両方に関して具現化することができる。この加熱プレートは、主にプラズマ処理装置について記載されるが、プラズマを使用しない他の半導体処理装置に、この加熱プレートを用いることもできる。
さらに、この加熱プレートを製造する方法、そのような加熱プレートを備える基板支持アセンブリ、および、そのような加熱プレートを備える基板支持アセンブリへの電力供給およびその制御の方法ついて記載する。
この加熱プレートのヒータゾーンは、規定のパターンで配置されることが好ましく、例えば、矩形格子、六角格子、円形状、同心環状、または任意の望ましいパターンで配置される。各ヒータゾーンは、任意の適当なサイズとすることができ、1つまたは複数のヒータエレメントを備えることができる。1つのヒータゾーン内のすべてのヒータエレメントは、一緒にオン・オフされる。電気的接続の数を最小限にするため、電力供給ラインおよび電力リターンラインは、各電力供給ラインがヒータゾーンの異なるグループに接続され、各電力リターンラインがヒータゾーンの異なるグループに接続されて、各ヒータゾーンが、特定の電力供給ラインに接続されたグループの1つの中にあり、さらに特定の電力リターンラインに接続されたグループの1つの中にあるように、配置される。どの2つのヒータゾーンも、同じ電力供給ラインと電力リターンラインのペアには接続されていない。この場合、1つのヒータゾーンは、その特定のヒータゾーンが接続されている電力供給ラインと電力リターンラインのペアに電流を流すことにより、作動させることができる。ヒータエレメントの出力は、好ましくは20W未満であり、より好ましくは5〜10Wである。ヒータエレメントは、ポリイミド・ヒータ、シリコーンラバー・ヒータ、マイカ・ヒータ、金属ヒータ(例えば、W、Ni/Cr合金、Mo、またはTa)、セラミック・ヒータ(例えば、WC)、半導体ヒータ、またはカーボン・ヒータなどの抵抗ヒータとすることができる。ヒータエレメントは、スクリーン印刷、巻線、またはエッチドフォイルのヒータとすることができる。一実施形態では、各ヒータゾーンは、半導体基板上に作られる4つの素子ダイ以下の大きさであるか、または半導体基板上に作られる2つの素子ダイ以下の大きさであるか、または半導体基板上に作られる1つの素子ダイ以下の大きさであるか、または基板上の素子ダイに対応する2〜3cm2の面積である。ヒータエレメントの厚さは、2マイクロメートルから1ミリメートル、好ましくは5〜80マイクロメートルとすることができる。ヒータゾーンの間、および/または電力供給ラインと電力リターンラインとの間にスペースを確保にするため、ヒータゾーンの総面積は、最大で基板支持アセンブリの上面の面積の90%までとすることができ、例えば、その面積の50〜90%とすることができる。電力供給ラインまたは電力リターンライン(まとめて、電力ライン)は、ヒータゾーン間の1〜10mmの隙間に配置することができ、あるいはヒータゾーンの平面から電気絶縁層により分離された別々の平面に配置することができる。電力供給ラインおよび電力リターンラインは、大きな電流を運ぶと共にジュール熱を低減するため、スペースが許す限り幅を広くすることが好ましい。一実施形態では、電力ラインはヒータゾーンと同じ平面内にあり、電力ラインの幅は、好ましくは0.3mm〜2mmである。別の実施形態では、電力ラインはヒータゾーンとは異なる平面上にあり、電力ラインの幅は、ヒータゾーンと同じような大きさとすることができ、例えば300mmチャックの場合、その幅は1〜2インチとすることができる。電力供給ラインおよび電力リターンラインの材料は、ヒータエレメントの材料と同じか、または異なるものとすることができる。電力供給ラインおよび電力リターンラインの材料は、Cu、Al、W、インコネル(登録商標)、またはMoなど、低抵抗率の材料であることが好ましい。
図1および図2は、基板支持アセンブリを示しており、これは、2つの電気絶縁層104A、104Bに組み込まれたヒータゾーン101のアレイを有する一実施形態の加熱プレートを含んでいる。電気絶縁層は、ポリマー材料または無機材料、酸化ケイ素、アルミナ、イットリア、窒化アルミニウムなどのセラミック、または他の適当な材料とすることができる。基板支持アセンブリは、さらに、(a)直流電圧で基板をセラミック層103の表面に静電的に固定するための、電極102(例えば、単極または双極)が埋め込まれたセラミック層103(静電固定層)を有するESCと、(b)断熱層107と、(c)冷却液流路106を含む冷却プレート105と、を備える。
図2に示すように、ヒータゾーン101のそれぞれは、電力供給ライン201の1つと電力リターンライン202の1つに接続されている。どの2つのヒータゾーン101も、同じ電力供給ライン201と電力リターンライン202のペアを共有してはいない。適切な電気的スイッチング構成により、電力供給ライン201と電力リターンライン202のペアを電源(図示せず)に接続することが可能であり、これにより、このライン・ペアに接続されているヒータゾーンのみがオンになる。各ヒータゾーンの時間平均加熱出力は、時間領域多重化により、個別に調整することができる。異なるヒータゾーン間のクロストークを防止するため、(図2に示すように)各ヒータゾーンとそれに接続された電力供給ラインとの間、または各ヒータゾーンとそれに接続された電力リターンラインとの間(図示せず)に、直列に整流器250(例えば、ダイオード)を接続してもよい。整流器は、物理的には、加熱プレート内またはいずれかの適当な場所に配置することができる。あるいはこれに代えて、固体スイッチなどの電流ブロック構成を用いてクロストークを防止することができる。
図3A、図3B、および図3Cは、基板支持アセンブリを示しており、これは、ESCと、冷却プレートを備え、さらに、第1の平面302にヒータゾーン101と電力供給ライン201とが配置され、第1の平面302から電気絶縁層304により分離された第2の平面303に電力リターンライン202が配置された加熱プレートの一実施形態を含んでいる。電力リターンライン202は、第1の平面302と第2の平面303との間に延在する電気絶縁層304内の導電性ビア301によってヒータゾーン101に接続されている。
使用の際には、電力供給ライン201と電力リターンライン202は、冷却プレートの孔またはコンジットを通して、加熱プレートの外部の回路に接続される。冷却プレートに孔またはコンジットがあることは、基板支持アセンブリの温度均一性に悪影響を及ぼすので、冷却プレートの孔またはコンジットの数を削減することで、温度均一性を向上させることができることが理解されよう。また、少数の孔であれば、それらを基板支持アセンブリのエッジ付近に配置することが可能である。例えば、冷却プレートの1つのみの電力供給コンジットを用いて、電力供給ライン201にリード線を供給することができる。一実施形態(図4Aおよび図4B)では、ヒータゾーン101と電力供給ライン201は第1の平面402に配置されている。電力供給ライン201は、第1の平面402と第2の平面403との間に延在する導電性ビア301を介して、第2の平面403のリード線404に接続されている。第2の平面403は、第1の平面402から電気絶縁層(図示せず)により分離されている。電力リターンライン202は、第2の平面403に配置されており、第1の平面402と第2の平面403との間に延在する導電性ビア301を介してヒータゾーン101に接続されている。第2の平面403では、リード線404は、これらのリード線間の電気的絶縁を維持しながら、冷却プレートの孔またはコンジット401を通して運ばれる。同様に、電力リターンライン202はリード線405に接続されており、リード線405は、それらの間の電気的絶縁を維持しながら冷却プレートの孔またはコンジット406を通して運ばれる。
図5A、図5B、図5C、および図5Dは、加熱プレートのさらなる別の実施形態を含む基板支持アセンブリを示しており、ヒータゾーン101は第1の平面501に配置されて、電力供給ライン201は第2の平面502に配置されており、また、電力リターンライン202は第3の平面503に配置されている。第1の平面501、第2の平面502、および第3の平面503は、電気絶縁層504、304によって互いから分離されている。電力供給ライン201と電力リターンライン202は、平面501、502、503の間に延在する電気絶縁層304、504内の導電性ビア301を介して、ヒータゾーン101に接続されている。電力供給ライン201に接続されたリード線(図示せず)は、層504の孔またはコンジット505を経由している。ビアおよびコンジットが適切に配置されていれば、平面501、502、503は、垂直方向にどのような順序で配置されていてもよいことが理解されよう。好ましくは、ヒータは、基板支持アセンブリの上面に最も近く配置される。図5Eは、各ヒータゾーン101が整流器506(例えば、ダイオード)を介して電力リターンライン202に接続されている一実施形態を示している。整流器506は、電流が電力供給ライン201からヒータゾーン101を通って電力リターンライン202に流れることのみ可能にし、これにより、ヒータゾーン間のクロストークを防いでいる。
基板支持アセンブリは、1つまたは複数の追加のヒータ(以下、主ヒータ601と呼ぶ)が組み込まれた追加の電気絶縁層604を含むことができる(図6)。主ヒータ601は、個別に制御される高出力ヒータであることが好ましい。主ヒータの出力は、100〜10000Wの間であり、好ましくは1000〜5000Wの間である。主ヒータは、矩形格子、同心環状ゾーン、放射状ゾーン、または環状ゾーンと放射状ゾーンの組み合わせとして配置することができる。主ヒータは、平均温度を変更するため、径方向の温度プロファイルを調整するため、あるいは基板上の段階的温度制御のために用いることができる。主ヒータは、加熱プレートのヒータゾーンの上方または下方に配置することができる。
一実施形態では、加熱プレート内の絶縁層のうち少なくとも1つは、ポリマー材料のシートである。
別の実施形態では、加熱プレート内の絶縁層のうち少なくとも1つは、セラミックまたは酸化ケイ素といった無機材料のシートである。セラミック・チャックの製造に用いるのに適した絶縁性および導電性の材料の例は、本発明の譲受人に譲渡された米国特許第6483690号で開示されており、その開示は参照により本明細書に組み込まれる。
基板支持アセンブリは、加熱プレートの一実施形態を含むことができ、その加熱プレートの各ヒータゾーンは、基板上の1つの素子ダイまたは素子ダイのグループと同じような大きさであるか、あるいはそれより小さく、これによって、基板からの素子の歩留まりを最大化するように、各素子ダイの位置ごとに、基板温度ひいてはプラズマエッチング・プロセスを制御することができる。加熱プレートのスケーラブルなアーキテクチャによって、最少数の電力供給ライン、電力リターンライン、および冷却プレートのフィードスルーで、ダイごとの基板温度制御(一般的には、300mm径の基板上に100個超のダイ)のために必要なヒータゾーンの数を容易に収容することができ、これによって、基板温度への外乱、製造コスト、および基板支持アセンブリの複雑さが低減される。図示はしていないが、基板支持アセンブリは、基板を持ち上げるためのリフトピン、ヘリウムの背面冷却、温度フィードバック信号を提供するための温度センサ、加熱出力フィードバック信号を提供するための電圧および電流センサ、ヒータへの給電、および/またはクランプ電極、および/またはRFフィルタなどの機能を備えることができる。
加熱プレートを製造する方法の一実施形態では、絶縁層はセラミックであり、この絶縁層は、プラズマ溶射、化学気相成長、またはスパッタリングなどの手法を用いて、適当な基板上にセラミックを堆積させることにより形成することができる。この層は、初期開始層、または加熱プレートの絶縁層の1つとすることができる。
加熱プレートを製造する方法の一実施形態では、絶縁層はセラミックであり、この絶縁層は、セラミック粉末と結合剤と液体の混合物をプレスしてシートにし、そのシート(以下、グリーンシートと呼ぶ)を乾燥させることにより形成することができる。グリーンシートの厚さは、約0.3mmとすることができる。グリーンシートに孔を打ち抜くことにより、グリーンシートにビアを形成することができる。これらの孔には導電性粉末スラリーが充填される。ヒータエレメント、電力供給ラインおよび電力リターンラインは、導電性粉末(例えば、W、WC、ドープSiC、またはMoSi2)スラリーのスクリーン印刷、予めカットした金属箔のプレス、導電性粉末スラリーの噴霧、または他の適当な手法により形成することができる。ダイオードなどの整流器を収容するための凹部を、グリーンシートの成形プロセス中にプレスするか、あるいは成形プロセス後にグリーンシートにカットすることができる。これらの凹部に、個別部品の整流器を実装することができる。そして、様々な構成部品(電力ライン、ビア、整流器、およびヒータエレメント)を備える複数のグリーンシートを揃えて、プレスし、焼結させることにより、完全な加熱プレートを形成する。
加熱プレートを製造する方法の別の実施形態では、絶縁層はセラミックであり、この絶縁層は、セラミック粉末と結合剤と液体の混合物をプレスしてグリーンシートとし、このグリーンシートを乾燥させることにより形成することができる。グリーンシートの厚さは、約0.3mmとすることができる。ビアを収容するための孔がグリーンシートに打ち抜かれる。ダイオードなどの整流器を収容するための凹部を、グリーンシートの成形プロセス中にプレスするか、あるいは成形プロセス後にグリーンシートにカットすることができる。そして、個々のグリーンシートを焼結させる。焼結されたシートのビアの収容のための孔には、導電性粉末スラリーが充填される。ヒータエレメント、電力供給ラインおよび電力リターンラインは、焼結シート上に、導電性粉末(例えば、W、WC、ドープSiC、またはMoSi2)スラリーでスクリーン印刷するか、または他の適当な手法を用いて形成することができる。焼結シートの凹部に、個別部品の整流器を実装することができる。そして、様々な構成部品(ライン、ビア、整流器、およびヒータエレメント)を備える複数の焼結シートを揃えて、接着剤で接合することにより、完全な加熱プレートを形成する。
絶縁層が酸化ケイ素シートである一実施形態では、その絶縁層は、蒸着、スパッタリング、PVD、CVD、PECVDなどの手法を用いて、適当な基板上に酸化ケイ素薄膜を堆積させることにより形成することができる。
加熱プレートを製造する方法の好ましい一実施形態では、Al、インコネル(登録商標)またはCuの箔といった薄い金属シート(構成部品層)を、ポリイミドなどの第1のポリマー膜に接合(例えば、加熱プレス、接着剤で接着)する。パターンを形成するレジスト膜が構成部品層の表面に塗布され、このとき、そのパターンは、ヒータエレメント、電力供給ラインおよび電力リターンラインといった電気部品の形状および位置を画定する。露出した金属は化学的にエッチングされて、レジストパターンが残りの金属シートに保持される。そして、レジストは、適当な溶液での溶解または乾式剥離により除去される。ビアの収容のための孔を有する第2のポリマー膜(ビア層)が、第1のポリマー膜に揃えて接合される。孔の側壁には、そこへの金属めっきにより被覆を施してもよい。適当な数の構成部品層とビア層を直列に組み込むことができる。最後に、露出した金属構成部品が、電気絶縁用の連続ポリマー膜で覆われる。
別の実施形態では、ヒータエレメント、電力供給ラインおよび電力リターンラインは、絶縁層または基板(例えば、グリーンシート)の上に堆積(例えば、プラズマ溶射、電気めっき、化学気相成長、またはスパッタリング)された金属膜で構成される。
別の実施形態では、ヒータエレメント、電力供給ラインおよび電力リターンラインは、絶縁層または基板(例えば、グリーンシート)の上に堆積(例えば、電気めっき、化学気相成長、またはスパッタリング)されたインジウム・スズ酸化物などの非晶質導電性無機膜の薄層で構成される。
さらに別の実施形態では、ヒータエレメント、電力供給ラインおよび電力リターンラインは、絶縁層または基板(例えば、グリーンシート)の上に堆積(例えば、化学気相成長、またはスパッタリング)された導電性セラミック膜の薄層で構成される。
一実施形態では、冷却プレートに埋め込まれているが電気的には絶縁されているバネ付きパススルーなどの端子コネクタによって、加熱プレートの電力供給ラインおよび電力リターンラインを外部回路に接続することができる。
別の実施形態では、リード線を電力供給ラインおよび電力リターンラインに接続(ハンダ付け、導電性接着剤で接合、または点溶接)し、これらのリード線を冷却プレートの孔またはコンジットに通すことにより、加熱プレートの電力供給ラインおよび電力リターンラインを外部回路に接続することができる。
プラズマ処理システムにおいて、プラズマ処理室で印加されるRF電力は、通常は100Wを超え、時には1000Wを超える。RF電圧の振幅は1キロボルトを超えることがある。このように強力なRF電力は、適切なフィルタリングまたはアイソレーションなしでは、ヒータゾーンの制御・電源回路の動作に簡単に影響し得る。RFフィルタを用いて、RF電力を制御・電源回路からシャントさせることができる。RFフィルタは、単純な広帯域フィルタ、またはプラズマ処理システムで使用する特定のRF周波数用の同調フィルタとすることができる。一方、RFアイソレータは、RF結合構成部品と制御・電源回路との間の直接的な電気的接続を排除する。RFアイソレータは、光カプラまたは変圧器とすることができる。
プラズマ処理室がどのように作動するのかについての概観として、図7Aはプラズマ処理室の概略を示しており、これはチェンバ713を有し、この中に上部シャワーヘッド電極703と基板支持アセンブリ704が配置されている。基板712は、挿入口711を通して基板支持アセンブリ704上に載置される。ガスライン709は上部シャワーヘッド電極703に処理ガスを供給し、これにより処理ガスはチェンバ内に供給される。ガス源708(例えば、適当な混合ガスを供給するマスフロー・コントローラ)が、ガスライン709に接続されている。RF電源702が、上部シャワーヘッド電極703に接続されている。作動中は、チェンバは真空ポンプ710によって真空にされ、RF電力は、上部シャワーヘッド電極703と基板支持アセンブリ704内の下部電極との間で容量結合されて、これにより基板712と上部シャワーヘッド電極703との間の空間で処理ガスが励起されてプラズマ化する。プラズマを利用して、基板712上の層に素子ダイの形体をエッチングすることができる。基板支持アセンブリ704は、その中に組み込まれたヒータを備えることができる。プラズマ処理室の詳細設計は異なるものであってもよいが、RF電力は、基板支持アセンブリ704によって結合されることが理解されよう。
図7Bは、RFフィルタリングまたはアイソレーションの一実施形態の概略を示しており、ここでは、ヒータゾーンの電力供給ラインおよび電力リターンラインには、フィルタまたはアイソレータは接続されておらず、制御・電源回路705がフィルタまたはアイソレータ706Bに接続されて、これは電気接地701に接続されている。基板支持アセンブリ内に主ヒータ(図示せず)がある場合、これらは、高出力であるため、別々のフィルタまたはアイソレータを有することが好ましい。このアプローチでは、制御・電源回路705は、RF電位すなわち“ハイサイド”でフロートしている。このアプローチにより、複数のヒータゾーンで1つのみのフィルタまたはアイソレータを共有することが可能である。
すべてのハイサイド回路は、基板支持アセンブリのベース構造の直下で、局所フローティング・ファラデーケージの内部に収容することができる。
あるいはこれに代えて、制御・電源回路705をRFから絶縁するための1つのみのフィルタまたはアイソレータ706Bとして、絶縁変圧器が使用される。変圧器は直流および低周波伝送を大きく減衰するので、ヒータゾーンの制御・電源回路705は、比較的高い周波数(25〜250kHz)で動作することが可能でなければならない。制御・電源回路は、単一のフローティング電位(フローティング接地)を基準とする。このことは、必ずこの絶縁変圧器に接続された制御・電源回路が非常に類似したRF照射を受けることを必要とする。制御・電源回路の2つのグループ間でRF電位が大きく異なる場合、これらのグループ間でかなりのRF電流が流れる。この場合、各グループがそれぞれ独自にフィルタまたはアイソレータを備えるか、あるいはこれらのグループ間にフィルタまたはアイソレータが存在する必要がある。
フィルタまたはアイソレータ706Bは、物理的にはプラズマ処理室内または他の適当な場所に配置することができる。
ヒータの電子制御装置の一実施形態を図8に示している。ローサイド・コントローラ809は、マイクロコントローラ・ユニット(MCU)、またはコンピュータ(PC)などの上位装置とすることができる。ローサイド・コントローラは、ヒータゾーン801、センサ803、およびあらゆる補助回路802とやりとりするハイサイドMCU 805に対し、光カプラ807を介してデジタル通信を行う。ハイサイドMCU 805が十分な能力とローカルメモリを持つ場合は、各実行の前に、設定値およびプログラムをハイサイドMCU 805にプレロードしてもよく、これによって、ローサイド・コントローラ809へのリアルタイム・リンクの必要がなくなる。804は、モジュール間の片方向通信リンクを表している。806は、モジュール間の双方向通信リンクを表している。
時間領域多重化方式の一実施形態では、ハイサイドMCUは、ヒータゾーン電力供給ラインの各々に順次、電力を供給する。同時に電源に接続されるのは、1つの電力供給ラインのみである。1つの電力供給ラインに電力が供給されている間に、その持続時間の一部の間、ハイサイドMCUは、電力リターンラインのいずれかまたはすべてを、フローティング基準に接続された状態に維持することができる。ヒータゾーンは、このヒータゾーンに接続している電力供給ラインの少なくとも1つが電源に接続され、このヒータゾーンに接続している電力リターンラインの少なくとも1つがフローティング基準に接続されたときに、オンとなる。ヒータゾーンの平均出力は、それがオンにされる平均持続時間に正比例する。あるいは、1つの電力供給ラインに電力が供給されている間に、その持続時間全体の間、ハイサイドMCUは、電力リターンラインのいずれかまたはすべてを、フローティング基準に接続された状態に維持して、オンにされている各ヒータゾーンに伝送される電力を調整してもよい。
例えば、ヒータゾーンの10×10格子の場合、行番号Nのヒータゾーンは電力供給ライン番号Nに接続され、列番号Mのヒータゾーンは電力リターンライン番号Mに接続される。ハイサイドMCUは、電力供給ラインの各々が順次100msの間、電源に接続されるように、加熱を制御することができる。例えば、電力供給ライン番号3が電源に接続されている100msの時間中に、MCUは、この100msの間の具体的な加熱要求による指示に従って、電力リターンライン番号7、8、9をそれぞれ10、50、100msの間、フローティング基準に接続させるように機能する。この場合、行番号3、列番号7のヒータゾーンはデューティ比1%であり、行番号3、列番号8のヒータゾーンはデューティ比5%であり、行番号3、列番号9のヒータゾーンはデューティ比10%である。この特定の例では、各ヒータゾーンの最大ピーク出力は、所望の平均最大出力の10倍に設定される。
検出可能な温度変調を防ぐため、各ヒータゾーンが高い頻度(少なくとも1Hz)で扱われるように、スイッチング周波数および多重化方式全体が十分に高速であることが好ましい。複数の温度センサのいずれかからのフィードバック・データを用いて、追加のループ制御を実施してもよい。必要であれば、さらに電圧センサおよび電流センサを実装することもできる。これらのセンサは、基板上の様々な位置の温度およびヒータゾーンの出力などのパラメータを測定するように構成することができる。これらの測定されたパラメータは、これらのパラメータの設定目標と比較するために制御・電源回路に送られ、制御・電源回路は、測定されたパラメータとそれらの設定目標との差異を最小化するように、ヒータゾーンに供給される電力を適宜調整することができる。
加熱プレート、この加熱プレートを製造する方法、この加熱プレートを備える基板支持アセンブリ、この基板支持アセンブリを備えるプラズマ処理室を使用する方法について、その具体的な実施形態を参照して詳細に説明を行ったが、添付の請求項の範囲から逸脱することなく、様々な変更および変形を実施すること、および均等物を採用することが可能であることは、当業者には明らかであろう。例えば、基板支持アセンブリは、基板温度を監視するための温度センサ、ESCに所望のクランプ電圧で電力を供給するための電力供給構成、基板を上げ下げするためのリフトピン構成、基板の下面にヘリウムなどのガスを供給するための熱伝導ガス供給構成、冷却プレートに熱伝導液を供給するための温度制御液体供給構成、平面ヒータゾーンの上方または下方にある主ヒータに個別に電力を供給するための電力供給構成、基板支持アセンブリに組み込まれた下部電極に1つまたは複数の周波数でRF電力を供給する電力供給構成、などを含むことができる。
本発明は、以下の態様を含む。
[態様1]
半導体処理装置において半導体基板を支持するために用いられる基板支持アセンブリ用の加熱プレートであって、
第1の電気絶縁層と、
第1、第2、第3、および第4の平面ヒータゾーンを少なくとも含む複数の平面ヒータゾーンであって、その各々は1つまたは複数のヒータエレメントを有し、これらの平面ヒータゾーンは前記第1の電気絶縁層上に横方向に分布して基板上の空間的温度プロファイルを調整するように機能する、複数の平面ヒータゾーンと、
前記第1および第2の平面ヒータゾーンに電気的に接続された第1の導電性電力供給ラインと、前記第3および第4の平面ヒータゾーンに電気的に接続された第2の導電性電力供給ラインと、を少なくとも含む複数の電力供給ラインと、
前記第1および第3の平面ヒータゾーンに電気的に接続された第1の導電性電力リターンラインと、前記第2および第4の平面ヒータゾーンに電気的に接続された第2の導電性電力リターンラインと、を少なくとも含む複数の電力リターンラインと、を備える、加熱プレート。
[態様2]
態様1に記載の加熱プレートであって、
(a)前記平面ヒータゾーンおよび前記電力供給ラインは、第1の平面内にあり、前記電力リターンラインは、前記第1の平面に平行な第2の平面内にあり、前記第1の平面と前記第2の平面は、前記第1の電気絶縁層によって互いから分離されており、前記電力リターンラインは、前記第1電気絶縁層内に垂直に延在するビアによって前記平面ヒータゾーンに電気的に接続されている、あるいは、
(b)前記平面ヒータゾーンおよび前記電力リターンラインは、第1の平面内にあり、前記電力供給ラインは、前記第1の平面に平行な第2の平面内にあり、前記第1の平面と前記第2の平面は、前記第1の電気絶縁層によって互いから分離されており、前記電力供給ラインは、前記第1電気絶縁層内に垂直に延在するビアによって前記平面ヒータゾーンに電気的に接続されている、あるいは、
(c)前記平面ヒータゾーンは、第1の平面内にあり、前記電力供給ラインは、前記第1の平面に平行な第2の平面内にあり、前記電力リターンラインは、前記第1の平面に平行な第3の平面内にあり、前記第1の平面と前記第2の平面は、前記第1の電気絶縁層によって分離されており、前記第2の平面と前記第3の平面は、第2の電気絶縁層によって分離されており、前記電力供給ラインおよび前記電力リターンラインは、前記電気絶縁層を貫通して延在するビアによって前記平面ヒータゾーンに電気的に接続されている、加熱プレート。
[態様3]
態様1に記載の加熱プレートであって、
前記平面ヒータゾーンの大きさは、
(a)各平面ヒータゾーンが、前記半導体基板上に作られる4つの素子ダイ以下の大きさである、または、
(b)各平面ヒータゾーンが、前記半導体基板上に作られる2つの素子ダイ以下の大きさである、または、
(c)各平面ヒータゾーンが、前記半導体基板上に作られる1つの素子ダイ以下の大きさである、または、
(d)各平面ヒータゾーンの面積が、2〜3cm 2 の間である、または、
(e)当該加熱プレートに100〜400個の平面ヒータゾーンが含まれる、または、
(f)各平面ヒータゾーンが、1〜15cm 2 である、または、
(g)各平面ヒータゾーンが、16〜100cm 2 である、または、
(h)各平面ヒータゾーンの大きさが、前記半導体基板上の素子ダイの大きさおよび前記半導体基板の全体的大きさに応じて調整される、ようになっている、加熱プレート。
[態様4]
態様1に記載の加熱プレートであって、
前記第1の電気絶縁層は、ポリマー材料、セラミック材料、またはそれらの組み合わせを含む、加熱プレート。
[態様5]
態様1に記載の加熱プレートであって、
前記電力供給ラインと前記電力リターンラインの総数は、前記平面ヒータゾーンの総数以下である、加熱プレート。
[態様6]
態様1に記載の加熱プレートであって、
前記平面ヒータゾーンの総面積は、当該加熱プレートの上面の50%〜90%である、加熱プレート。
[態様7]
態様1に記載の加熱プレートであって、
前記平面ヒータゾーンは、矩形格子、六角格子、または同心環状に配置されており、
幅が少なくとも1ミリメートル、幅が最大で10ミリメートルの隙間によって、前記複数の平面ヒータゾーンは互いから分離されている、加熱プレート。
[態様8]
態様1に記載の加熱プレートであって、
各ヒータゾーンとこれに接続されている前記電力供給ラインとの間に、整流器が直列に接続されているか、または、各ヒータゾーンとこれに接続されている前記電力リターンラインとの間に、整流器が直列に接続されている、加熱プレート。
[態様9]
態様8に記載の加熱プレートであって、
前記整流器は半導体ダイオードである、加熱プレート。
[態様10]
基板支持アセンブリであって、
当該基板支持アセンブリ上で半導体基板を静電的に固定するように構成された、少なくとも1つのクランプ電極を有する静電固定層を含む静電チャック(ESC)と、
前記静電固定層の下方に配置された、態様1に記載の加熱プレートと、
断熱層によって前記加熱プレートの下側に取り付けられた冷却プレートと、を備える、基板支持アセンブリ。
[態様11]
態様10に記載の基板支持アセンブリであって、
(a)互いから電気的に絶縁されて、前記冷却プレート内の少なくとも1つの電力供給コンジットを通って延びる複数のリード線に、前記電力供給ラインが接続され、さらに、互いから電気的に絶縁されて、前記冷却プレート内の少なくとも1つの電力リターンコンジットを通って延びる複数のリード線に、前記電力リターンラインが接続されている、あるいは、
(b)前記電力供給ラインおよび前記電力リターンラインは、前記冷却プレートに埋め込まれた端子コネクタに接続されている、基板支持アセンブリ。
[態様12]
態様10に記載の基板支持アセンブリであって、
制御・電源回路をさらに備え、前記制御・電源回路は、任意の時点で、(a)前記第1のヒータゾーンのみ、(b)前記第2のヒータゾーンのみ、(c)前記第3のヒータゾーンのみ、(d)前記第4のヒータゾーンのみ、(e)前記第1と第2の平面ヒータゾーンのみ、(f)前記第1と第3の平面ヒータゾーンのみ、(g)前記第2と第4の平面ヒータゾーンのみ、(h)前記第3と第4の平面ヒータゾーンのみ、(i)前記平面ヒータゾーンのすべて、に選択的に電力を供給するように機能する、基板支持アセンブリ。
[態様13]
態様12に記載の基板支持アセンブリであって、
前記制御・電源回路と電気接地との間に直列に接続された、少なくとも1つのフィルタまたはアイソレータをさらに備える、基板支持アセンブリ。
[態様14]
態様13に記載の基板支持アセンブリであって、
前記少なくとも1つのフィルタまたはアイソレータは、変圧器である、基板支持アセンブリ。
[態様15]
態様10に記載の基板支持アセンブリであって、
前記加熱プレートの前記第1の電気絶縁層の上方または下方に配置された、少なくとも1つの主ヒータ層をさらに備え、
前記主ヒータ層は、前記加熱プレートの前記平面ヒータゾーン、前記電力供給ライン、前記電力リターンラインから電気的に絶縁されており、
前記主ヒータ層は、前記半導体基板の平均温度制御を提供する少なくとも1つのヒータを含み、
前記平面ヒータゾーンは、前記半導体基板の径方向および方位の温度プロファイル制御を、その処理中に提供する、基板支持アセンブリ。
[態様16]
態様1に記載の加熱プレートを製造する方法であって、
(a)セラミックシートに孔を形成することと、
(b)前記平面ヒータゾーン、前記電力供給ライン、および前記電力リターンラインを形成するため、前記セラミックシート上に、導電性粉末スラリーをスクリーン印刷すること、または予めカットした金属箔をプレスすること、または導電性粉末スラリーを噴霧することと、
(c)電力供給ビアおよび電力リターンビアを形成するため、前記セラミックシートの前記孔に導電性粉末スラリーを充填することと、
(d)前記セラミックシートを、揃えて、プレスし、接合することで、前記加熱プレートを形成することと、を含む、方法。
[態様17]
態様16に記載の加熱プレートを製造する方法であって、
(a)前記平面ヒータゾーンおよび前記電力供給ラインは、第1のセラミックシートの上面側に形成され、前記電力リターンラインは、前記第1のセラミックシートの下面側に形成され、前記第1のセラミックシートの上面の上に第2のセラミックシートが配置され、前記第1のセラミックシートの下方に第3のセラミックシートが配置され、前記第1、第2、第3のセラミックシートを一緒にプレスし、焼結させることで、ジョイントフリー加熱プレートを形成する、あるいは、
(b)前記平面ヒータゾーンは、第1のセラミックシートの上面側に形成され、前記電力供給ラインは、前記第1のセラミックシートの下方に配置された第2のセラミックシートの上面側に形成され、前記電力リターンラインは、前記第2のセラミックシートの下方に配置された第3のセラミックシートの上面側に形成され、前記第1のセラミックシートの上面の上に第4のセラミックシートが配置され、前記第1、第2、第3、第4のセラミックシートを一緒にプレスし、焼結させることで、ジョイントフリー加熱プレートを形成する、方法。
[態様18]
態様1に記載の加熱プレートを製造する方法であって、
構成部品層を作ることであって、
(a)ポリマー膜の上に金属シートを接合するステップと、
(b)パターン形成されるレジスト膜を前記金属シート上に塗布するステップであって、そのパターン形成されるレジスト膜の開孔部は、金属が除去されるべき位置に対応する、ステップと、
(c)前記レジスト膜の開孔部から露出した金属を除去するため、前記金属シートをエッチングするステップであって、このエッチングにより、前記平面ヒータゾーン、前記電力供給ライン、および/または前記電力リターンラインの導電性金属パターンを形成するステップと、
(d)前記レジスト膜を除去するステップと、を含む、構成部品層を作ることと、
ビア層を作ることであって、
(a)ポリマー膜に孔を打ち抜く、または切り抜くステップと、
(b)前記孔の中に導電性ビアを形成するステップと、を含む、ビア層を作ることと、
1つまたは複数の構成部品層と1つまたは複数のビア層を接合することにより、積層体を構成することと、
前記積層体の上面および/または下面に連続ポリマー膜を接合することにより、前記積層体を絶縁することと、を含む、方法。
[態様19]
態様1に記載の加熱プレートを製造する方法であって、
前記平面ヒータゾーン、前記電力供給ライン、および/または前記電力リターンラインを形成するため、金属、非晶質導電性無機材料、または導電性セラミックを前記第1の絶縁層の上に堆積させることを含む、方法。
[態様20]
態様10に記載の基板支持アセンブリを備えるプラズマ処理室内で、半導体基板をプラズマ処理する方法であって、
(a)前記処理室内に半導体基板を挿入して、前記基板支持アセンブリ上で前記半導体基板を位置決めすることと、
(b)限界寸法(CD)均一性に影響する処理条件を補償する温度プロファイルを決定することと、
(c)前記温度プロファイルに従うように、前記基板支持アセンブリを用いて前記半導体基板を加熱することと、
(d)プラズマに点火して、前記平面ヒータゾーンの独立に制御される加熱により温度プロファイルを制御しながら、前記半導体基板を処理することと、
(e)前記半導体基板を前記処理室から取り出して、別の半導体基板でステップ(a)〜(e)を繰り返すことと、を含む、方法。
[態様21]
態様11に記載の基板支持アセンブリであって、
前記端子コネクタは、バネ付きパススルーである、基板支持アセンブリ。
[態様22]
態様15に記載の基板支持アセンブリであって、
前記主ヒータ層は2つ以上のヒータを含む、基板支持アセンブリ。

Claims (20)

  1. 処理室内の半導体基板支持体に支持された半導体基板の径方向および方位の温度制御を行うように構成された加熱プレートであって、
    前記半導体基板の温度プロファイルを調整するように機能する複数のヒータゾーンのアレイを有する第1の層であって、前記複数のヒータゾーンは、2以上の電力供給ラインと2以上の電力リターンラインとによって駆動され、各電力供給ラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各電力リターンラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各ヒータゾーンは、異なる電力供給ラインと電力リターンラインのペアに接続された、第1の層と、
    前記処理室内において処理中に前記半導体基板の平均温度の制御を行うように機能する1または複数の主ヒータを有する第2の層と、
    を備える加熱プレート。
  2. 基板支持体であって、
    処理室内の半導体基板支持体に支持された半導体基板の径方向および方位の温度制御を行うように構成された加熱プレートであって、
    前記半導体基板の温度プロファイルを調整するように機能する複数のヒータゾーンのアレイを有する第1の層であって、前記複数のヒータゾーンは、2以上の電力供給ラインと2以上の電力リターンラインとによって駆動され、各電力供給ラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各電力リターンラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各ヒータゾーンは、異なる電力供給ラインと電力リターンラインのペアに接続された、第1の層と、
    前記処理室内において処理中に前記半導体基板の平均温度の制御を行うように機能する1または複数の主ヒータを有する第2の層と、
    を備える加熱プレートと、
    前記電力供給ラインの1つ、および前記電力リターンラインの1つを介して、前記複数のヒータゾーンのそれぞれに独立して電力を供給し、時分割多重化によって前記複数のヒータのそれぞれに時間平均された電力を供給するスイッチ装置と、前記加熱プレートの下で温度制御および高周波(RF)駆動されるベースプレートと、前記ベースプレートの下の局所フローティング・ファラデーケージ内に収容されたハイサイド回路と、
    を備える基板支持体。
  3. 処理室内の半導体基板支持体に支持された半導体基板の径方向および方位の温度制御を行うように構成された加熱プレートであって、
    前記半導体基板の温度プロファイルを調整するように機能する複数のヒータゾーンのアレイを有する第1の層であって、前記複数のヒータゾーンは、2以上の電力供給ラインと2以上の電力リターンラインとによって駆動され、各電力供給ラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各電力リターンラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各ヒータゾーンは、異なる電力供給ラインと電力リターンラインのペアに接続された、第1の層と、
    前記処理室内において処理中に前記半導体基板の平均温度の制御を行うように機能する1または複数の主ヒータを有する第2の層と、を備え、
    前記加熱プレートは、第1電気絶縁層であって、前記第1電気絶縁層に横方向に分布する複数の平面ヒータゾーンを備える第1電気絶縁層を有し、
    少なくとも第1、第2、第3および第4の平面ヒータゾーンを備え、各平面ヒータゾーンは、1または複数のヒータ要素を有し、
    前記電力供給ラインは、前記第1および第2の平面ヒータゾーンに電気的に接続される第1導電性電力供給ラインと、前記第3および第4の平面ヒータゾーンに電気的に接続される第2導電性電力供給ラインと、を少なくとも備え、
    前記電力リターンラインは、前記第1および第3の平面ヒータゾーンに電気的に接続される第1導電性電力リターンラインと、前記第2および第4平面ヒータゾーンに電気的に接続される第2導電性電力リターンラインと、を備える、
    加熱プレート。
  4. 請求項1に記載の加熱プレートであって、
    前記加熱プレートは、前記半導体基板上の複数の位置で温度を測定するように構成された複数の温度センサを含む基板支持体に組み込まれており、
    前記複数のヒータゾーンのアレイに接続された制御・電源回路であって、前記複数の温度センサから測定されたパラメータを受信し、前記測定されたパラメータと設定目標との差異を最小化するために前記複数のヒータゾーンに供給される電力を調整するように構成された制御・電源回路を備える、加熱プレート。
  5. 請求項2に記載の基板支持体であって、
    更に、電気接地に接続されたアイソレータを備え、
    前記スイッチ装置は、前記アイソレータに接続されている、基板支持体。
  6. 請求項5に記載の基板支持体であって、
    前記スイッチ装置は、プラズマエッチング室内に位置する、基板支持体。
  7. 請求項5に記載の基板支持体であって、
    前記アイソレータは、RFから前記スイッチ装置を分離する絶縁変圧器である、基板支持体。
  8. 処理室内の半導体基板支持体に支持された半導体基板の径方向および方位の温度制御を行うように構成された加熱プレートであって、
    前記半導体基板の温度プロファイルを調整するように機能する複数のヒータゾーンのアレイを有する第1の層であって、前記複数のヒータゾーンは、2以上の電力供給ラインと2以上の電力リターンラインとによって駆動され、各電力供給ラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各電力リターンラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各ヒータゾーンは、異なる電力供給ラインと電力リターンラインのペアに接続された、第1の層と、
    前記処理室内において処理中に前記半導体基板の平均温度の制御を行うように機能する1または複数の主ヒータを有する第2の層と、
    を備え、
    前記複数のヒータゾーンは、第1電気絶縁層上に支持され、
    前記第2の層は、前記第1電気絶縁層の上または下に配置され、
    前記1または複数の主ヒータは、前記複数のヒータゾーンのアレイ、前記電力供給ラインおよび前記電力リターンラインから電気的に絶縁されている、
    加熱プレート。
  9. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、RF電位でフロートするハイサイドコントローラであり、
    前記基板支持体は、更に、ローサイドコントローラとのデジタル通信のために前記ハイサイドコントローラと接続された光カプラを備える、基板支持体。
  10. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、少なくとも1つの電力リターンラインをフローティング基準に接続する、基板支持体。
  11. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、前記複数のヒータゾーンの1つに接続された少なくとも1つの電力供給ラインが電源に接続されたとき、前記ヒータゾーンをオンにするように構成され、
    前記ヒータゾーンに接続された少なくとも1つの電力供給ラインは、フローティング基準に接続されている、基板支持体。
  12. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、全ての電力リターンラインをフローティング基準に接続する、基板支持体。
  13. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、少なくとも1Hzの周波数で動作する、基板支持体。
  14. 請求項1に記載の加熱プレートを備える基板支持体であって、
    前記基板支持体は、前記基板支持体上の異なる位置におけるパラメータと、前記複数のヒータゾーンの電力と、を測定するように構成された複数のセンサを備える、基板支持体。
  15. 請求項14に記載の基板支持体であって、
    前記複数のセンサは、少なくとも1つの電圧および電流センサを含む、基板支持体。
  16. 請求項14に記載の基板支持体であって、
    測定されたパラメータは、少なくとも温度の測定結果を含む、基板支持体。
  17. 請求項2に記載の基板支持体であって、
    前記スイッチ装置は、1つの電力供給ラインに電源が接続され、オンされたヒータゾーンを加熱するために伝送された電力を調整している間、全ての電力リターンラインとフローティング基準との間の接続を維持するように構成されている、基板支持体。
  18. 基板支持体であって、
    処理室内の半導体基板支持体に支持された半導体基板の径方向および方位の温度制御を行うように構成された加熱プレートであって、
    前記半導体基板の温度プロファイルを調整するように機能する複数のヒータゾーンのアレイを有する第1の層であって、前記複数のヒータゾーンは、2以上の電力供給ラインと2以上の電力リターンラインとによって駆動され、各電力供給ラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各電力リターンラインは、前記複数のヒータゾーンの少なくとも2つに接続され、各ヒータゾーンは、異なる電力供給ラインと電力リターンラインのペアに接続された、第1の層と、
    前記処理室内において処理中に前記半導体基板の平均温度の制御を行うように機能する1または複数の主ヒータを有する第2の層と、
    を備える加熱プレートと、
    前記加熱プレート上の静電固定(ESC)層と、
    を備える基板支持体。
  19. 請求項18に記載の基板支持体であって、
    更に、前記加熱プレートの下で高周波(RF)駆動されるベースレートを備える、基板支持体。
  20. 請求項1に記載の加熱プレートであって、
    前記複数のヒータゾーンは、セラミック材料に組み込まれた少なくとも100個の独立に制御可能なヒータゾーンを含む、加熱プレート。
JP2015216245A 2009-10-21 2015-11-04 加熱プレートおよび基板支持体 Active JP5996760B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/582,991 US8637794B2 (en) 2009-10-21 2009-10-21 Heating plate with planar heating zones for semiconductor processing
US12/582,991 2009-10-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012535190A Division JP5836959B2 (ja) 2009-10-21 2010-10-20 基板支持アセンブリにおけるヒータに電力を供給する方法、および、半導体基板支持体

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016163179A Division JP6351669B2 (ja) 2009-10-21 2016-08-24 加熱プレートおよびシステム

Publications (2)

Publication Number Publication Date
JP2016054303A JP2016054303A (ja) 2016-04-14
JP5996760B2 true JP5996760B2 (ja) 2016-09-21

Family

ID=43879632

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2012535190A Active JP5836959B2 (ja) 2009-10-21 2010-10-20 基板支持アセンブリにおけるヒータに電力を供給する方法、および、半導体基板支持体
JP2015216245A Active JP5996760B2 (ja) 2009-10-21 2015-11-04 加熱プレートおよび基板支持体
JP2016163179A Active JP6351669B2 (ja) 2009-10-21 2016-08-24 加熱プレートおよびシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012535190A Active JP5836959B2 (ja) 2009-10-21 2010-10-20 基板支持アセンブリにおけるヒータに電力を供給する方法、および、半導体基板支持体

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016163179A Active JP6351669B2 (ja) 2009-10-21 2016-08-24 加熱プレートおよびシステム

Country Status (6)

Country Link
US (6) US8637794B2 (ja)
JP (3) JP5836959B2 (ja)
KR (2) KR101919675B1 (ja)
CN (1) CN102668058B (ja)
TW (3) TWI511229B (ja)
WO (1) WO2011049620A2 (ja)

Families Citing this family (459)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101031226B1 (ko) * 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102652352B (zh) 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10010213B2 (en) * 2010-11-02 2018-07-03 Ember Technologies, Inc. Heated or cooled dishware and drinkware and food containers
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9330953B2 (en) * 2011-03-23 2016-05-03 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
TWI544569B (zh) * 2011-04-27 2016-08-01 住友大阪水泥股份有限公司 靜電夾持裝置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
KR102006508B1 (ko) * 2011-08-17 2019-08-01 램 리써치 코포레이션 멀티플렉싱된 가열기 어레이의 온도를 모니터링하고 이를 제어하는 시스템 및 방법
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
AU2015203195B2 (en) * 2011-08-30 2017-04-13 Watlow Electric Manufacturing Company System and method for controlling a thermal array
AU2015203198B2 (en) * 2011-08-30 2017-03-09 Watlow Electric Manufacturing Company Thermal array system
AU2015203215C1 (en) * 2011-08-30 2016-09-15 Watlow Electric Manufacturing Company Thermal array system
AU2015203200B2 (en) * 2011-08-30 2016-06-02 Watlow Electric Manufacturing Company Thermal array system
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
AU2015203212C1 (en) * 2011-08-30 2017-07-06 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US10883950B2 (en) * 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
MX338215B (es) * 2011-08-30 2016-04-06 Watlow Electric Mfg Sistema y metodo para controlar un arreglo termico.
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) * 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
EP2761974B1 (en) * 2011-09-29 2022-06-01 Watlow Electric Manufacturing Company High dynamic temperature control system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
KR101976538B1 (ko) * 2012-02-16 2019-05-10 주식회사 미코 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
WO2013127589A1 (en) * 2012-02-29 2013-09-06 Asml Netherlands B.V. Electrostatic clamp
JP6106659B2 (ja) * 2012-03-07 2017-04-05 日本特殊陶業株式会社 搬送装置およびセラミック部材
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
JP6359236B2 (ja) * 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
JP5793473B2 (ja) * 2012-07-20 2015-10-14 株式会社新川 ボンディング装置用ヒータ及びその冷却方法
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103633003B (zh) * 2012-08-28 2016-12-21 中微半导体设备(上海)有限公司 一种静电卡盘
CN103681185B (zh) * 2012-08-30 2016-05-04 中微半导体设备(上海)有限公司 一种静电卡盘及等离子体处理装置
JP6077258B2 (ja) * 2012-10-05 2017-02-08 日本特殊陶業株式会社 積層発熱体、静電チャック、及びセラミックヒータ
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
US9543183B2 (en) * 2013-04-01 2017-01-10 Fm Industries, Inc. Heated electrostatic chuck and semiconductor wafer heater and methods for manufacturing same
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
DE102014202302B4 (de) * 2013-07-03 2015-02-19 Technische Universität Dresden Vorrichtung zum Beheizen von Vorformkörpern
KR102278077B1 (ko) * 2013-08-14 2021-07-16 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI589178B (zh) * 2013-08-19 2017-06-21 友達光電股份有限公司 加熱器以及加熱方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9420639B2 (en) 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
JP2015095409A (ja) * 2013-11-13 2015-05-18 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9518946B2 (en) 2013-12-04 2016-12-13 Watlow Electric Manufacturing Company Thermographic inspection system
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752130A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理装置及其静电卡盘
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
CN107078086B (zh) * 2014-02-07 2021-01-26 恩特格里斯公司 静电夹具以及制造其之方法
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN111211348B (zh) 2014-03-13 2024-03-12 株式会社半导体能源研究所 电子设备
CN104934279B (zh) * 2014-03-17 2017-08-01 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台的制造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952682A (zh) * 2014-03-25 2015-09-30 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其基台
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
US9595464B2 (en) * 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104233195B (zh) * 2014-08-28 2017-02-08 京东方科技集团股份有限公司 一种蒸镀设备及蒸镀方法
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016069808A1 (en) * 2014-10-31 2016-05-06 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
JP6202111B2 (ja) * 2014-11-20 2017-09-27 住友大阪セメント株式会社 静電チャック装置
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
CN104503513A (zh) * 2014-12-11 2015-04-08 无锡市锡容电力电器有限公司 一种加热板智能控温加热系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
WO2016190905A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10453775B1 (en) * 2015-06-10 2019-10-22 SA Photonics, Inc. Distributed thermoelectric cooling system
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
CN108028440A (zh) * 2015-07-31 2018-05-11 伊利诺斯工具制品有限公司 加热板
JP6653535B2 (ja) * 2015-08-07 2020-02-26 日本発條株式会社 ヒータユニット
KR101776562B1 (ko) 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6256454B2 (ja) * 2015-11-30 2018-01-10 株式会社デンソー ヒータプレート、このヒータプレートを用いる熱流束センサの製造装置、このヒータプレートの製造方法、及び、このヒータプレートの製造装置
US9812342B2 (en) 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106920768A (zh) * 2015-12-24 2017-07-04 中微半导体设备(上海)有限公司 多区主动矩阵温控系统和温控方法及其适用的静电吸盘和等离子处理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
CN106935529B (zh) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 一种基片支撑台及其制造方法
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
KR102513443B1 (ko) 2016-03-15 2023-03-24 삼성전자주식회사 정전 척 및 그를 포함하는 기판 처리 장치
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
CN107303622A (zh) * 2016-04-20 2017-10-31 张跃 一种夹式钎焊装置及其使用方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10764966B2 (en) * 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
KR102329513B1 (ko) * 2016-05-10 2021-11-23 램 리써치 코포레이션 적층된 히터와 히터 전압 입력부들 사이의 연결부들
US10667379B2 (en) 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
JP2018056333A (ja) * 2016-09-29 2018-04-05 日本発條株式会社 基板載置台、および基板載置台の作製方法
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN108075473B (zh) * 2016-11-07 2019-11-29 北京北方华创微电子装备有限公司 一种滤波电路、加热电路和半导体处理设备
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101909190B1 (ko) * 2016-12-30 2018-12-10 세메스 주식회사 기판 온도 제어 장치 및 이를 포함하는 기판 처리 장치
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6850137B2 (ja) * 2017-01-24 2021-03-31 日本特殊陶業株式会社 保持装置
WO2018143288A1 (ja) * 2017-02-01 2018-08-09 日本特殊陶業株式会社 保持装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7158131B2 (ja) * 2017-05-30 2022-10-21 東京エレクトロン株式会社 ステージ及びプラズマ処理装置
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102019790B1 (ko) * 2017-06-29 2019-09-09 주식회사 디아이티 층별 소재가 다른 다층 세라믹 기판 및 그의 제조 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN109425437B (zh) * 2017-09-01 2020-07-28 京元电子股份有限公司 浮动温度感应装置及使用该装置的半导体组件测试模块
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11083050B2 (en) 2017-11-21 2021-08-03 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
KR102613392B1 (ko) 2017-11-21 2023-12-14 와틀로 일렉트릭 매뉴팩츄어링 컴파니 비아를 구비하지 않는 다중-구역 받침대 히터
US10761041B2 (en) 2017-11-21 2020-09-01 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10460914B2 (en) 2017-11-30 2019-10-29 Lam Research Corporation Ferrite cage RF isolator for power circuitry
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN108203812B (zh) * 2018-01-25 2020-02-07 京东方科技集团股份有限公司 一种基板固定载具、蒸镀设备及蒸镀方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP6762432B2 (ja) 2018-02-16 2020-09-30 日本特殊陶業株式会社 保持装置
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7239560B2 (ja) 2018-03-26 2023-03-14 日本碍子株式会社 静電チャックヒータ
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN112753097A (zh) * 2018-09-24 2021-05-04 朗姆研究公司 多路复用的基于高tcr的安瓿加热器
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111155072B (zh) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111211029B (zh) * 2018-11-21 2023-09-01 中微半导体设备(上海)股份有限公司 一种多区控温等离子反应器
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TW202105584A (zh) 2018-12-07 2021-02-01 美商蘭姆研究公司 用於具有多工加熱器陣列之靜電卡盤的長壽命延伸溫度範圍嵌入式二極體設計
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111326388B (zh) * 2018-12-17 2023-02-28 中微半导体设备(上海)股份有限公司 一种用于基片支撑的加热装置以及等离子体处理器
CN111385915B (zh) * 2018-12-27 2022-04-26 中微半导体设备(上海)股份有限公司 等离子反应器及其加热装置
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
CN111383891B (zh) * 2018-12-29 2023-03-10 中微半导体设备(上海)股份有限公司 用于半导体处理设备的温度控制装置及其温度控制方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
EP3986615A1 (en) * 2019-06-21 2022-04-27 Analog Devices International Unlimited Company A thermal platform and a method of fabricating a thermal platform
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11196937B2 (en) 2019-11-25 2021-12-07 Qualcomm Incorporated High frame rate in high dynamic range processing
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11784080B2 (en) * 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN111372336B (zh) * 2020-03-25 2021-10-26 广州智慧医疗科技有限公司 一种红外电热膜及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11646213B2 (en) * 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230274954A1 (en) * 2020-08-10 2023-08-31 Lam Research Corporation Substrate supports with multilayer structure including coupled heater zones with local thermal control
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114496692B (zh) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 加热组件、基片承载组件及其等离子体处理装置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220248500A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
KR20220122154A (ko) 2021-02-26 2022-09-02 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
KR102368832B1 (ko) 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
TW202312319A (zh) 2021-08-31 2023-03-16 南韓商細美事有限公司 加熱構件及基板處理設備
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤
FR3127762B1 (fr) * 2021-10-05 2023-10-13 Safran Electronics & Defense Dispositif de chauffage d’un substrat pour dépôt sous vide
CN117642847A (zh) * 2022-06-23 2024-03-01 株式会社日立高新技术 等离子处理装置
KR20240038856A (ko) 2022-09-16 2024-03-26 세메스 주식회사 기판 가열 장치와 이를 이용한 기판 처리 장치

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK111688B (da) 1965-01-13 1968-09-30 Burmeister & Wains Mot Mask Rørvæg til vandrørskedler og bestående af parallelle, i hovedsagen i samme plan liggende rør.
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
US3888106A (en) 1973-03-07 1975-06-10 Rockwell International Corp Testing apparatus for flow measuring devices
JPS5546346A (en) 1978-09-27 1980-04-01 Tokyo Electric Co Ltd Roaster
JPS601918B2 (ja) 1980-04-26 1985-01-18 ライオン株式会社 再汚染の少ない無燐洗剤組成物
JPS601918A (ja) 1983-06-17 1985-01-08 Fuji Electric Co Ltd マトリツクス形選択回路
JPS621176A (ja) 1985-06-26 1987-01-07 Hitachi Ltd ヘツド支持装置
JPS6298610A (ja) 1985-10-25 1987-05-08 Hitachi Ltd 結晶成長用基板加熱機構
JPH0610391B2 (ja) 1989-11-17 1994-02-09 株式会社ナブコ プラグドアのガイド装置
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (ja) 1992-06-29 2003-08-25 アイシン精機株式会社 人体局部洗浄装置
US5414245A (en) * 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH06326022A (ja) 1993-03-16 1994-11-25 Mitsubishi Electric Corp 半導体基板の製造方法,半導体装置の製造方法,及び,半導体製造装置
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH0778668A (ja) 1993-09-07 1995-03-20 Fanuc Ltd ヒータ装置の異常検出装置
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP2647799B2 (ja) 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JPH08130184A (ja) 1994-10-31 1996-05-21 Sony Corp 熱処理装置
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5702624A (en) 1996-10-09 1997-12-30 Taiwan Semiconductors Manfuacturing Company, Ltd Compete hot plate temperature control system for hot treatment
KR200159921Y1 (ko) 1996-11-23 1999-11-01 이세원 리프터의 업/다운 제어회로
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JPH11126743A (ja) * 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
US6023052A (en) 1997-11-07 2000-02-08 Shell Oil Company Heater control
US6091060A (en) 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP2004303736A (ja) * 1999-08-09 2004-10-28 Ibiden Co Ltd セラミックヒータ
JP2001118662A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
US6175175B1 (en) 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
KR100722057B1 (ko) 1999-09-29 2007-05-25 동경 엘렉트론 주식회사 멀티존 저항가열기
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2001102157A (ja) 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
EP1199908A4 (en) 1999-10-22 2003-01-22 Ibiden Co Ltd CERAMIC HEATING PLATE
JP2001126743A (ja) 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 高分子電解質型燃料電池
JP2001203257A (ja) 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
WO2001078456A1 (fr) 2000-04-07 2001-10-18 Ibiden Co., Ltd. Element ceramique chauffant
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
JP2002059579A (ja) * 2000-08-15 2002-02-26 Casio Comput Co Ltd 駆動回路
US6403403B1 (en) 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US7075031B2 (en) 2000-10-25 2006-07-11 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10059665C1 (de) * 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6501052B2 (en) 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
CN100401852C (zh) 2001-04-30 2008-07-09 科林研发公司 用于控制工件支架表面上空间温度分布的方法与装置
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
ITMI20011253A1 (it) 2001-06-14 2002-12-14 Whirlpool Co Sistema di gestione della potenza in apparecchi di cottura elettrici
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
EP1422754A1 (en) 2001-08-10 2004-05-26 Ibiden Co., Ltd. Ceramic joint body
US6693262B2 (en) 2001-10-17 2004-02-17 Whirlpool Corporation Cooking hob with discrete distributed heating elements
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
JP3559549B2 (ja) * 2002-01-29 2004-09-02 京セラ株式会社 ウエハ加熱装置
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
JP4403073B2 (ja) 2002-07-11 2010-01-20 テンプトロニック コーポレイション 熱電気モジュールのための隙間を作る層間スペーサを有する熱制御アセンブリを備えるワークピースチャック
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP2004101106A (ja) * 2002-09-11 2004-04-02 Mitsubishi Electric Corp 空気調和機の制御回路及び空気調和機の制御方法
JP3924524B2 (ja) 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US7230204B2 (en) 2003-03-28 2007-06-12 Tokyo Electron Limited Method and system for temperature control of a substrate
JP3988942B2 (ja) 2003-03-31 2007-10-10 株式会社国際電気セミコンダクターサービス ヒータ検査装置及びそれを搭載した半導体製造装置
US6989210B2 (en) 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP2005026120A (ja) * 2003-07-03 2005-01-27 Ibiden Co Ltd セラミックヒータ
US6837231B1 (en) 2003-07-11 2005-01-04 General Motors Corporation Cylinder bank work output balancing based on exhaust gas A/F ratio
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US6913571B2 (en) 2003-10-14 2005-07-05 Datex-Ohmeda, Inc. Direct heater control for infant care apparatus
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP2005136025A (ja) 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP2005150370A (ja) * 2003-11-14 2005-06-09 Kyocera Corp 静電チャック
KR20050053464A (ko) 2003-12-01 2005-06-08 정준호 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7163722B2 (en) 2003-12-19 2007-01-16 Lcd Lighting, Inc. Device and method for coating serpentine fluorescent lamps
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7143222B2 (en) 2004-09-21 2006-11-28 International Business Machines Corporation Adaptive message delivery system
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
KR100632544B1 (ko) 2004-12-15 2006-10-09 현대자동차주식회사 직류변환기의 게이트 드라이버 회로
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
DE102005004072A1 (de) 2005-01-28 2006-08-03 Danckert, Hermann, Dr.-Ing. Fluchtraum zum Schutz vor Flutwellen
US20060226123A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
EP1900253B1 (en) 2005-06-29 2013-07-31 Watlow Electric Manufacturing Company Smart layered heater surfaces
JP4667158B2 (ja) 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) * 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
JP4483751B2 (ja) 2005-09-16 2010-06-16 株式会社デンソー 電源逆接続保護回路
JP2007149598A (ja) * 2005-11-30 2007-06-14 Matsushita Electric Ind Co Ltd シート状温度制御装置
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2007242913A (ja) 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) * 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP4850664B2 (ja) 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
JP2010521820A (ja) * 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
KR100849069B1 (ko) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
WO2008140022A1 (ja) * 2007-05-08 2008-11-20 Tokyo Electron Limited 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP2010157559A (ja) * 2008-12-26 2010-07-15 Hitachi High-Technologies Corp プラズマ処置装置
GB2470063B (en) 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102652352B (zh) 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof

Also Published As

Publication number Publication date
US20160300741A1 (en) 2016-10-13
JP6351669B2 (ja) 2018-07-04
US10236193B2 (en) 2019-03-19
KR101919675B1 (ko) 2019-02-08
US9646861B2 (en) 2017-05-09
CN102668058A (zh) 2012-09-12
TW201125067A (en) 2011-07-16
US20140096909A1 (en) 2014-04-10
TWI511229B (zh) 2015-12-01
US20140047705A1 (en) 2014-02-20
TWI642135B (zh) 2018-11-21
US8637794B2 (en) 2014-01-28
KR20160092035A (ko) 2016-08-03
US10720346B2 (en) 2020-07-21
KR101643800B1 (ko) 2016-07-29
US9392643B2 (en) 2016-07-12
US20110092072A1 (en) 2011-04-21
US20140045337A1 (en) 2014-02-13
JP5836959B2 (ja) 2015-12-24
US20170229327A1 (en) 2017-08-10
TWI552263B (zh) 2016-10-01
JP2013508968A (ja) 2013-03-07
WO2011049620A3 (en) 2011-11-03
KR20120103596A (ko) 2012-09-19
JP2016054303A (ja) 2016-04-14
JP2017037846A (ja) 2017-02-16
TW201635425A (zh) 2016-10-01
CN102668058B (zh) 2015-04-01
TW201545272A (zh) 2015-12-01
WO2011049620A2 (en) 2011-04-28
US8884194B2 (en) 2014-11-11

Similar Documents

Publication Publication Date Title
JP6351669B2 (ja) 加熱プレートおよびシステム
JP6479085B2 (ja) 半導体処理用の交流駆動を用いる多重ヒータアレイ
KR101653470B1 (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
KR20160091456A (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트

Legal Events

Date Code Title Description
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160701

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160824

R150 Certificate of patent or registration of utility model

Ref document number: 5996760

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250