JP2014158050A - トランジスタ及びその製造方法 - Google Patents

トランジスタ及びその製造方法 Download PDF

Info

Publication number
JP2014158050A
JP2014158050A JP2014093489A JP2014093489A JP2014158050A JP 2014158050 A JP2014158050 A JP 2014158050A JP 2014093489 A JP2014093489 A JP 2014093489A JP 2014093489 A JP2014093489 A JP 2014093489A JP 2014158050 A JP2014158050 A JP 2014158050A
Authority
JP
Japan
Prior art keywords
layer
pair
gate electrode
spacers
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014093489A
Other languages
English (en)
Other versions
JP6109781B2 (ja
Inventor
T Bohr Mark
ティー. ボーア,マーク
Tahir Ghani
ガーニ,タヒル
M Rahhal-Orabi Nadia
エム. ラッハル−オラビ,ナディア
Joshi Subhash
ジョシ,スブハシュ
M Steigerwald Joseph
エム. スタイガーウォルド,ジョーゼフ
W Klaus Jason
ダブリュー. クラウス,ジェイソン
Jack Hwang
ファン,ジャック
Mackiewicz Ryan
マッキーウィッツ,ライアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2014158050A publication Critical patent/JP2014158050A/ja
Application granted granted Critical
Publication of JP6109781B2 publication Critical patent/JP6109781B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 コンタクト−ゲート短絡を防止し得るトランジスタ及びその製造方法を提供する。
【解決手段】 トランジスタは、基板と、基板上の一対のスペーサと、基板上且つスペーサ対間のゲート誘電体層と、ゲート誘電体層上且つスペーサ対間のゲート電極層と、ゲート電極層上且つスペーサ対間の絶縁キャップ層と、スペーサ対に隣接する一対の拡散領域とを有する。絶縁キャップ層は、ゲートにセルフアラインされるエッチング停止構造を形成し、コンタクトエッチングがゲート電極を露出させることを防止し、それにより、ゲートとコンタクトとの間の短絡を防止する。絶縁キャップ層は、セルフアラインコンタクトを実現し、パターニング限界に対して一層ロバストな、より幅広なコンタクトを最初にパターニングすることを可能にする。
【選択図】 図3C

Description

本発明の実施形態は、トランジスタ及びその製造方法に関する。
金属−酸化物−半導体(MOS)トランジスタ、例えばMOS電界効果トランジスタ、が集積回路の製造で使用されている。MOSトランジスタは、例えばゲート電極、ゲート誘電体層、スペーサ、及びソース・ドレイン領域などの拡散領域など、幾つかのコンポーネントを含んでいる。典型的に、層間誘電体(interlayer dielectric;ILD)がMOSトランジスタ上に形成されて拡散領域を覆う。
典型的に例えばタングステンなどの金属で形成されるコンタクトプラグによって、MOSトランジスタへの電気接続が行われる。コンタクトプラグは、先ずILD層をパターニングして、下方に拡散領域までビアを形成することによって製造される。このパターニング処理は一般的にフォトリソグラフィプロセスである。次に、ビア内に金属が堆積されてコンタクトプラグが形成される。同一あるいは同様のプロセスを用いて、下方にゲート電極まで別個のコンタクトプラグが形成される。
コンタクトプラグの製造中に発生し得る1つの問題は、コンタクト−ゲート短絡(ショート)の形成である。コンタクト−ゲート短絡は、コンタクトプラグがミスアライメントされてゲート電極と電気的に接触するときに発生する回路短絡である。コンタクト−ゲート短絡を防止するための一従来手法は、レジストレーション(見当合わせ)及び限界寸法(クリティカルディメンジョン;CD)を制御することによるものである。残念ながら、100nm以下のゲートピッチ(ゲート長+スペース)を有するトランジスタでは、製造可能なプロセスウィンドウを持たせるために、ゲート寸法及びコンタクト寸法のCD制御は10nm未満である必要があり、ゲート層とコンタクト層との間のレジストレーション制御も10nm未満である必要がある。故に、コンタクトがゲートに短絡する可能性は非常に高い。この問題は、トランジスタのゲートピッチ寸法が縮小スケーリングされるにつれて一層と広がることになる。限界寸法がより一層小さくなるからである。
コンタクト−ゲート短絡を防止し得るトランジスタ及びその製造方法が開示される。
一態様において、トランジスタは、基板と、基板上の一対のスペーサと、基板上且つスペーサ対間のゲート誘電体層と、ゲート誘電体層上且つスペーサ対間のゲート電極層と、ゲート電極層上且つスペーサ対間の絶縁キャップ層と、スペーサ対に隣接する一対の拡散領域とを有する。
基板と、正確にアライメントされたトレンチコンタクトを有する2つの従来MOSトランジスタとを示す図である。 MOSトランジスタの拡散領域に、ミスアライメントされたトレンチコンタクトが形成されて、コンタクト−ゲート短絡を生じさせていることを示す図である。 本発明の一実施形態に従った、基板と、それぞれの金属ゲート電極上に絶縁体キャップ層を有する2つのMOSトランジスタとを示す図である。 絶縁体キャップ層を有する本発明に係る2つのMOSトランジスタ間に形成された、正確にアライメントされたトレンチコンタクトを示す図である。 絶縁体キャップ層を有する本発明に係る2つのMOSトランジスタ間に形成された、ミスアライメントされたトレンチコンタクトを示す図であり、このミスアライメントがコンタクト−ゲート短絡を生じさせないことを示している。 本発明の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の他の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の他の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の他の一実施形態に従った、置換金属ゲートプロセス後に形成された絶縁体キャップ層を示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、MOSトランジスタのスペーサ上まで延在する絶縁体キャップ層の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極の製造プロセスを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極と、スペーサ上まで延在する絶縁体キャップ層と、の双方を有するMOSトランジスタを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極と、スペーサ上まで延在する絶縁体キャップ層と、の双方を有するMOSトランジスタを示す図である。 本発明の一実施形態に従った、段差形状を有する金属ゲート電極と、スペーサ上まで延在する絶縁体キャップ層と、の双方を有するMOSトランジスタを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従ったコンタクト側壁スペーサを示す図である。 本発明の一実施形態に従った、金属ゲート電極上に絶縁キャップを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、金属ゲート電極上に絶縁キャップを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、金属ゲート電極上に絶縁キャップを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、金属ゲート電極上に絶縁キャップを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。 本発明の一実施形態に従った、トレンチコンタクト上に金属スタッド及び絶縁スペーサを形成する製造プロセスを示す図である。
金属−酸化物−半導体(MOS)トランジスタの製造中にコンタクト−ゲート短絡の可能性を低減するシステム及び方法がここに開示される。以下の説明においては、当業者が自身の仕事内容をその他の当業者に伝えるために一般的に使用する用語を用いて、例示の実施形態の様々な観点を説明する。しかしながら、当業者に認識されるように、本発明は、説明される観点のうちの一部のみを用いて実施されることも可能である。例示の実施形態の十分な理解を提供するため、説明目的で、具体的な数、材料及び構成が説明される。しかしながら、当業者に認識されるように、本発明はそれら具体的な詳細事項を用いずに実施されてもよい。また、例示の実施形態を不明瞭にしないよう、周知の特徴は省略あるいは簡略化することとする。
本発明を理解する上で最も助けとなるよう、様々な処理が複数の別々の処理として次々に説明されることになるが、説明の順序は、それらの処理が必ず順序に応じたものであることを意味するものとして解釈されるべきでない。特に、それらの処理は必ずしも提示の順序で実行される必要はない。
図1Aは、基板100及び2つのMOSトランジスタ101を例示している。MOSトランジスタ101は、ゲート電極102、ゲート誘電体層104及びスペーサ108を含んでいる。拡散領域106が基板100内に形成されている。2つのMOSトランジスタ101の間及び周りの領域に、例えばILD層110a及び110bなどの層間誘電体(ILD)が堆積されている。
図1Aはまた、ILD層110a/bを貫通して下方に拡散領域106まで形成されたトレンチコンタクト200を例示している。トレンチコンタクト200は典型的に、フォトリソグラフィパターニングプロセスと、それに続く金属堆積プロセスとを用いて形成される。フォトリソグラフィパターニングプロセス及び金属堆積プロセスは、技術的に周知である。フォトリソグラフィパターニングプロセスは、ILD層110a/bを貫通して下方に拡散領域106まで、トレンチ開口をエッチング形成する。例えば電解めっき、無電解めっき、化学気相成長、物理気相成長、スパッタリング、又は原子層堆積などの金属堆積プロセスは、例えばタングステン又は銅などの金属でトレンチ開口を充填する。しばしば、この金属に先立って、例えばタンタル・ライナ又は窒化タンタル・ライナなどの金属ライナが堆積される。例えば化学的機械的研磨(CMP)などの平坦化プロセスを用いて、余分な金属が除去され、トレンチコンタクト200の製造が完了される。
なお、本発明の他の実施形態において、トレンチコンタクトに代えてビアコンタクトが使用されてもよい。故に、コンタクト開口は、使用されるパターニングプロセス又は特定の集積回路プロセスのニーズに応じて、トレンチ形状又はビア形状の何れともなり得る。ここに記載される本発明の実施形態は、コンタクトトレンチ開口及びトレンチコンタクトを参照しているが、それらの実施形態の何れにおいても、コンタクトトレンチ開口及びトレンチコンタクトに代えて、ビア開口及びビアコンタクト(コンタクトプラグ又はビアプラグとしても知られる)も使用され得る。
集積回路技術が進展するにつれ、トランジスタのゲートピッチは次第に縮小している。このゲートピッチのスケーリングは、多数の新たな、解決の難しい課題を生じさせてきた。そのうちの1つは、トレンチコンタクト200及び拡散領域106とゲート電極102との間の比較的密な間隔によって引き起こされる寄生容量(図1Aにおいて“C”で表記)の増大である。スペーサ108がトレンチコンタクト200/拡散領域106とゲート電極102との間の分離の大部分を提供する傾向にある。例えば窒化シリコンなどの従来のスペーサ材料は、この寄生容量をほとんど低減しない。残念ながら、寄生容量はトランジスタ性能を低下させるとともにチップ電力を増大させる。
ゲートピッチのスケーリングによって生じる解決困難な別の1つの課題は、コンタクト−ゲート(contact-to-gate;CTG)短絡の形成である。トレンチコンタクト200の製造プロセスは、トレンチコンタクト200が金属ゲート電極102と物理的に接触することを防止するように設計される。そのような接触が発生すると、MOSトランジスタを事実上台無しにするCTG短絡が作り出されてしまう。CTG短絡は、トランジスタのゲートピッチが100nm未満まで縮小されるにつれて、主要な歩留まり制限要因になっている。
CTG短絡を抑制する現行手法は、より小さい限界寸法でレジストレーション(見当合わせ)の制御とコンタクトのパターニングとを行うことを含んでいる。しかしながら、ゲートピッチが縮小されるにつれ、既存技術でレジストレーション要求を満足するのは非常に困難になっている。例えば、100nm以下のゲートピッチを有するトランジスタは、製造可能なプロセスウィンドウを持たせるために、10nm未満のCD制御及びレイヤレジストレーション制御を必要とする。故に、コンタクトがゲートに短絡する可能性が非常に高い。
図1Bは、トレンチコンタクト200がミスアライメントされると何が起こるかを例示している。同じフォトリソグラフィプロセスが使用されるが、トレンチコンタクト200は、完全には2つのスペーサ108間の領域内ではない位置に形成されている。ミスアライメントは、ゲート電極102の一方にトレンチコンタクト200を物理接触させ、それによりコンタクト−ゲート短絡を生じさせる。
本発明の実施形態によれば、コンタクト−ゲート短絡の可能性を最小化するために、絶縁体で覆われた(キャップされた)ゲート電極が使用され得る。一実施形態において、ゲート電極102上且つMOSトランジスタ101の2つのスペーサ108内に絶縁体キャップ層が形成される。本発明の一部の実施形態において、絶縁体キャップは、スペーサ間に存在する容積のうちの有意な部分を消費し得る。例えば、絶縁体キャップは、スペーサ間に存在する容積のうちの10%から80%の範囲を消費し得るが、概して、20%と50%との間を消費することになる。ゲート電極及びゲート誘電体が、残りの容積の大部分を消費する。絶縁体キャップを形成するために使用され得る材料については後述する。
図2Aは、本発明の一実施形態に従った絶縁体キャップされた金属ゲート電極を例示している。図2Aには、上にMOSトランジスタ101が形成された基板100が示されている。基板100は、バルクシリコン基板又はシリコン・オン・インシュレータ構造を用いて形成された結晶半導体基板とし得る。他の実施形態において、半導体基板は、シリコンと組み合わされても組み合わされなくてもよい他の材料を用いて形成され得る。そのような材料は、以下に限られないが、ゲルマニウム、アンチモン化インジウム、テルル化鉛、インジウム砒素、インジウム燐、ガリウム砒素、アンチモン化ガリウム、又はその他のIII−V族材料を含む。ここでは、基板を形成し得る材料のうちの数例が記載されるのみであるが、上に半導体デバイスを構築する基礎として機能し得る如何なる材料も本発明の精神及び範囲に入る。
各MOSトランジスタ101は、図2Aに示すようなプレーナトランジスタであってもよいし、例えばダブルゲートトランジスタ又はトライゲートトランジスタなどの非平面(ノンプレーナ)トランジスタであってもよい。ここに記載される実施形態はプレーナトランジスタを例示するものであるが、本発明はプレーナトランジスタに限定されるものではない。本発明の実施形態は、以下に限られないがFinFET又はトライゲートトランジスタを含む非平面トランジスタにも用いられ得る。各MOSトランジスタ101は、ゲート誘電体層104、ゲート電極層102及び絶縁体キャップ層300という3つのレイヤで形成されたゲートスタックを含んでいる。ゲート誘電体層104は、例えば二酸化シリコン又はhigh−k材料などの材料で形成され得る。ゲート誘電体層104に使用され得るhigh−k材料の例は、以下に限られないが、酸化ハフニウム、ハフニウムシリコン酸化物、酸化ランタン、ランタンアルミニウム酸化物、酸化ジルコニウム、ジルコニウムシリコン酸化物、酸化タンタル、酸化チタン、バリウムストロンチウムチタン酸化物、バリウムチタン酸化物、ストロンチウムチタン酸化物、酸化イットリウム、酸化アルミニウム、鉛スカンジウムタンタル酸化物、及びニオブ酸鉛亜鉛を含む。一部の実施形態において、ゲート誘電体層104は約1オングストローム(Å)と約50Åとの間の厚さを有し得る。更なる実施形態において、例えば、high−k材料が使用されるときにその品質を向上させるためのアニール処理など、付加的な処理がゲート誘電体層104に実行され得る。
ゲート電極層102は、ゲート誘電体層104上に形成され、そのトランジスタがPMOSトランジスタ又はNMOSトランジスタの何れにされるかに応じて、少なくともP型仕事関数金属又はN型仕事関数金属で構成され得る。一部の実施形態において、ゲート電極層102は、少なくとも1つの金属層が仕事関数金属層であり且つ少なくとも1つの金属層が充填金属層である2つ以上の金属層で構成され得る。
PMOSトランジスタの場合、ゲート電極に使用され得る金属は、以下に限られないが、ルテニウム、パラジウム、白金、コバルト、ニッケル、及び例えば酸化ルテニウムといった導電性の金属酸化物を含む。P型金属層は、約4.9eVと約5.2eVとの間の仕事関数を有するPMOSゲート電極の形成を可能にする。NMOSトランジスタの場合、ゲート電極に使用され得る金属は、以下に限られないが、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、これらの金属の合金、並びに、例えば炭化ハフニウム、炭化ジルコニウム、炭化チタン、炭化タンタル及び炭化アルミニウムなど、これらの金属の炭化物を含む。N型金属層は、約3.9eVと約4.2eVとの間の仕事関数を有するNMOSゲート電極の形成を可能にする。
絶縁体キャップ層300は、ゲート電極層102上に形成され、以下に限られないが、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、その他の窒化物材料、その他の炭化物材料、酸化アルミニウム、その他の酸化物材料、その他の金属酸化物、窒化ホウ素、炭化ホウ素、並びに、その他のlow−k誘電体材料、若しくは炭素、窒素及び水素のうちの1つ以上でドープされたlow−k誘電体材料を含む材料で形成され得る。絶縁体キャップ層300については、より詳細に後述する。
一対のスペーサ108がゲートスタックを囲んでいる。スペーサ108は、例えば窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、及び酸窒化シリコンなどの材料で形成され得る。スペーサを形成するプロセスは、技術的に周知であり、概して堆積プロセス工程及びエッチングプロセス工程を含む。
基板100内にMOSトランジスタ101のゲートスタックに隣接して拡散領域106が形成される。各MOSトランジスタ101に対し、一方の隣接拡散領域106がソース領域として機能し、他方の隣接拡散領域106がドレイン領域として機能する。
拡散領域106は、技術的に周知の方法又はプロセスを用いて形成され得る。一実施形態において、例えばボロン、アルミニウム、アンチモン、リン又はヒ素などのドーパントが基板100内に注入されて、拡散領域106を形成する。他の一実施形態において、先ず、拡散領域106の位置にリセス(凹部)が形成するように、基板100がエッチングされ得る。そして、例えばシリコンゲルマニウム又は炭化シリコンなどのシリコン合金でリセスを充填するようにエピタキシャル堆積プロセスが実行され、それにより、拡散領域106が形成され得る。一部の実施形態において、エピタキシャル堆積されるシリコン合金は、例えばボロン、ヒ素又はリンなどのドーパントでその場(in-situ)ドーピングされ得る。更なる実施形態において、他の材料がリセス内に堆積されて拡散領域106を形成してもよい。
MOSトランジスタ101上に1つ以上のILD層110a/bが堆積される。ILD層110a/bは、例えばlow−k誘電体材料など、集積回路構造に適用可能であることが知られた誘電体材料を用いて形成され得る。使用され得る誘電体材料の例は、以下に限られないが、二酸化シリコン(SiO2)、炭素ドープ酸化物(CDO)、窒化シリコン、例えばペルフルオロシクロブタン若しくはポリテトラフルオロエチレンなどの有機ポリマー、フルオロケイ酸ガラス(FSG)、及び例えばシルセスキオキサン、シロキサン若しくは有機ケイ酸塩ガラスなどの有機シリケートを含む。ILD層110a/bは、それらの誘電率を更に低減するように気孔又はその他の空隙(ボイド)を含んでいてもよい。
コンタクトパターニングとの呼ばれるトレンチコンタクト200の製造は、少なくともフォトリソグラフィプロセス及びエッチングプロセスを含む。フォトリソグラフィプロセスは、トレンチコンタクト200の位置を定めるフォトレジストハードマスクを形成する。このプロセスは、ILD層110b上にフォトレジスト材料を堆積することによって開始する。堆積されたフォトレジスト層は、パターン形成された光学マスクを介して紫外放射線に晒され、そのパターンがトレンチコンタクト200を定める。そして、フォトレジスト層が現像されて、トレンチコンタクト200が形成されることになるところに開口を含むフォトレジストハードマスク層が作り出される。なお、フォトリソグラフィプロセスは技術的に周知であり、ここでの説明は典型的なフォトリソグラフィプロセスを単に概説したものである。例えばベーク工程及びアライメント工程などの数多くの中間工程は省略している。
トレンチコンタクト200を定めるフォトレジストハードマスクが適所に置かれると、エッチングプロセスが実行される。例えばトレンチコンタクト200用の開口など、フォトレジストハードマスクの開口によって露出されたままにされたILD層110a/bの部分を、エッチャントがエッチングする。エッチャントは故に、下方に拡散領域106までトレンチ開口をエッチング形成する。使用されるエッチングプロセスは、従来からの化学ウェットエッチプロセス又はプラズマドライエッチプロセスとし得る。このエッチングプロセスは、拡散領域106に達するまでILD層110を下方にエッチングするのに十分な時間(TETCHと表記する)にわたって実行される。エッチングされたトレンチ開口は、その後、上述のような1つ以上の金属で充填され、トレンチコンタクト200が形成される。
本発明の実施形態によれば、絶縁体キャップ層300は、コンタクトトレンチ開口が絶縁体キャップ層上にアライメントされたとしてもトレンチコンタクト200の製造中に金属ゲート電極102が露出されないように、金属ゲート電極102を保護するのに十分な厚さを有する。さらに、絶縁体キャップ層300は、トレンチコンタクト200が形成された後に金属ゲート電極102をトレンチコンタクト200から電気的に絶縁するのに十分な厚さを有する。本発明の一実施形態において、この厚さは5nmから50nmまでの範囲とし得る。他の一実施形態において、絶縁体キャップ層300の高さは、ゲートスタック全体の高さの20%から80%を占め得る。コンタクトトレンチ開口を形成するために使用されるエッチングプロセスは、絶縁体キャップ層300に対して選択性を有する。これが意味することは、ウェット又はドライエッチケミストリがILD層110a/bの材料をエッチングするが、絶縁体キャップ層300及び側壁スペーサ108に対して選択的に停止し、それらに対して自己整合(セルフアライン)されるということである。
本発明の実施形態によれば、絶縁体キャップ層300はまた、TETCH全体にわたって、下に位置する金属ゲート電極102を露出させることなく、エッチングプロセスに耐えるのに十分な厚さを有する。換言すれば、絶縁体キャップ層300は、拡散領域106に達するまでILD層110a/bを下方にエッチングするのに必要な時間にわたって、絶縁体キャップ層300の如何なる部分も金属ゲート電極102と後に形成されるトレンチコンタクト200との間に電気導通を許してしまう厚さまで減退されることなく、エッチングプロセスに耐えるのに十分な当初厚さを有する。このエッチングプロセスの後、絶縁体キャップ層300とスペーサ108との組み合わせが、金属ゲート電極102をトレンチコンタクト200から電気的に絶縁し、それによりCTG短絡が回避される。
本発明に係る絶縁体キャップ層300を形成することには、幾つかの異なる手法が存在する。ゲート電極がゲートファースト(gate-first)プロセスを用いて形成される本発明の一実施形態において、先ず、基板上にブランケット(全面)誘電体層が堆積される。次に、該誘電体層上にブランケット電極層が堆積される。最後に、該電極層上にブランケット絶縁体層が形成される。誘電体層、電極層及び絶縁体層を堆積するために使用される堆積プロセスは技術的に周知であり、以下に限られないが、例えば電解めっき、無電解めっき、化学気相成長、原子層成長、物理気相成長及びスパッタリングなどのプロセスを含み得る。そして、これら3つの層が、例えばフォトリソグラフィプロセスなどの従来からのパターニングプロセスを用いてエッチングされて、ゲート誘電体層104、ゲート電極層102及び絶縁体キャップ層300で構成されるゲートスタックが形成される。その後、ゲートスタックの両側にスペーサ108及び拡散領域106が形成される。ゲートスタック、スペーサ108及び拡散領域106の上にILD層110aが堆積される。そして、上述のようにしてトレンチコンタクト200が形成され得る。
ゲートファーストプロセスの他の一実施形態においては、ブランケット誘電体層及びブランケット電極層が堆積・パターニングされて、ゲート誘電体層104及びゲート電極102で構成されるゲートスタックが形成される。ゲートスタックのそれぞれの側に一対のスペーサ108及び拡散領域106が形成され得る。次に、これらスペーサ108内の金属ゲート電極102を窪ませ(リセス化し)、それにより金属ゲート電極102の厚さを減少させるよう、エッチングプロセスが実行され得る。金属ゲート電極102のリセス化は、スペーサ108間にトレンチを形成する。このトレンチの底面は、リセス化された金属ゲート電極102の頂面に相当する。この金属エッチプロセスに続いて、絶縁体材料のブランケット層を堆積してスペーサ108間のトレンチを充填する絶縁体材料堆積プロセスが行われる。例えば化学的機械的平坦化プロセスなどの研磨プロセスを用いて、絶縁体材料層が下方に研磨され、スペーサ108の外側の絶縁体材料が実質的に除去される。この余分な絶縁体材料の除去により、スペーサ108内に実質的に収容された絶縁体キャップ層300が生み出される。
本発明の他の一実施形態においては、ゲート電極を形成するために、例えば置換金属ゲートプロセスなどのゲートラスト(gate-last)プロセスが用いられる。この実施形態においては、先ず、ブランケット誘電体層及びブランケットダミー電極層が堆積・パターニングされて、ゲート誘電体層104及びダミーゲート電極(図示せず)で構成されるゲートスタックが形成される。なお、“ダミー”という用語は、その層が事実上犠牲的なものであることを指し示すために使用される。ダミー層に使用される材料は、非ダミー層に使用される材料と同じであってもよいし、同じでなくてもよい。例えば、ダミー電極層は、実際のゲート電極に使用されるポリシリコンからなっていてもよい。ゲートスタックのそれぞれの側に一対のスペーサ108及び拡散領域106が形成され得る。次に、スペーサ108間且つゲート誘電体層104上にトレンチを形成するように、ダミーゲート電極がエッチングされ得る。そして、該トレンチを充填するように電極金属層が堆積され得る。スペーサ108の外側の金属を除去して電極金属をスペーサ108間のトレンチに閉じ込めるように、電極金属層が下方に研磨され、それにより金属ゲート電極102が形成され得る。
上述のように、スペーサ108内の金属ゲート電極102をリセス化するよう、エッチングプロセスが実行される。金属ゲート電極102のリセス化は、スペーサ108間にトレンチを形成する。絶縁体材料プロセスが該トレンチを充填し、研磨プロセスを用いて絶縁体材料層が下方に研磨され、スペーサ108の外側の絶縁体材料が実質的に除去される。これにより、スペーサ108内に実質的に収容された絶縁体キャップ層300が生み出される。
図2Bは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に正確にアライメントされたトレンチコンタクト200を例示している。この場合、絶縁体キャップ300は使用されない。
図2Cは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に形成された、ミスアライメントされたトレンチコンタクト200を例示している。図示のように、ミスアライメントされたトレンチコンタクト200の一部は、ゲート電極102の真上に位置している。しかしながら、図1Bに示した従来技術に係るトランジスタと異なり、絶縁体キャップ層300の使用によってCTG短絡は回避される。絶縁体キャップ層300は、ミスアライメントされたトレンチコンタクト200から金属ゲート電極102を電気的に絶縁し、トレンチコンタクト200が“セルフアライン”されることを可能にする。
図3A−3Cは、図2Aのトランジスタに対する僅かな変形を例示している。図3Aにおいては、トランジスタを形成するために、異なる一実施形態に係る置換金属ゲートプロセスが使用される。この実施形態においては、基板上にブランケットダミー誘電体層及びブランケットダミー電極層が堆積される。ここで、ダミー電極層は、実際のゲート電極に使用されるポリシリコンからなっていてもよく、ダミー誘電体層は、実際のゲート誘電体層に使用される二酸化シリコンからなっていてもよい。これら2つのダミー層は、ダミーゲート誘電体層及びダミーゲート電極層で構成されるゲートスタックを形成するようにエッチングされる。そして、ゲートスタックの両側にスペーサ108及び拡散領域106が形成される。ゲートスタック、スペーサ108及び拡散領域106の上にILD層110aが堆積される。ILD層110aは、ダミー電極層を露出させるように平坦化される。
次に、1つ以上のエッチングプロセスを用いて、ダミー電極層及びダミーゲート誘電体層が除去される。これらダミー層の除去により、スペーサ108間にトレンチが作り出される。基板100が該トレンチの底面を形成する。化学気相成長プロセス又は原子層成長プロセスを用いて、該トレンチ内に新たなhigh−kゲート誘電体層104が堆積される。このhigh−kゲート誘電体層104はトレンチの底及び側壁に沿って堆積され、それにより、図3Aに示すような“U”字形状のゲート誘電体層104が形成される。次に、high−kゲート誘電体層104上に金属ゲート電極層102が堆積される。金属ゲート電極102を形成するプロセスは技術的に周知である。
本発明の実施形態によれば、最終的な金属ゲート電極102はトレンチ全体を充填しない。一実施形態において、金属ゲート電極102は当初はトレンチ全体を充填し得るが、後続エッチングプロセスを用いて金属ゲート電極102がリセス化され得る。他の一実施形態において、金属ゲート電極堆積プロセスは、金属ゲート電極102でトレンチを部分的にのみ充填する。双方の実施形態において、スペーサ108間において、最終的な金属ゲート電極102の上にトレンチが残存する。
最後に、絶縁体材料堆積プロセスを用いて、スペーサ108間のトレンチを充填する絶縁体材料のブランケット層が堆積される。例えば化学的機械的平坦化プロセスなどの研磨プロセスを用いて、絶縁体材料層が下方に研磨され、スペーサ108の外側の絶縁体材料が実質的に除去される。この余分な絶縁体材料の除去により、スペーサ108内に実質的に収容された絶縁体キャップ層300が生み出される。図3Aに示すように、絶縁体キャップ300はまた、ゲート誘電体層104の側壁部分内に閉じ込められる。
図3Bは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に正確にアライメントされたトレンチコンタクト200を例示している。図3Cは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に形成された、ミスアライメントされたトレンチコンタクト200を例示している。やはり、ミスアライメントされたトレンチコンタクト200の一部は、ゲート電極102の真上に位置している。ミスアライメントされたトレンチコンタクト200から金属ゲート電極102を電気的に絶縁する絶縁体キャップ層300の使用により、CTG短絡は回避される。
図4A−4Cは、図3Aのトランジスタに対する僅かな変形を例示している。図4Aにおいては、“U”字形状のゲート誘電体層104を有するトランジスタを形成するために、やはり置換ゲートプロセスが使用される。先ず、図3Aに関して詳述したのと同じプロセスを用いて、ゲート電極層102及びゲート誘電体層104が形成される。この実施形態においては、図3Aと異なり、絶縁体キャップ層300の製造に先立って、“U”字形状のゲート誘電体層104と金属ゲート電極102との双方がリセス化される。双方の構造をリセス化するために1つ以上のエッチングプロセスが使用され得る。そして、絶縁体キャップ300が、図3Aに関して上述したのと同じプロセスを用いて形成され、図4Aに示すように、ゲート誘電体層104の一部とゲート電極102との双方の上に位置付けられる。図4Bは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に正確にアライメントされたトレンチコンタクト200を例示している。図4Cは、絶縁体キャップ層300を有する2つのMOSトランジスタの間に形成された、ミスアライメントされたトレンチコンタクト200を例示している。やはり、ミスアライメントされたトレンチコンタクト200の一部は、ゲート電極102の真上に位置している。ミスアライメントされたトレンチコンタクト200から金属ゲート電極102を電気的に絶縁する絶縁体キャップ層300の使用により、CTG短絡は回避される。
図5A−5Fは、MOSトランジスタとともに使用され得る他の絶縁体キャップ層の製造法を例示している。先ず、図5Aは、ダミーゲート電極500とダミーゲート誘電体層502とを含んだ2つのMOSトランジスタを示している。一般的に窒化シリコンで形成される一対のスペーサ108も示されている。
本発明の実施形態によれば、1つ又は複数のエッチングプロセスを実行して、ダミーゲート電極層500とスペーサ108との双方が部分的にリセス化される。この二重リセスを図5Bに示す。ダミーゲート電極500をリセス化するために使用されるエッチケミストリは、スペーサ108をリセス化するために使用されるエッチケミストリと異なり得る。使用されるエッチングプロセスは、ウェットエッチ、ドライエッチ、又は組み合わせとし得る。ダミーゲート電極500及びスペーサ108がリセス化されると、ILD層110a内にトレンチ503aが形成されたことになる。ダミーゲート電極500及びスペーサ108の頂面が該トレンチの底面を形成する。
図5Cに移るに、1つ以上のエッチングプロセスを実行して、ダミーゲート電極500及びダミーゲート誘電体502が完全に除去される。ダミーゲート電極500及びダミーゲート誘電体502を完全に除去するためのエッチングプロセスは、技術的に周知である。この場合も、これらのエッチングは、ウェット、ドライ、又は組み合わせとし得る。図5Cに示すように、この段階で、トレンチ503aは、より深くなっており、トレンチ503aの頂部で比較的広く且つトレンチ503aの底部で比較的狭い断面形状を有する。ダミーゲート電極500及びダミーゲート誘電体層502はそれらの全体が除去され、それにより基板100の頂部が露出される。
図5Dにおいて、トレンチ503内にゲート誘電体層104及び金属ゲート電極層102が堆積される。ゲート誘電体層104の堆積には、例えばCVD法又はALD法などのコンフォーマル(共形)堆積プロセスが一般に使用され、トレンチ503aの側壁及び底面を覆うコンフォーマルな誘電体層104がもたらされる。金属ゲート電極層102がトレンチ503aの残部を充填する。本発明の一部の実施形態において、金属ゲート電極層102は、例えば仕事関数金属層及び充填金属層といった、2つ以上の金属層で構成され得る。
置換金属ゲートプロセスのフローにおいて、特に22nm以下のゲート幅を有するトランジスタでは、幅狭なゲートトレンチを金属ゲート材料で充填することは非常に難易度が高い。図5A−5Dにて説明されるプロセスフローは、底部での狭いトレンチ幅に影響を与えずに頂部のトレンチ開口を幅広にすることにより、本質的な充填特性を向上させる。故に、頂部で比較的広い開口を有するトレンチ503aの断面形状は、ボイド又はその他の欠陥がより少なくされた、改善された金属ゲート電極の堆積をもたらす。
次に、図5Eに示されるように、金属ゲート電極層102及びゲート誘電体層104がリセス化されて、トレンチ503bが形成される。この場合も、ゲート電極層102及びゲート誘電体層104をリセス化するために、ウェット又はドライの何れかの1つ以上のエッチングプロセスが使用され得る。使用されるエッチングプロセスは、ILD層110aに対して選択的でなければならない。金属ゲート電極102は、その頂面がスペーサ108の頂面と平坦になるか、それより低くなるかまで窪まされる。図5Dにおいては金属ゲート電極102の一部がスペーサ108の頂部上にあるが、図5Eの金属ゲート102のリセス化の後には、スペーサ108の頂部上に金属ゲート電極102の如何なる部分も残存しないことが重要である。これは、スペーサ108上に残存する金属ゲート電極102の部分は、ミスアライメントされたトレンチコンタクトとともにCTG短絡を形成することになり得るためである。
図5Fに移るに、絶縁体材料堆積プロセスによりトレンチ503bが充填されるとともに、研磨プロセスを用いて、絶縁体材料層が下方に研磨され、トレンチ503bの外側の絶縁体材料が実質的に除去される。これにより、トレンチ503b内に実質的に収容された絶縁体キャップ層504が生み出される。絶縁体キャップ層504は、横方向にスペーサ108上まで延在したマッシュルームの傘状の外観を有する。絶縁体キャップ層504は、ゲートスペーサ108上まで延在することによってコンタクト−ゲートマージンを高める。絶縁体キャップ層504は、以下に限られないが、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、その他の窒化物材料、その他の炭化物材料、酸化アルミニウム、その他の酸化物材料、その他の金属酸化物、及びlow−k誘電体材料を含む材料で形成され得る。
図5Gは、絶縁体キャップ層504を覆い且つ第1のILD層110a上に位置する更なるILD層110bの堆積を示している。図5Hは、下方に拡散領域106までILD層110a及び110bを貫通して形成されたトレンチコンタクト200を示している。図5Hのトレンチコンタクト200は、隣接し合うトランジスタのスペーサ間に正確にアライメントされている。
図5Iは、ミスアライメントされたトレンチコンタクト200を示している。図示のように、トレンチコンタクト200は金属ゲート電極102の頂部の上に位置しているが、絶縁体キャップ層504が、ミスアライメントされたトレンチコンタクト200から金属ゲート電極102を電気的に絶縁することによって、金属ゲート電極102を保護し、CTG短絡が形成されるのを防止する。
絶縁体キャップ層504によって提供される他の1つの利点により、図1Aに関連して上述した寄生容量問題が対処される。寄生容量問題は、トレンチコンタクト200及び拡散領域106と、ゲート電極102との間の比較的密な間隔によって引き起こされる。スペーサ108がトレンチコンタクト200/拡散領域106とゲート電極102との間の分離の大部分を提供する傾向にあるが、例えば窒化シリコンなどの従来のスペーサ材料はこの寄生容量をほとんど低減しない。そうは言うものの、トレンチコンタクト200用のコンタクトトレンチ開口を作り出すエッチングプロセスが窒化シリコンに対して選択性を有するために、窒化シリコンが依然として使用されている。
本発明のこの実施形態によれば、窒化シリコン以外の材料がスペーサ108に使用され得る。ここでは、横方向に延在する絶縁体キャップ層504が、トレンチコンタクト200を製造するために使用されるエッチングプロセス中に、下に位置するスペーサ108を保護する。これらのエッチングプロセスは一般的に異方性プロセスであり、故に、エッチケミストリは絶縁体キャップ層504に対して選択性を有するのみでよい。絶縁体キャップ層504は、下に位置するスペーサ108を遮蔽することができる。従って、異方性プロセスを用いるとき、絶縁体キャップ層504の使用は、エッチケミストリは必ずしも、スペーサ108に使用される材料に対して選択的である必要はない、ということを意味する。これにより、スペーサ材料の選択に関する制約が取り除かれ、キャパシタンスに関して最適化された材料を使用することが可能になる。例えば、寄生容量に伴う問題を抑制するために、酸窒化シリコン(SiON)、炭素ドープ酸窒化シリコン(SiONC)又はlow−k誘電体材料などの材料がスペーサ108に使用され得る。
図6A−6Fは、本発明の一実施形態に従った、絶縁体キャップ層とともに段差状金属ゲート電極を形成する方法を例示している。先ず、図6Aは、ダミーゲート電極500とダミーゲート誘電体層502とを含んだ2つのMOSトランジスタを示している。図6Bに移るに、1つ以上のエッチングプロセスを実行して、ダミーゲート電極500及びダミーゲート誘電体502が完全に除去される。ダミーゲート電極500及びダミーゲート誘電体502を完全に除去するためのエッチングプロセスは、技術的に周知である。ダミーゲート電極500及びダミーゲート誘電体502はそれらの全体が除去され、それにより基板100の頂部が露出される。
図6Cは、コンフォーマル金属ゲート電極層102aと、コンフォーマルであってもなくてもよい第2の金属層102bとの、二重金属ゲート電極層の堆積を例示している。イニシャル(最初の)金属ゲート電極層102aは、例えば化学気相成長又は原子層成長などのコンフォーマル堆積プロセスを用いて堆積され得る。例えば物理気相成長又はスパッタリングなどのその他のプロセスも使用され得る。第2の金属ゲート電極102bは、例えば化学気相成長、原子層成長、物理気相成長、スパッタリングなどの従来からの堆積プロセスを用いて堆積され、あるいは、層102bにはコンフォーマル層は必要とされないので、例えば電解めっき又は無電解めっきなどのプロセスを用いて堆積されてもよい。
イニシャル金属ゲート電極層102aは典型的に仕事関数金属層であり、上述の仕事関数金属の何れかを用いて形成されることができる。第2の金属ゲート電極層102bは、第2の仕事関数金属層であってもよいし、あるいは例えばアルミニウム、タングステン又は銅などの低抵抗の充填金属層であってもよい。本発明の実施形態によれば、金属ゲート電極102aに使用される金属は、金属ゲート電極102bに使用される金属とは異なるエッチング特性を有する。
図6Dに移るに、その中に絶縁体キャップ層を製造し得るトレンチ600を形成するよう、二重金属ゲート電極層102a及び102bがエッチングされてリセス化される。本発明の一実施形態によれば、このエッチングプロセスは、金属層102bよりも金属層102aの部分を、より多く除去する。これにより、図6Dに示すように、金属ゲート電極102の段差形状又は弾丸形状が生み出される。全体としての金属ゲート電極102の中央部は、比較的に、全体としての金属ゲート電極102の外縁部より厚い。換言すれば、金属ゲート電極102の中央部は、比較的に、金属ゲート電極102の側面部より大きい高さを有する。金属ゲート電極102のこの段差形状は、図6Fにて後述する利点を提供する。
一実施形態において、金属ゲート電極層102bより速いレートで金属ゲート電極層102aをエッチングする単一のエッチングプロセスが用いられる。換言すれば、エッチケミストリは金属ゲート電極102bに対して、より一層と選択的である。他の一実施形態において、金属層102a用の1つと金属層102b用のもう1つとの2つのエッチングプロセスが用いられ得る。2つのエッチングプロセスが用いられる場合、金属層102bに対して、金属層102aの一層大きい部分が除去されなければならない。故に、一実施形態において、2つのエッチングプロセスのうちの第1のものは金属層102bに対して選択的であるようにすることができ、2つのエッチングプロセスのうちの第2のものは金属層102aに対して選択的であるようにすることができる。使用されるエッチングプロセスは、ウェットエッチ、ドライエッチ、又は双方の組み合わせとし得る。当業者に認識されるように、金属層102a及び102bに使用されるほぼ任意の金属対に関し、それら2つの金属間で差を生じさせるウェット又はドライの化学エッチングを見出すことが可能である。
図6Eに示すように、絶縁体材料堆積プロセスによりトレンチ600が充填されるとともに、研磨プロセスを用いて、絶縁体材料層が下方に研磨され、トレンチ600の外側の絶縁体材料が実質的に除去される。これにより、トレンチ600内に実質的に収容された絶縁体キャップ層602が生み出される。絶縁体キャップ層602は、金属ゲート電極102の段差形状に起因して、その外縁で比較的厚く、その中央部で比較的薄い。絶縁体キャップ層602は、以下に限られないが、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、その他の窒化物材料、その他の炭化物材料、酸化アルミニウム、その他の酸化物材料、その他の金属酸化物、及びlow−k誘電体材料を含む材料で形成され得る。
図6Fは、ミスアライメントされたトレンチコンタクト200を示している。図示のように、トレンチコンタクト200は金属ゲート電極102の頂部の上に位置しているが、絶縁体キャップ層602が、ミスアライメントされたトレンチコンタクト200から金属ゲート電極102を電気的に絶縁することによって、金属ゲート電極102を保護し、CTG短絡が形成されるのを防止する。金属ゲート電極102の段差形状は、少なくとも2つの利点を提供する。第1に、段差形状は、絶縁体キャップ層602の厚い部分を金属ゲート電極102とトレンチコンタクト200との間に位置させ、それにより強い電気絶縁を提供する。第2に、段差形状は、金属ゲート電極102の中央部が厚いままであることを可能にし、それにより、その金属含有量を増大させることで金属ゲート電極102の電気抵抗を低下させる。本発明の様々な実施形態において、段差形状は、ミスアライメントされたトレンチコンタクト200からの電気絶縁を維持しながら金属ゲート電極102の中央部の体積又は幅を最大化しようとすることによって最適化され得る。一部の実施形態において、これは、金属ゲート電極102bのサイズ及び厚さを増加させることによって行われ得る。更なる実施形態において、これは、3つ以上の金属ゲート電極層を用いて段差形状を更に精密に仕立てることによって行われ得る。
本発明の他の一実施形態に従って、図7A−7Cは、図5Fの幅広絶縁体キャップ層504を図6D−6Fの段差形状の金属ゲート電極102と組み合わせるMOSトランジスタの製造法を例示している。図5Cに示した構造から開始し、図7Aに示すように、二重金属ゲート電極層が堆積される。一方の層はコンフォーマル金属ゲート電極層102aであり、他方の層はコンフォーマルであってもなくてもよい第2の金属層102bである。イニシャル金属ゲート電極層102aは典型的に仕事関数金属層であり、第2の金属ゲート電極層102bは、第2の仕事関数金属層であってもよいし、あるいは充填金属層であってもよい。本発明の実施形態によれば、金属ゲート電極102aに使用される金属は、金属ゲート電極102bに使用される金属とは異なるエッチング特性を有する。
図7Bに移るに、二重金属ゲート電極層102a及び102bとゲート誘電体層104とがエッチングされ、リセス化される。このエッチングプロセスは、金属ゲート電極102bに対して選択的である。これにより、図7Bに示すように、金属ゲート電極層102の段差形状が生み出される。全体としての金属ゲート電極102の中央部は、比較的に、全体としての金属ゲート電極102の外縁部より厚い。
そして、絶縁体材料が堆積され且つ平坦化されて、各金属ゲート電極102上に絶縁体キャップ層700が形成される。これは図7Cに示されている。ミスアライメントされたトレンチコンタクト200も示されている。金属ゲート電極102の段差形状は、絶縁体キャップ層700の厚い部分が金属ゲート電極102をトレンチコンタクト200から電気的に絶縁することを可能にする。段差形状はまた、金属ゲート電極102の中央部が厚いままであることを可能にし、それにより電気抵抗を低減させる。この実施形態において、絶縁体キャップ層700は、リセス化されたスペーサ108の上まで延在しており、それにより、トレンチコンタクト200のエッチングプロセス中にスペーサを保護するとともに、トレンチコンタクト200と金属ゲート電極102との間の寄生容量を低減するように最適化された材料をスペーサ108に使用することを可能にする。
図8A−8Fは、CTG短絡を抑制し且つ寄生容量問題を改善するためにコンタクト側壁スペーサが使用される本発明の他の一実施形態を示している。図8Aは、下方に拡散領域106までILD層110a及び110bを貫通するようにエッチング形成されたコンタクトトレンチ開口800を示している。上述のように、コンタクトトレンチ開口800を形成することには、フォトリソグラフィパターニング及びエッチングプロセスが使用される。
図8Aには、コンタクトトレンチ開口800の底に形成されたシリサイド層802も示されている。シリサイド層802を製造するため、例えばスパッタリング堆積プロセス又はALDプロセスなどの従来からの金属堆積プロセスを用いて、少なくともコンタクトトレンチ開口800の底に沿って、コンフォーマル金属層が形成される。この金属はしばしば、コンタクトトレンチ開口800の側壁にも堆積することになる。この金属は、ニッケル、コバルト、タンタル、チタン、タングステン、白金、パラジウム、アルミニウム、イットリウム、エルビウム、イッテルビウム、又はシリサイドに良好な候補であるその他の金属、のうちの1つ以上を含み得る。そして、アニールプロセスを実行することで、該金属を拡散領域106と反応させてシリサイド層802を形成し得る。未反応の金属は、既知のプロセスを用いて選択的に除去され得る。シリサイド層802は、後に形成されるトレンチコンタクト200と拡散領域106との間の電気抵抗を低減させる。
図8Bは、本発明の一実施形態に従った、コンタクトトレンチ開口800の側壁に沿って形成された一対のコンタクト側壁スペーサ804を示している。コンタクト側壁スペーサ804は、ゲートスペーサ108の製造法と同様の堆積・エッチングプロセスを用いて形成され得る。例えば、絶縁材料のコンフォーマル層がコンタクトトレンチ開口800内に堆積されて、コンタクトトレンチ開口800の側壁及び底面に沿って堆積された絶縁材料がもたらされ得る。この絶縁材料は、酸化シリコン、窒化シリコン、酸窒化シリコン(SiON)、炭素ドープ酸窒化シリコン(SiONC)、その他の酸化物、その他の窒化物、又はlow−k誘電体材料とし得る。次に、異方性エッチングプロセスを用いて、コンタクトトレンチ開口800の底部から、及びILD層110bの表面などのその他の領域から絶縁材料が除去される。これにより、図8Bに示されるコンタクト側壁スペーサ804が生み出される。
当業者に認識されるように、ゲートコンタクトを形成するために、別個のパターニングプロセスを用いて、下方に金属ゲート電極102までビアが形成され得る。この別個のパターニングプロセスは典型的に、犠牲感光性レジスト層でウェハをコーティングし、ゲートコンタクトをエッチング形成し、そして、ウェット若しくはドライの洗浄プロセス又はそれらの組み合わせを用いてフォトレジストを除去することを含む。この別個のパターニングプロセスは一般的に、コンタクトトレンチ開口800が形成された後に実行される。これは、最初のレジストコーティング及びその後のウェット又はドライの洗浄ケミストリがコンタクトトレンチ開口800に入ってシリサイド層802を劣化させ得ることを意味する。故に、本発明の一実施形態によれば、ゲートコンタクトのパターニングプロセスの前に、スペーサ804を形成するために使用される絶縁材料のコンフォーマル層が堆積される。このコンフォーマル層は、ゲートコンタクトがパターニングされる後まで、適所に残存してシリサイド層802を保護する。その後、このコンフォーマル層をエッチングしてスペーサ804を形成するために、上述の異方性エッチングが実行され得る。
なお、シリサイド層802は、コンタクトトレンチ開口800がその最大幅にある時であるコンタクト側壁スペーサ804の製造に先立つ時に形成される。コンタクト側壁スペーサ804を形成する前にシリサイド層802を形成することにより、比較的幅広のシリサイド層802を形成することができ、例えば、より低い固有コンタクト抵抗などの、より良好な電気抵抗特性がもたらされ得る。コンタクト側壁スペーサ804が最初に形成される場合、シリサイド製造プロセスに露出される拡散領域106の部分が少なくなり、比較的短いシリサイド層が生み出されることになる。
その後、図8Cに示すように、コンタクトトレンチ開口800を充填してトレンチコンタクト200を形成するよう、金属堆積プロセスが実行される。上述のように、この金属堆積プロセスは、例えば電解めっき、無電解めっき、化学気相成長、物理気相成長、スパッタリング、又は原子層成長などの如何なる金属堆積プロセスであってもよい。使用される金属は、例えばタングステン又は銅など、好適なコンタクト特性を提供する如何なる金属であってもよい。しばしば、この金属に先立って、例えばタンタル・ライナ又は窒化タンタル・ライナなどの金属ライナが堆積される。CMPプロセスを用いて、余分な金属が除去されてトレンチコンタクト200の製造が完了される。
コンタクト側壁スペーサ804は、ゲート電極102とトレンチコンタクト200との間に追加の保護層を提供する。最終的なトレンチコンタクト200は、比較的に、従来プロセスを用いて形成されるトレンチコンタクト200より狭い幅を有し、それによりCTG短絡の可能性が低減される。ゲート電極102とトレンチコンタクト200との間のこの追加の絶縁層は寄生容量を低減させる。
図8D−8Fは、コンタクトがミスアライメントされたときのコンタクト側壁スペーサ804の製造を例示している。図8Dは、下方に拡散領域106までILD層110a及び110bを貫通するようにエッチング形成された、ミスアライメントされたコンタクトトレンチ開口800を示している。本発明の一実施形態によれば、このエッチングプロセス中に金属ゲート電極102が露出されないよう、絶縁キャップ層300が金属ゲート電極102を保護する。図8Dには、コンタクトトレンチ開口800の底に形成されたシリサイド層802も示されている。シリサイド層802の製造プロセスについては上述した。
図8Eは、本発明の一実施形態に従った、コンタクトトレンチ開口800の側壁に沿って形成された一対のコンタクト側壁スペーサ804を示している。コンタクト側壁スペーサ804は、上述のように、絶縁材料のコンフォーマル層の堆積及びエッチングによって形成され得る。
その後、図8Fに示すように、コンタクトトレンチ開口800を充填してトレンチコンタクト200を形成するよう、金属堆積プロセスが実行される。ここでもやはり、コンタクト側壁スペーサ804は、ゲート電極102とトレンチコンタクト200との間に追加の保護層を提供する。コンタクト側壁スペーサ804は、最終的なトレンチコンタクト200と金属ゲート電極102との間を更に大きく離隔させ、それによりCTG短絡の可能性を低減させる。ゲート電極102とトレンチコンタクト200との間のこの追加の絶縁層は寄生容量を低減させる。
図9A−9Dは、本発明の一実施形態に従った絶縁キャップ層を形成する他の一プロセスを例示している。図9Aは、金属ゲート電極102とゲート誘電体層104とを有する2つのMOSトランジスタを示している。ゲート電極層102は、例えば仕事関数金属層及び充填金属層などの2つ以上の層(図示せず)を含んでいてもよい。図示したゲート誘電体層104は、置換金属ゲートプロセスに対応したものであるが、以下のプロセスはゲートファースト法を用いて形成されるトランジスタとともに使用されてもよい。
図9Aに示すように、金属ゲート電極102上に金属キャップ900が形成される。本発明の実施形態によれば、金属キャップ900は選択的堆積プロセスを用いて形成される。選択的堆積プロセスは、以下に限られないが、無電解めっき及び化学気相成長を含む。選択的に堆積され得る金属は、以下に限られないが、コバルト、ニッケル、白金、銅、ポリシリコン、タングステン、パラジウム、銀、金、及びその他の貴金属を含む。当業者に認識されるように、無電解プロセス又はCVDプロセスが使用されるかどうかの選択は、金属ゲート電極102の組成と、金属キャップ900に使用される具体的な材料とに依存することになる。一例において、金属ゲート電極102の頂部が銅金属からなる場合、その銅上にコバルト金属を無電解堆積することができる。他の一例において、金属ゲート電極102に使用されるほぼ任意の金属の上に、CVDにより、タングステン又はポリシリコンを堆積することができる。他の一例において、金属ゲート電極102の頂部が貴金属からなる場合、殆どの金属は、無電解プロセスを用いて、その貴金属上に堆積され得る。当業者に認識されるように、一般的に、無電解プロセスは、基板の金属と、堆積される金属との双方に貴金属を必要とする。故に、例えばコバルト、ニッケル、銅、白金、パラジウム、金、及び銀などの金属の組み合わせが可能である。
図9Bに移るに、ILD層110a及び金属キャップ900の上にILD層902がブランケット堆積される。そして、CMPプロセスを用いて、ILD層902及び金属キャップ900の双方が平坦化され、それらの頂面が実質的に平坦にされる。これは、ILD堆積の後に金属キャップ900の頂面を露出させるために行われる。
次に、図9Cに示すように、エッチングプロセスを用いて、ILD層902内から金属キャップ900が除去される。一実施形態において、金属キャップ900を除去するためにウェットエッチケミストリが適用され得る。本発明の実施形態によれば、使用されるエッチケミストリは、ILD層902及び金属ゲート電極102の双方に対して選択的でなければならない。これは、ILD層902及び金属ゲート電極102への影響を最小にしながら金属キャップ900を除去することを可能にする。金属キャップ900の除去により、ILD層902内に空隙904が生成される。
図9Dに移るに、空隙904を充填するよう、例えば窒化シリコン層などの絶縁層が堆積され且つパターニングされ、それによりセルフアライン絶縁キャップ層906が形成される。この絶縁層は一般的に、空隙904を充填し且つILD層902を覆うブランケット層として堆積される。そして、平坦化プロセスを用いて、空隙904の外側の余分な材料が除去される。これにより絶縁材料が空隙904に閉じ込められて、絶縁キャップ層906が形成される。絶縁体キャップ層906は、以下に限られないが、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、その他の窒化物材料、その他の炭化物材料、酸化アルミニウム、その他の酸化物材料、その他の金属酸化物、及びlow−k誘電体材料を含む材料で形成され得る。制約は、絶縁体キャップ層906に使用される材料がILD層902に使用される材料と似ていないことのみである。
図10A−10Gは、本発明の一実施形態に従った、トレンチコンタクト200上のセルフアライン金属スタッドと、該金属スタッドを金属ゲート電極102から更に絶縁する一対の絶縁スペーサとを形成するプロセスを示している。図10Aは、金属ゲート電極102とゲート誘電体層104とを有する2つのMOSトランジスタを示している。2つのMOSトランジスタの間にトレンチコンタクト200が形成される。
図10Aに示すように、トレンチコンタクト200上に金属キャップ900が形成される。本発明の実施形態によれば、金属キャップ900は選択的堆積プロセスを用いて形成される。上述のように、選択的堆積プロセスは、以下に限られないが、無電解めっき及び化学気相成長を含む。金属ゲート電極102とともに使用することに関して上述したのと同じ金属及びプロセスが、ここでは、トレンチコンタクト200とともに使用され得る。金属キャップ900に使用される選択的堆積プロセス及び金属は、トレンチコンタクト200に使用される金属に依存する。
本発明の実施形態によれば、トレンチコンタクト200上のみに金属を堆積し、金属ゲート電極102上には金属を堆積しない選択的堆積プロセスが選択される。これは、トレンチコンタクト200と金属ゲート電極102とに相異なる種類の金属を使用することによって達成され得る。例えば、金属ゲート電極102にアルミニウムが使用され、且つトレンチコンタクト200に貴金属が使用される場合、トレンチコンタクト200の貴金属上にのみ金属キャップ900を堆積する選択的堆積プロセスが用いられ得る。上述と同じ組み合わせの貴金属がここでも同様に機能し得る。本発明の一部の実施形態において、例えばアルミニウム、タングステン、モリブデン、チタン、タンタル、窒化チタン、又はポリシリコンなどの活性金属が金属ゲート電極102に使用されるとき、例えばコバルト、ニッケル、銅、白金、金、及び銀などの貴金属がトレンチコンタクト200に使用され得る。
図10Bに移るに、ILD層110a及び金属キャップ900の上にILD層902がブランケット堆積される。そして、CMPプロセスを用いて、ILD層902及び金属キャップ900の双方が平坦化され、それらの頂面が実質的に平坦にされる。これは、ILD堆積の後に金属キャップ900の頂面を露出させるために行われる。
次に、図10Cに示すように、エッチングプロセスを用いて、ILD層902内から金属キャップ900だけが除去される。使用されるエッチケミストリは、ILD層902及びトレンチコンタクト200の双方に対して選択的でなければならない。これは、ILD層902及びトレンチコンタクト200への影響を最小にしながら金属キャップ900を除去することを可能にする。金属キャップ900の除去により、ILD層902内に空隙904が生成される。
図10Dに移るに、ILD層902上及び空隙904内に絶縁層906がブランケット堆積され得る。絶縁層906は、以下に限られないが、ILD層902に使用される材料と同一あるいは同様の材料を含めて、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、その他の窒化物材料、その他の炭化物材料、酸化アルミニウム、その他の酸化物材料、その他の金属酸化物、及びlow−k誘電体材料を含む材料で形成され得る。
次に、例えば異方性エッチングプロセスなどのエッチングプロセスを適用して、絶縁層906を下方にエッチングしてスペーサ1000を形成する。これは図10Eに示されている。このエッチングプロセスはまた、2つのスペーサ1000の間にトレンチ1002を作り出す。
図10Fに移るに、金属堆積プロセスを用いて、スペーサ1000の間且つトレンチコンタクト200の上のトレンチ1002内に、セルフアライン金属スタッド1004が堆積される。一部の実施形態において、この金属堆積プロセスは別の選択的堆積プロセスとし得るが、他の実施形態において、この金属堆積プロセスは選択的プロセスである必要はない。最後に、図10Gに示すように、ILD層1006を形成するよう、絶縁層が堆積され且つ平坦化され得る。金属スタッド1004の頂部も、ILD層1006と平坦になるように平坦化される。本発明の実施形態によれば、セルフアライン金属スタッド1004は、スペーサ1000によって、ゲートに短絡することが防止される。
斯くして、ゲートにセルフアラインされるエッチング停止構造を形成し、コンタクトエッチングがゲート電極を露出させてゲートとコンタクトとの間の短絡を生じさせることを防止する、本発明の実施形態をここに説明した。コンタクトパターンがゲート電極と重なる場合であっても、コンタクト−ゲート短絡が防止される。本発明の実施形態はまた、例えば、トレンチコンタクトとゲート電極との間の寄生容量、コンタクトからゲートへの誘電体破壊若しくは短絡、及びゲートコンタクトパターニング中のコンタクトシリサイドの劣化などの問題を解決する。
従って、絶縁体キャップ層の使用は、ロバストな製造可能プロセスを提供するセルフアラインコンタクトを実現する。本発明は、パターニング限界に対して一層ロバストな、より幅広なコンタクトを最初にパターニングすることを可能にする。より幅広なコンタクトはまた、シリサイド介在コンタクトのプロセスフローに望ましいものである。これは、コンタクト−ゲート短絡における主な歩留まり制限要因を排除するのみでなく、コンタクトパターニングの主な制約を軽減し、より大きな多様性を可能にする。リソグラフィの観点から見て、絶縁体キャップ層の使用は、レジストレーションウィンドウを拡大し、より大きな限界寸法の可変性を許容する。エッチングの観点から見て、絶縁体キャップ層の使用は、MOSトランジスタの製造プロセスを、異なる形状、異なる限界寸法、及びトレンチコンタクト形成中のILDのオーバーエッチングに対して、より耐性のあるものにする。
本発明の例示の実施形態の以上の説明は、要約書に記載されたものを含めて、網羅的であることを意図したものではなく、また、開示した形態そのものに本発明を限定することを意図したものでもない。ここでは例示目的で本発明の具体的な実施形態及び例を説明したが、当業者に認識されるように、本発明の範囲内で様々な均等な変更が可能である。
それらの変更は、以上の詳細な説明を踏まえてこそ、本発明に為され得るものである。以下の請求項にて使用される用語は、本明細書及び特許請求の範囲に開示した具体的な実施形態に本発明を限定するものとして解釈されるべきでない。むしろ、発明の範囲は、もっぱら、確立されたクレーム解釈の原則に従って解釈される以下の請求項によって決定されるべきである。

Claims (22)

  1. 基板と、
    前記基板上の一対のスペーサと、
    前記基板上且つ前記一対のスペーサ間のゲート誘電体層と、
    前記ゲート誘電体層上且つ前記一対のスペーサ間のゲート電極層であり、前記ゲート誘電体層が、前記基板の表面上、及び該ゲート電極層と前記一対のスペーサとの間にある、ゲート電極層と、
    前記ゲート電極層上且つ前記一対のスペーサ間にあり、且つ前記ゲート電極層と前記一対のスペーサとの間の前記ゲート誘電体層の直上にある絶縁キャップ層と、
    前記一対のスペーサに隣接する一対の拡散領域と、
    前記一対のスペーサに隣接し且つ前記一対の拡散領域の上にある第1の絶縁層であり、前記絶縁キャップ層と同一平面にある頂面を有する第1の絶縁層と、
    前記第1の絶縁層の前記頂面の上及び前記絶縁キャップ層の第1部分の上にある第2の絶縁層と、
    前記一対の拡散領域のうちの一方に接触した導電コンタクトであり、該導電コンタクトは前記一対のスペーサのうちの一方に隣接し且つ前記第2の絶縁層に隣接し、該導電コンタクトの一部が前記絶縁キャップ層の第2部分の上にある、導電コンタクトと、
    を有するトランジスタ。
  2. 前記ゲート誘電体層、前記ゲート電極層及び前記絶縁キャップ層の結合体の高さは、前記一対のスペーサの高さを超えない、請求項1に記載のトランジスタ。
  3. 前記絶縁キャップ層は、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、又は酸化アルミニウムを有する、請求項1に記載のトランジスタ。
  4. 前記絶縁キャップ層は、窒化物材料、炭化物材料、酸化物材料、金属酸化物材料、又はlow−k誘電体材料を有する、請求項1に記載のトランジスタ。
  5. 前記絶縁キャップ層は、窒化ホウ素又は炭化ホウ素を有する、請求項1に記載のトランジスタ。
  6. 前記絶縁キャップ層は、炭素、窒素及び水素のうちの1つ以上でドープされたlow−k誘電体材料を有する、請求項1に記載のトランジスタ。
  7. 基板上にゲート誘電体層を形成する工程と、
    前記ゲート誘電体層上にゲート電極層を形成する工程と、
    前記ゲート誘電体層及び前記ゲート電極層の両側面に一対のスペーサを形成する工程と、
    前記一対のスペーサに隣接する一対の拡散領域を形成する工程と、
    前記一対のスペーサに隣接して前記一対の拡散領域の上に第1の絶縁層を形成する工程と、
    前記一対のスペーサ及び前記第1の絶縁層を形成した後に、前記ゲート電極層をリセス化して、リセス化されたゲート電極層を形成する工程と、
    前記一対のスペーサの内側で、前記リセス化されたゲート電極層上に絶縁キャップ層を形成する工程であり、該絶縁キャップ層は、前記第1の絶縁層の頂面と同一平面にある頂面を有する、工程と、
    前記第1の絶縁層の前記頂面の上及び前記絶縁キャップ層の上に第2の絶縁層を形成する工程と、
    前記第1及び第2の絶縁層内にコンタクト開口を形成する工程であり、該コンタクト開口は、前記一対の拡散領域のうちの一方と前記絶縁キャップ層の一部とを露出させる、工程と、
    前記コンタクト開口内に前記一対の拡散領域のうちの前記一方に接触させて導電コンタクトを形成する工程であり、該導電コンタクトは前記一対のスペーサのうちの一方に隣接し且つ前記第2の絶縁層に隣接し、該導電コンタクトの一部が前記絶縁キャップ層の前記一部の上に形成される、工程と、
    を有するトランジスタを製造する方法。
  8. 前記絶縁キャップ層を形成する工程は、
    前記リセス化されたゲート電極層の上にブランケット絶縁材料層を堆積する工程と、
    前記絶縁材料層を平坦化することで前記絶縁キャップ層を形成する工程と
    を有する、請求項7に記載の方法。
  9. 前記拡散領域が形成された後に、前記ゲート電極層及び前記ゲート誘電体層を除去し、それにより前記一対のスペーサ間にトレンチを形成する工程と、
    前記スペーサ間の前記トレンチの側壁及び底面に沿ってコンフォーマルhigh−kゲート誘電体層を堆積する工程と、
    前記high−kゲート誘電体層上に金属ゲート電極層を堆積し、その後、該金属ゲート電極層をリセス化する工程と、
    を更に有する請求項7に記載の方法。
  10. 前記絶縁キャップ層は、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、又は酸化アルミニウムを有する、請求項7に記載の方法。
  11. 前記絶縁キャップ層は、窒化物材料、炭化物材料、酸化物材料、金属酸化物材料、又はlow−k誘電体材料を有する、請求項7に記載の方法。
  12. 前記絶縁キャップ層は、窒化ホウ素又は炭化ホウ素を有する、請求項7に記載の方法。
  13. 前記絶縁キャップ層は、炭素、窒素及び水素のうちの1つ以上でドープされたlow−k誘電体材料を有する、請求項7に記載の方法。
  14. 前記ゲート誘電体層が、前記ゲート電極層と前記一対のスペーサとの間にもある、請求項7に記載の方法。
  15. 前記ゲート誘電体層が、前記絶縁キャップ層と前記一対のスペーサとの間にある、請求項7に記載の方法。
  16. 当該方法は更に、前記ゲート誘電体層をリセス化して、前記一対のスペーサと前記リセス化されたゲート電極との間のリセス化されたゲート誘電体層を形成する工程を有し、前記絶縁キャップ層は更に、前記リセス化されたゲート誘電体層の上に形成される、請求項7に記載の方法。
  17. 基板と、
    前記基板上の一対のスペーサと、
    前記基板上且つ前記一対のスペーサ間のゲート誘電体層と、
    前記ゲート誘電体層上且つ前記一対のスペーサ間のゲート電極層と、
    前記ゲート電極層上且つ前記一対のスペーサ間の絶縁キャップ層と、
    前記一対のスペーサに隣接する一対の拡散領域と
    を有し、
    前記ゲート誘電体層が、前記一対のスペーサと前記ゲート電極層との間にあり、前記ゲート誘電体層がまた、前記一対のスペーサと前記絶縁キャップ層との間にあり、前記一対のスペーサと前記絶縁キャップ層との間前記ゲート誘電体層は、前記絶縁キャップ層の頂面と実質的に平坦な頂面を有する、
    トランジスタ。
  18. 前記ゲート誘電体層、前記ゲート電極層及び前記絶縁キャップ層の結合体の高さは、前記一対のスペーサの高さを超えない、請求項17に記載のトランジスタ。
  19. 前記絶縁キャップ層は、窒化シリコン、酸化シリコン、炭化シリコン、炭素ドープされた窒化シリコン、酸窒化シリコン、又は酸化アルミニウムを有する、請求項17に記載のトランジスタ。
  20. 前記絶縁キャップ層は、窒化物材料、炭化物材料、酸化物材料、金属酸化物材料、又はlow−k誘電体材料を有する、請求項17に記載のトランジスタ。
  21. 前記絶縁キャップ層は、窒化ホウ素又は炭化ホウ素を有する、請求項17に記載のトランジスタ。
  22. 前記絶縁キャップ層は、炭素、窒素及び水素のうちの1つ以上でドープされたlow−k誘電体材料を有する、請求項17に記載のトランジスタ。
JP2014093489A 2009-12-30 2014-04-30 トランジスタ及びその製造方法 Active JP6109781B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/655,408 US8436404B2 (en) 2009-12-30 2009-12-30 Self-aligned contacts
US12/655,408 2009-12-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012547095A Division JP5539538B2 (ja) 2009-12-30 2010-12-07 トランジスタ及びその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015232164A Division JP2016028462A (ja) 2009-12-30 2015-11-27 トランジスタ及びその製造方法

Publications (2)

Publication Number Publication Date
JP2014158050A true JP2014158050A (ja) 2014-08-28
JP6109781B2 JP6109781B2 (ja) 2017-04-05

Family

ID=44186364

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2012547095A Active JP5539538B2 (ja) 2009-12-30 2010-12-07 トランジスタ及びその製造方法
JP2014093489A Active JP6109781B2 (ja) 2009-12-30 2014-04-30 トランジスタ及びその製造方法
JP2015232164A Pending JP2016028462A (ja) 2009-12-30 2015-11-27 トランジスタ及びその製造方法
JP2017025499A Active JP6306231B2 (ja) 2009-12-30 2017-02-15 トランジスタ及びその製造方法
JP2017195735A Active JP6605554B2 (ja) 2009-12-30 2017-10-06 トランジスタ
JP2018220316A Active JP6746664B2 (ja) 2009-12-30 2018-11-26 トランジスタ及びその製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012547095A Active JP5539538B2 (ja) 2009-12-30 2010-12-07 トランジスタ及びその製造方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
JP2015232164A Pending JP2016028462A (ja) 2009-12-30 2015-11-27 トランジスタ及びその製造方法
JP2017025499A Active JP6306231B2 (ja) 2009-12-30 2017-02-15 トランジスタ及びその製造方法
JP2017195735A Active JP6605554B2 (ja) 2009-12-30 2017-10-06 トランジスタ
JP2018220316A Active JP6746664B2 (ja) 2009-12-30 2018-11-26 トランジスタ及びその製造方法

Country Status (8)

Country Link
US (12) US8436404B2 (ja)
EP (5) EP2519975B1 (ja)
JP (6) JP5539538B2 (ja)
KR (15) KR101510034B1 (ja)
CN (2) CN102640291B (ja)
HK (1) HK1173851A1 (ja)
TW (5) TWI518904B (ja)
WO (1) WO2011090571A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160029623A (ko) * 2014-09-05 2016-03-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조물 및 그 제조 방법
KR101757547B1 (ko) * 2015-03-16 2017-07-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조물 및 그 제조 방법
JP2019515494A (ja) * 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
KR101675373B1 (ko) * 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101615654B1 (ko) * 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법
US8860107B2 (en) * 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8421077B2 (en) * 2010-06-08 2013-04-16 International Business Machines Corporation Replacement gate MOSFET with self-aligned diffusion contact
JP5569243B2 (ja) * 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
KR101692309B1 (ko) * 2010-08-25 2017-01-04 삼성전자 주식회사 반도체 장치의 제조방법
US8592266B2 (en) * 2010-10-27 2013-11-26 International Business Machines Corporation Replacement gate MOSFET with a high performance gate electrode
US8946006B2 (en) * 2010-10-28 2015-02-03 International Business Machines Corporation Replacement gate MOSFET with raised source and drain
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
CN102779754B (zh) * 2011-05-12 2015-04-08 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US9190261B2 (en) 2011-08-25 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Layer alignment in FinFET fabrication
US8822283B2 (en) 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US8877645B2 (en) * 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
KR101780916B1 (ko) * 2011-09-30 2017-09-21 인텔 코포레이션 집적회로 구조 및 집적회로 구조의 제조 방법
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR20140049075A (ko) * 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
WO2013048524A1 (en) 2011-10-01 2013-04-04 Intel Corporation Source/drain contacts for non-planar transistors
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
US9716037B2 (en) 2011-12-22 2017-07-25 Intel Corporation Gate aligned contact and method to fabricate same
US20130175619A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Silicon-on-insulator transistor with self-aligned borderless source/drain contacts
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8927407B2 (en) 2012-01-20 2015-01-06 Globalfoundries Inc. Method of forming self-aligned contacts for a semiconductor device
US8580628B2 (en) * 2012-02-02 2013-11-12 GlobalFoundries, Inc. Integrated circuit contact structure and method
KR101853316B1 (ko) * 2012-03-29 2018-04-30 삼성전자주식회사 반도체 소자
US8946049B2 (en) 2012-04-11 2015-02-03 International Business Machines Corporation Replacement gate structures and methods of manufacturing
JP6100589B2 (ja) * 2012-04-13 2017-03-22 ルネサスエレクトロニクス株式会社 自己整合型ソース・ドレインコンタクトを有する半導体装置およびその製造方法
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US8779515B2 (en) * 2012-05-21 2014-07-15 International Business Machines Corporation Semiconductor structure containing an aluminum-containing replacement gate electrode
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
US8679909B2 (en) 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8883583B2 (en) * 2012-06-26 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, transistors, and methods of manufacture thereof
US8937006B2 (en) 2012-07-30 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9218975B2 (en) * 2012-08-17 2015-12-22 Globalfoundries Inc. Methods of forming a replacement gate structure having a gate electrode comprised of a deposited intermetallic compound material
US8877621B2 (en) * 2012-09-05 2014-11-04 Globalfoundries Inc. Low resistivity gate conductor
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US8753970B2 (en) * 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US9034703B2 (en) 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8765590B2 (en) 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device
US8928090B2 (en) 2012-10-31 2015-01-06 International Business Machines Corporation Self-aligned contact structure for replacement metal gate
US8907427B2 (en) * 2012-11-05 2014-12-09 Stmicroelectronics, Inc. Semiconductor device including low-K dielectric cap layer for gate electrodes and related methods
US8890262B2 (en) * 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8785283B2 (en) * 2012-12-05 2014-07-22 United Microelectronics Corp. Method for forming semiconductor structure having metal connection
US8728927B1 (en) * 2012-12-10 2014-05-20 International Business Machines Corporation Borderless contacts for semiconductor transistors
CN103871857B (zh) * 2012-12-18 2017-09-26 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8928048B2 (en) 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US8946075B2 (en) * 2013-03-05 2015-02-03 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8940633B2 (en) * 2013-03-05 2015-01-27 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8884344B2 (en) 2013-03-08 2014-11-11 International Business Machines Corporation Self-aligned contacts for replacement metal gate transistors
US9263275B2 (en) * 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9006072B2 (en) * 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US8981490B2 (en) * 2013-03-14 2015-03-17 Texas Instruments Incorporated Transistor with deep Nwell implanted through the gate
TWI581316B (zh) * 2013-03-15 2017-05-01 聯華電子股份有限公司 形成金屬矽化物層的方法
US20140264640A1 (en) * 2013-03-18 2014-09-18 Nanya Technology Corp. Semiconductor device and method for fabricating the same
CN104124173A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN104143515B (zh) * 2013-05-09 2017-12-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
KR102050779B1 (ko) * 2013-06-13 2019-12-02 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
KR20150000546A (ko) * 2013-06-24 2015-01-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9257348B2 (en) 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US9324709B2 (en) * 2013-08-19 2016-04-26 Globalfoundries Inc. Self-aligned gate contact structure
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
JP6120738B2 (ja) * 2013-09-17 2017-04-26 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9564332B2 (en) * 2013-09-26 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
FR3011382B1 (fr) 2013-09-27 2019-03-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un circuit integre
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US20150111373A1 (en) * 2013-10-18 2015-04-23 GlobalFoundries, Inc. Reducing gate height variation in rmg process
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9153483B2 (en) 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
CN104681488B (zh) * 2013-11-26 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
US9245894B2 (en) * 2013-12-12 2016-01-26 Texas Instruments Incorporated Self aligned active trench contact
US9331072B2 (en) * 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9093467B1 (en) 2014-02-04 2015-07-28 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
KR20150091895A (ko) * 2014-02-04 2015-08-12 에스케이하이닉스 주식회사 반도체 장치 및 그 동작방법
US9252243B2 (en) 2014-02-07 2016-02-02 International Business Machines Corporation Gate structure integration scheme for fin field effect transistors
US20150228546A1 (en) * 2014-02-11 2015-08-13 United Microelectronics Corp. Semiconductor device and method of removing spacers on semiconductor device
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9236437B2 (en) 2014-02-20 2016-01-12 Globalfoundries Inc. Method for creating self-aligned transistor contacts
US9293557B2 (en) * 2014-02-20 2016-03-22 International Business Machines Corporation Low temperature spacer for advanced semiconductor devices
US9231063B2 (en) 2014-02-24 2016-01-05 International Business Machines Corporation Boron rich nitride cap for total ionizing dose mitigation in SOI devices
US9159822B2 (en) 2014-02-24 2015-10-13 International Business Machines Corporation III-V semiconductor device having self-aligned contacts
US9231067B2 (en) 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9293576B2 (en) * 2014-03-05 2016-03-22 International Business Machines Corporation Semiconductor device with low-k gate cap and self-aligned contact
US9257529B2 (en) 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US9324830B2 (en) 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9711646B2 (en) 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
US9484205B2 (en) * 2014-04-07 2016-11-01 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US9236258B2 (en) * 2014-04-23 2016-01-12 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9147748B1 (en) 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
US9385235B2 (en) 2014-05-30 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9570319B2 (en) * 2014-05-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
TWI612563B (zh) 2014-07-07 2018-01-21 聯華電子股份有限公司 金屬閘極結構與其製作方法
CN105280486B (zh) 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
KR102276642B1 (ko) 2014-07-28 2021-07-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
KR102251363B1 (ko) * 2014-08-08 2021-05-14 삼성전자주식회사 반도체 소자
US10134861B2 (en) * 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20160126336A1 (en) * 2014-10-29 2016-05-05 Globalfoundries Inc. Method of improved ca/cb contact and device thereof
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9391204B1 (en) 2015-03-12 2016-07-12 International Business Machines Corporation Asymmetric FET
US9698232B2 (en) 2015-03-18 2017-07-04 Qualcomm Incorporated Conductive cap for metal-gate transistor
US9685532B2 (en) 2015-03-24 2017-06-20 International Business Machines Corporation Replacement metal gate structures
TWI642188B (zh) * 2015-03-26 2018-11-21 聯華電子股份有限公司 半導體元件及其製作方法
US9799560B2 (en) * 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
TWI650833B (zh) 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
US9443853B1 (en) 2015-04-07 2016-09-13 International Business Machines Corporation Minimizing shorting between FinFET epitaxial regions
KR102290538B1 (ko) 2015-04-16 2021-08-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102342847B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9431235B1 (en) * 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
US9647091B2 (en) 2015-05-01 2017-05-09 International Business Machines Corporation Annealed metal source drain overlapping the gate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10411113B2 (en) 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9876074B2 (en) * 2015-05-22 2018-01-23 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US10062763B2 (en) * 2015-05-27 2018-08-28 Qualcomm Incorporated Method and apparatus for selectively forming nitride caps on metal gate
US9722043B2 (en) 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
KR102396111B1 (ko) 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
KR102321373B1 (ko) 2015-08-19 2021-11-02 삼성전자주식회사 반도체 장치의 제조 방법
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9673101B2 (en) 2015-09-30 2017-06-06 International Business Machines Corporation Minimize middle-of-line contact line shorts
US9871114B2 (en) * 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9627484B1 (en) 2015-10-12 2017-04-18 International Business Machines Corporation Devices with multiple threshold voltages formed on a single wafer using strain in the high-K layer
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9570450B1 (en) 2015-11-19 2017-02-14 International Business Machines Corporation Hybrid logic and SRAM contacts
US9660050B1 (en) 2015-11-25 2017-05-23 International Business Machines Corporation Replacement low-k spacer
US20170162444A1 (en) * 2015-12-02 2017-06-08 International Business Machines Corporation Contact resistance reduction for advanced technology nodes
US9627510B1 (en) 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
US9437714B1 (en) 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
US9704971B2 (en) * 2015-12-09 2017-07-11 Globalfoundries Inc. Epi facet height uniformity improvement for FDSOI technologies
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN106920750B (zh) * 2015-12-28 2019-11-05 中芯国际集成电路制造(上海)有限公司 金属栅晶体管源漏区接触塞的制作方法
CN106920771B (zh) * 2015-12-28 2020-03-10 中芯国际集成电路制造(北京)有限公司 金属栅晶体管源漏区接触塞的制作方法
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9450095B1 (en) * 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US9716093B1 (en) * 2016-03-07 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US9824920B2 (en) * 2016-04-04 2017-11-21 Globalfoundries Inc. Methods of forming self-aligned contact structures by work function material layer recessing and the resulting devices
CN107275214A (zh) * 2016-04-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10510599B2 (en) * 2016-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Company Limited FinFET switch
US9793267B1 (en) 2016-04-22 2017-10-17 United Microelectronics Corp. Semiconductor device having gate structure with reduced threshold voltage and method for manufacturing the same
KR102514620B1 (ko) 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9991361B2 (en) * 2016-05-26 2018-06-05 Globalfoundries Inc. Methods for performing a gate cut last scheme for FinFET semiconductor devices
US9837351B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Avoiding gate metal via shorting to source or drain contacts
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
DE112016007034T5 (de) * 2016-07-01 2019-03-21 Intel Corporation Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand
CN107591366B (zh) * 2016-07-06 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9847398B1 (en) * 2016-07-13 2017-12-19 United Microelectronics Corp. Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US9929046B2 (en) * 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
US9929271B2 (en) * 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN107785259B (zh) * 2016-08-24 2020-09-15 中芯国际集成电路制造(北京)有限公司 一种半导体器件及制备方法、电子装置
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
US9704991B1 (en) 2016-10-31 2017-07-11 International Business Machines Corporation Gate height and spacer uniformity
US9985134B1 (en) * 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10522359B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10008416B2 (en) * 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US20180158821A1 (en) * 2016-12-06 2018-06-07 Globalfoundries Inc. Gate structures with low resistance
US10707316B2 (en) * 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10079290B2 (en) 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
WO2018128193A1 (ja) * 2017-01-06 2018-07-12 国立研究開発法人科学技術振興機構 六方晶窒化ホウ素薄膜とその製造方法
US10242918B2 (en) 2017-02-08 2019-03-26 International Business Machines Corporation Shallow trench isolation structures and contact patterning
US10103237B2 (en) * 2017-02-28 2018-10-16 International Business Machines Corporation Inverted MOSFET with scaling advantage
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
TWI729128B (zh) 2017-05-10 2021-06-01 聯華電子股份有限公司 半導體結構及其製作方法
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
TWI730119B (zh) 2017-06-09 2021-06-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
US10304735B2 (en) 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
US10181421B1 (en) * 2017-07-12 2019-01-15 Globalfoundries Inc. Liner recess for fully aligned via
US10186599B1 (en) * 2017-07-20 2019-01-22 International Business Machines Corporation Forming self-aligned contact with spacer first
CN109411405A (zh) * 2017-08-17 2019-03-01 联华电子股份有限公司 半导体结构及其制作方法
US10014180B1 (en) 2017-08-21 2018-07-03 Globalfoundries Inc. Tungsten gate and method for forming
US10804148B2 (en) * 2017-08-25 2020-10-13 International Business Machines Corporation Buried contact to provide reduced VFET feature-to-feature tolerance requirements
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10504782B2 (en) * 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
US10236215B1 (en) * 2017-10-24 2019-03-19 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
US10651284B2 (en) 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
KR102291538B1 (ko) 2017-11-10 2021-08-18 삼성전자주식회사 반도체 장치
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
KR20200083981A (ko) * 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10403547B2 (en) * 2017-12-12 2019-09-03 Varian Semiconductor Equipment Associates, Inc. Structure and method of forming self aligned contacts in semiconductor device
CN110246895A (zh) * 2018-03-09 2019-09-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10468409B2 (en) * 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
JP7072064B2 (ja) * 2018-03-26 2022-05-19 インテル・コーポレーション デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング
US10249533B1 (en) 2018-04-12 2019-04-02 International Business Machines Corporation Method and structure for forming a replacement contact
US10665505B2 (en) 2018-05-22 2020-05-26 International Business Machines Corporation Self-aligned gate contact isolation
US10685872B2 (en) 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10522644B1 (en) 2018-06-21 2019-12-31 Globalfoundries Inc. Different upper and lower spacers for contact
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10818557B2 (en) 2018-07-03 2020-10-27 Globalfoundries Inc. Integrated circuit structure to reduce soft-fail incidence and method of forming same
US10553486B1 (en) 2018-07-27 2020-02-04 Globalfoundries Inc. Field effect transistors with self-aligned metal plugs and methods
CN110875396B (zh) * 2018-08-31 2023-08-15 力智电子股份有限公司 沟槽式栅极金氧半场效晶体管及其制造方法
US11195753B2 (en) 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor
US11195754B2 (en) 2018-10-09 2021-12-07 International Business Machines Corporation Transistor with reduced gate resistance and improved process margin of forming self-aligned contact
US10892338B2 (en) * 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10978571B2 (en) 2018-10-24 2021-04-13 International Business Machines Corporation Self-aligned contact with metal-insulator transition materials
US10943990B2 (en) 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US11062946B2 (en) * 2018-11-08 2021-07-13 International Business Machines Corporation Self-aligned contact on a semiconductor device
KR102664157B1 (ko) * 2018-12-03 2024-05-07 엘지디스플레이 주식회사 투명표시장치
US11152307B2 (en) * 2018-12-18 2021-10-19 International Business Machines Corporation Buried local interconnect
US10770562B1 (en) 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
US10892164B2 (en) 2019-04-16 2021-01-12 International Business Machines Corporation Dual hard mask replacement gate
US11139306B2 (en) * 2019-05-28 2021-10-05 Winbond Electronics Corp. Memory device and method for fabricating the same
US10818548B1 (en) * 2019-05-30 2020-10-27 International Business Machines Corporation Method and structure for cost effective enhanced self-aligned contacts
CN112103249B (zh) * 2019-06-18 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10832964B1 (en) 2019-07-15 2020-11-10 International Business Machines Corporatior Replacement contact formation for gate contact over active region with selective metal growth
CN112309861B (zh) * 2019-07-30 2023-10-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、晶体管
KR20210024384A (ko) * 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11328990B2 (en) * 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US11302577B2 (en) * 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20210104260A (ko) 2020-02-17 2021-08-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN113327980B (zh) * 2020-02-28 2023-03-28 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
US11211462B2 (en) * 2020-03-05 2021-12-28 International Business Machines Corporation Using selectively formed cap layers to form self-aligned contacts to source/drain regions
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257926B2 (en) * 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11264481B2 (en) 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts
TW202236453A (zh) * 2021-03-10 2022-09-16 新加坡商發明與合作實驗室有限公司 內連線結構及其製造方法
US11935929B2 (en) * 2021-10-21 2024-03-19 International Business Machines Corporation High aspect ratio shared contacts

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792703A (en) * 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
JP2000031291A (ja) * 1998-07-13 2000-01-28 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
JP2001284467A (ja) * 2000-03-30 2001-10-12 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002050759A (ja) * 2000-06-21 2002-02-15 Hynix Semiconductor Inc 金属ゲートを有するmosfet素子の製造方法
JP2002110966A (ja) * 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
JP2008205459A (ja) * 2007-02-08 2008-09-04 Applied Materials Inc 再スパッタされる銅シード層

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5210435A (en) * 1990-10-12 1993-05-11 Motorola, Inc. ITLDD transistor having a variable work function
JP3104193B2 (ja) * 1991-06-28 2000-10-30 ソニー株式会社 半導体装置の接続構造形成方法
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5381302A (en) * 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5385866A (en) * 1994-06-22 1995-01-31 International Business Machines Corporation Polish planarizing using oxidized boron nitride as a polish stop
JPH0936319A (ja) * 1995-07-18 1997-02-07 Toshiba Corp 半導体装置の製造方法
JP3703885B2 (ja) 1995-09-29 2005-10-05 株式会社東芝 半導体記憶装置とその製造方法
JP4064496B2 (ja) * 1996-07-12 2008-03-19 株式会社東芝 半導体装置及びその製造方法
JP3520697B2 (ja) * 1996-11-07 2004-04-19 富士通株式会社 半導体装置及びその製造方法
JPH10144781A (ja) 1996-11-12 1998-05-29 Fujitsu Ltd 半導体装置の製造方法
JP4160167B2 (ja) * 1997-06-30 2008-10-01 株式会社東芝 半導体装置の製造方法
JP2008153686A (ja) * 1997-06-30 2008-07-03 Toshiba Corp 半導体装置の製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3232043B2 (ja) * 1997-06-30 2001-11-26 株式会社東芝 半導体装置の製造方法
US5807779A (en) * 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Method of making tungsten local interconnect using a silicon nitride capped self-aligned contact process
KR100245271B1 (ko) * 1997-10-01 2000-02-15 윤종용 반도체 장치 및 그의 제조 방법
JPH11135745A (ja) * 1997-10-29 1999-05-21 Toshiba Corp 半導体装置及びその製造方法
US5907781A (en) 1998-03-27 1999-05-25 Advanced Micro Devices, Inc. Process for fabricating an integrated circuit with a self-aligned contact
JPH11307473A (ja) * 1998-04-24 1999-11-05 Sony Corp 半導体装置およびその製造方法
JP3380172B2 (ja) 1998-07-29 2003-02-24 松下電器産業株式会社 半導体装置の製造方法
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6184129B1 (en) * 1998-09-29 2001-02-06 Texas Instruments Incorporated Low resistivity poly-silicon gate produced by selective metal growth
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP3439135B2 (ja) * 1998-10-05 2003-08-25 沖電気工業株式会社 半導体装置の製造方法及び半導体装置
US6207514B1 (en) * 1999-01-04 2001-03-27 International Business Machines Corporation Method for forming borderless gate structures and apparatus formed thereby
TW404009B (en) * 1999-01-27 2000-09-01 United Microelectronics Corp The method of manufacturing self-aligned contact (SAC)
JP2000223703A (ja) * 1999-01-29 2000-08-11 Toshiba Corp 半導体装置及びその製造方法
US6235593B1 (en) * 1999-02-18 2001-05-22 Taiwan Semiconductor Manufacturing Company Self aligned contact using spacers on the ILD layer sidewalls
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6274426B1 (en) * 1999-02-25 2001-08-14 Taiwan Semiconductor Manufacturing Company Self-aligned contact process for a crown shaped dynamic random access memory capacitor structure
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6573132B1 (en) * 1999-03-25 2003-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having contacts self-aligned with a gate electrode thereof
KR100397153B1 (ko) 1999-07-27 2003-09-06 한국전기초자 주식회사 유리튜브절단용 버너
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6294449B1 (en) * 1999-11-23 2001-09-25 International Business Machines Corporation Self-aligned contact for closely spaced transistors
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
TW506079B (en) * 2000-02-17 2002-10-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6607950B2 (en) * 2000-03-30 2003-08-19 Interuniversitair Microelektronic Centrum (Imec) MIS transistors with a metal gate and high-k dielectric and method of forming
JP2001308323A (ja) * 2000-04-26 2001-11-02 Hitachi Ltd 半導体装置の製造方法
JP2001345443A (ja) * 2000-06-01 2001-12-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
FR2810157B1 (fr) * 2000-06-09 2002-08-16 Commissariat Energie Atomique Procede de realisation d'un composant electronique a source, drain et grille auto-allignes, en architecture damascene
AU2001286895A1 (en) * 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6306713B1 (en) * 2000-10-10 2001-10-23 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects for salicided gates using a secondary spacer
JP2002141420A (ja) 2000-10-31 2002-05-17 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
JP2002170821A (ja) * 2000-11-30 2002-06-14 Sony Corp 膜の形成方法
KR100649821B1 (ko) * 2000-12-26 2006-11-24 주식회사 하이닉스반도체 반도체소자의 트랜지스터 제조방법
KR100363701B1 (ko) * 2000-12-29 2002-12-05 주식회사 하이닉스반도체 반도체 소자의 비트 라인 콘택 형성 방법
KR100365414B1 (en) * 2001-04-30 2002-12-18 Hynix Semiconductor Inc Method for forming ultra-shallow junction using laser annealing process
JP2003007850A (ja) * 2001-06-18 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100394524B1 (ko) * 2001-12-21 2003-08-14 동부전자 주식회사 반도체소자의 제조방법
KR100434505B1 (ko) * 2002-06-19 2004-06-05 삼성전자주식회사 다마신 배선을 이용한 반도체 소자의 제조방법
JP2004071959A (ja) * 2002-08-08 2004-03-04 Renesas Technology Corp 半導体装置
US7902029B2 (en) * 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US6624024B1 (en) * 2002-08-29 2003-09-23 Micron Technology, Inc. Method and apparatus for a flash memory device comprising a source local interconnect
US6909152B2 (en) * 2002-11-14 2005-06-21 Infineon Technologies, Ag High density DRAM with reduced peripheral device area and method of manufacture
KR20040060335A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 자기정렬적인 콘택 형성방법
KR100503519B1 (ko) * 2003-01-22 2005-07-22 삼성전자주식회사 반도체 장치 및 그 제조방법
KR100505062B1 (ko) * 2003-02-22 2005-07-29 삼성전자주식회사 반도체 소자의 제조방법
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
TWI304633B (en) * 2003-08-25 2008-12-21 Promos Technologies Inc Semiconductor device and fabricating method thereof
KR20050024667A (ko) * 2003-09-01 2005-03-11 삼성전자주식회사 반도체소자의 버팅컨택 형성방법
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
TWI227917B (en) * 2004-01-29 2005-02-11 Nanya Technology Corp A word line structure with single-sided partially recessed gate and method for forming the same
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US6884715B1 (en) * 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US7148548B2 (en) * 2004-07-20 2006-12-12 Intel Corporation Semiconductor device with a high-k gate dielectric and a metal gate electrode
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
US6979622B1 (en) 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100611776B1 (ko) * 2004-10-06 2006-08-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7037774B1 (en) * 2004-10-21 2006-05-02 Integrated Device Technology, Inc. Self-aligned contact structure and process for forming self-aligned contact structure
US7230296B2 (en) * 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7138308B2 (en) * 2004-12-14 2006-11-21 International Business Machines Corporation Replacement gate with TERA cap
KR100719342B1 (ko) 2005-02-01 2007-05-17 삼성전자주식회사 듀얼 게이트 전극을 갖는 반도체 소자 및 그 형성 방법
KR100585178B1 (ko) * 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
US7294890B2 (en) * 2005-03-03 2007-11-13 Agency For Science, Technology And Research Fully salicided (FUSA) MOSFET structure
JP2006253461A (ja) * 2005-03-11 2006-09-21 Toshiba Corp 半導体集積回路装置およびその製造方法
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7354854B2 (en) 2005-05-24 2008-04-08 Texas Instruments Incorporated Nickel silicide method and structure
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
KR100618908B1 (ko) * 2005-08-12 2006-09-05 삼성전자주식회사 게이트 저항을 개선한 반도체 소자 및 제조 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
JP2007103694A (ja) 2005-10-05 2007-04-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
TWI298175B (en) * 2005-11-09 2008-06-21 Promos Technologies Inc Gate structure and fabricating method thereof
US7183613B1 (en) 2005-11-15 2007-02-27 International Business Machines Corporation Method and structure for enhancing both NMOSFET and PMOSFET performance with a stressed film
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
JP2007220701A (ja) * 2006-02-14 2007-08-30 Elpida Memory Inc 半導体装置の製造方法、半導体記憶装置の製造方法
US20070241411A1 (en) * 2006-04-12 2007-10-18 International Business Machines Corporation Structures and methods for forming sram cells with self-aligned contacts
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
JP4920310B2 (ja) 2006-05-30 2012-04-18 株式会社東芝 半導体装置およびその製造方法
US7544594B2 (en) * 2006-06-28 2009-06-09 Intel Corporation Method of forming a transistor having gate protection and transistor formed according to the method
US7541239B2 (en) * 2006-06-30 2009-06-02 Intel Corporation Selective spacer formation on transistors of different classes on the same device
KR101008277B1 (ko) * 2006-09-29 2011-01-14 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
KR100760926B1 (ko) * 2006-10-11 2007-09-21 동부일렉트로닉스 주식회사 다중 비트셀을 구현하는 비휘발성 반도체 메모리 장치 및그 제조방법
JP4501965B2 (ja) * 2006-10-16 2010-07-14 ソニー株式会社 半導体装置の製造方法
US8304342B2 (en) * 2006-10-31 2012-11-06 Texas Instruments Incorporated Sacrificial CMP etch stop layer
JP2008130797A (ja) 2006-11-21 2008-06-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008130979A (ja) 2006-11-24 2008-06-05 Takenaka Komuten Co Ltd 電磁波シールド構造体
US8120114B2 (en) * 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
US7682891B2 (en) 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US7432167B2 (en) * 2007-01-10 2008-10-07 United Microelectronics Corp. Method of fabricating a strained silicon channel metal oxide semiconductor transistor
JP4367523B2 (ja) 2007-02-06 2009-11-18 ソニー株式会社 絶縁ゲート電界効果トランジスタ及びその製造方法
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
US7566651B2 (en) * 2007-03-28 2009-07-28 International Business Machines Corporation Low contact resistance metal contact
US20080272410A1 (en) * 2007-05-02 2008-11-06 Chung-Te Lin Self-Aligned Spacer Contact
JP2008282901A (ja) * 2007-05-09 2008-11-20 Sony Corp 半導体装置および半導体装置の製造方法
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
KR101244456B1 (ko) * 2007-07-10 2013-03-18 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체의 형성 방법 및이를 이용한 반도체 소자의 제조 방법
US7927989B2 (en) * 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
US7659171B2 (en) * 2007-09-05 2010-02-09 International Business Machines Corporation Methods and structure for forming self-aligned borderless contacts for strain engineered logic devices
KR20090025778A (ko) * 2007-09-07 2009-03-11 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US20090085131A1 (en) 2007-09-28 2009-04-02 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
KR20090038972A (ko) * 2007-10-17 2009-04-22 삼성전자주식회사 콘택홀 형성방법 및 그를 이용한 반도체 메모리소자의제조방법
US20090108359A1 (en) * 2007-10-31 2009-04-30 Agere Systems Inc. A semiconductor device and method of manufacture therefor
US8354347B2 (en) * 2007-12-11 2013-01-15 Globalfoundries Singapore Pte. Ltd. Method of forming high-k dielectric stop layer for contact hole opening
JP2009158591A (ja) 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
KR101374323B1 (ko) * 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
JP2009231592A (ja) * 2008-03-24 2009-10-08 Nec Electronics Corp 半導体装置の製造方法
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
US20090275182A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Method for fabricating a metal high dielectric constant transistor with reverse-t gate
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
US7838913B2 (en) * 2008-05-28 2010-11-23 International Business Machines Corporation Hybrid FET incorporating a finFET and a planar FET
KR101479997B1 (ko) * 2008-06-20 2015-01-07 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
JP2010010218A (ja) 2008-06-24 2010-01-14 Fujitsu Microelectronics Ltd 半導体装置とその製造方法
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US8227867B2 (en) * 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8421077B2 (en) * 2010-06-08 2013-04-16 International Business Machines Corporation Replacement gate MOSFET with self-aligned diffusion contact
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
KR20120057818A (ko) * 2010-11-29 2012-06-07 삼성전자주식회사 반도체 장치 제조 방법
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US20140179093A1 (en) * 2012-12-20 2014-06-26 GlobalFoundries, Inc. Gate structure formation processes
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9728505B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792703A (en) * 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
JP2000031291A (ja) * 1998-07-13 2000-01-28 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
JP2001284467A (ja) * 2000-03-30 2001-10-12 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002050759A (ja) * 2000-06-21 2002-02-15 Hynix Semiconductor Inc 金属ゲートを有するmosfet素子の製造方法
JP2002110966A (ja) * 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
JP2008205459A (ja) * 2007-02-08 2008-09-04 Applied Materials Inc 再スパッタされる銅シード層

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160029623A (ko) * 2014-09-05 2016-03-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조물 및 그 제조 방법
KR101699133B1 (ko) * 2014-09-05 2017-01-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조물 및 그 제조 방법
US9812577B2 (en) 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10355135B2 (en) 2014-09-05 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10818794B2 (en) 2014-09-05 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US11342458B2 (en) 2014-09-05 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
KR101757547B1 (ko) * 2015-03-16 2017-07-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조물 및 그 제조 방법
US9892924B2 (en) 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
JP2019515494A (ja) * 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
US10777650B2 (en) 2016-04-25 2020-09-15 Applied Materials, Inc. Horizontal gate all around device nanowire air gap spacer formation
US11282936B2 (en) 2016-04-25 2022-03-22 Applied Materials, Inc. Horizontal gate all around device nanowire air gap spacer formation
US11848369B2 (en) 2016-04-25 2023-12-19 Applied Materials, Inc. Horizontal gate-all-around device nanowire air gap spacer formation

Also Published As

Publication number Publication date
KR20130140231A (ko) 2013-12-23
KR20160138584A (ko) 2016-12-05
US9054178B2 (en) 2015-06-09
WO2011090571A2 (en) 2011-07-28
KR102254439B1 (ko) 2021-05-20
KR20120089357A (ko) 2012-08-09
US20170040218A1 (en) 2017-02-09
JP2019050415A (ja) 2019-03-28
JP2017118134A (ja) 2017-06-29
US11600524B2 (en) 2023-03-07
EP3096357B1 (en) 2024-03-13
US20210134673A1 (en) 2021-05-06
KR20160022936A (ko) 2016-03-02
KR102033275B1 (ko) 2019-10-16
CN104795444B (zh) 2018-05-22
JP2013516083A (ja) 2013-05-09
KR20200055148A (ko) 2020-05-20
KR20180108872A (ko) 2018-10-04
TWI641140B (zh) 2018-11-11
JP6605554B2 (ja) 2019-11-13
KR102432086B1 (ko) 2022-08-11
US20150270216A1 (en) 2015-09-24
CN102640291A (zh) 2012-08-15
TW201131771A (en) 2011-09-16
KR102302712B1 (ko) 2021-09-15
EP4033543A1 (en) 2022-07-27
EP2519975B1 (en) 2018-05-09
TWI590453B (zh) 2017-07-01
US10930557B2 (en) 2021-02-23
KR20170105645A (ko) 2017-09-19
TW201804618A (zh) 2018-02-01
JP6306231B2 (ja) 2018-04-04
US11887891B2 (en) 2024-01-30
EP3312888A2 (en) 2018-04-25
US20130178033A1 (en) 2013-07-11
US8436404B2 (en) 2013-05-07
US9466565B2 (en) 2016-10-11
US20160155815A1 (en) 2016-06-02
KR20180136571A (ko) 2018-12-24
US9093513B2 (en) 2015-07-28
EP3096357A1 (en) 2016-11-23
KR20140119201A (ko) 2014-10-08
KR101778717B1 (ko) 2017-09-14
US20240030067A1 (en) 2024-01-25
TW201742251A (zh) 2017-12-01
JP6109781B2 (ja) 2017-04-05
CN104795444A (zh) 2015-07-22
KR20130112962A (ko) 2013-10-14
TWI666772B (zh) 2019-07-21
KR101685886B1 (ko) 2016-12-12
US9892967B2 (en) 2018-02-13
KR101510034B1 (ko) 2015-04-08
TWI518904B (zh) 2016-01-21
TW201633544A (zh) 2016-09-16
JP2018006779A (ja) 2018-01-11
JP6746664B2 (ja) 2020-08-26
US20140151817A1 (en) 2014-06-05
KR20150080635A (ko) 2015-07-09
TWI632680B (zh) 2018-08-11
US20230154793A1 (en) 2023-05-18
TW201907568A (zh) 2019-02-16
US20110156107A1 (en) 2011-06-30
KR20210059019A (ko) 2021-05-24
US9508821B2 (en) 2016-11-29
HK1173851A1 (en) 2013-05-24
EP3312888A3 (en) 2018-07-04
US10629483B2 (en) 2020-04-21
KR102115127B1 (ko) 2020-05-25
JP5539538B2 (ja) 2014-07-02
US20200251387A1 (en) 2020-08-06
KR101625811B1 (ko) 2016-05-30
WO2011090571A3 (en) 2011-11-17
US20180096891A1 (en) 2018-04-05
KR20210116684A (ko) 2021-09-27
CN102640291B (zh) 2015-05-06
US10141226B2 (en) 2018-11-27
KR101987928B1 (ko) 2019-06-11
KR101459198B1 (ko) 2014-11-07
KR101510032B1 (ko) 2015-04-08
EP2519975A2 (en) 2012-11-07
KR20210000325A (ko) 2021-01-04
EP2519975A4 (en) 2013-09-11
JP2016028462A (ja) 2016-02-25
EP3506366A1 (en) 2019-07-03
US20190051558A1 (en) 2019-02-14
KR20190065488A (ko) 2019-06-11

Similar Documents

Publication Publication Date Title
JP6605554B2 (ja) トランジスタ

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150403

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150728

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151127

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20151204

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20160205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170308

R150 Certificate of patent or registration of utility model

Ref document number: 6109781

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250