CN107275214A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN107275214A
CN107275214A CN201610216931.4A CN201610216931A CN107275214A CN 107275214 A CN107275214 A CN 107275214A CN 201610216931 A CN201610216931 A CN 201610216931A CN 107275214 A CN107275214 A CN 107275214A
Authority
CN
China
Prior art keywords
side wall
grid structure
semiconductor devices
top surface
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610216931.4A
Other languages
English (en)
Inventor
张城龙
袁光杰
张海洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610216931.4A priority Critical patent/CN107275214A/zh
Priority to US15/436,897 priority patent/US20170294535A1/en
Priority to EP17163841.4A priority patent/EP3229263A1/en
Publication of CN107275214A publication Critical patent/CN107275214A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体器件及其形成方法,其中,所述形成方法包括:提供基底,所述基底表面形成有伪栅极结构、第一介质层以及位于伪栅极结构两侧的侧墙;去除伪栅极结构,在侧墙之间形成第一开口;在第一开口内形成栅极结构,所述栅极结构与第一介质层的顶表面齐平;分别去除侧墙的一部分和栅极结构的一部分,使剩余侧墙与剩余栅极结构的顶表面低于第一介质层的顶表面,形成第二开口;形成填充第二开口的覆盖层,所述覆盖层覆盖剩余栅极结构和剩余侧墙的顶表面,且与第一介质层的顶表面齐平。本发明实施例的形成方法,通过形成位于侧墙和栅极结构之上的覆盖层,使后续形成的接触结构与栅极结构相隔离,有效避免了短路问题,提高了半导体器件的性能。

Description

半导体器件及其形成方法
技术领域
本发明涉及半导体制造技术领域,尤其涉及一种半导体器件及其形成方法。
背景技术
现有技术的半导体器件的形成方法中,通过接触结构实现硅片上多层电路间的电连接。在形成接触结构时,首先对层间介质层(ILD)进行光刻以形成沟槽或者通孔;然后将导电材料填充在沟槽或者通孔中以形成接触结构。随着超大规模集成电路的飞速发展,元件的特征尺寸不断减小,对光刻工艺提出了更高的要求。
在半导体制造工艺中,通常利用一种自对准接触(Self Alignment ContactSAC,)技术来形成接触(Contact)结构。自对准接触技术因其可以降低对光刻精度的要求,进而减少形成晶体管所需要的面积而受到广泛的关注。
然而利用自对准接触技术形成位于源极或漏极上的接触结构时,接触结构很容易与栅极相接触而发生短路,影响半导体器件的性能。如何避免接触结构与栅极之间发生短路,成为亟需解决的技术问题。
发明内容
本发明解决的技术问题是提供一种半导体器件及其形成方法,以避免接触结构与栅极之间发生短路,提高半导体器件的性能。
为解决上述技术问题,本发明实施例提供一种半导体器件及其形成方法,其中,所述形成方法包括:提供基底,所述基底表面形成有伪栅极结构、第一介质层以及位于所述伪栅极结构两侧的侧墙,其中所述伪栅极结构和侧墙位于所述第一介质层内且与所述第一介质层的顶表面齐平;去除所述伪栅极结构,在所述侧墙之间形成第一开口;在所述第一开口内形成栅极结构,所述栅极结构的顶表面与所述第一介质层的顶表面齐平;分别去除所述侧墙的一部分和所述栅极结构的一部分,使剩余侧墙与剩余栅极结构的顶表面低于所述第一介质层的顶表面,从而在第一介质层内形成第二开口;形成填充所述第二开口的覆盖层,所述覆盖层覆盖剩余栅极结构和剩余侧墙的顶表面,且与所述第一介质层的顶表面齐平。
可选地,去除的所述侧墙的一部分的厚度大于去除的所述栅极结构的一部分的厚度。
可选地,去除的所述侧墙的一部分的厚度小于或者等于去除的所述栅极结构的一部分的厚度。
可选地,去除的所述侧墙的一部分的厚度范围为100埃至1000埃。
可选地,去除的所述栅极结构的一部分的厚度范围为100埃至1000埃。
可选地,去除所述侧墙的一部分在去除所述栅极结构的一部分之前或者之后。
可选地,去除所述侧墙的一部分的工艺包括干法刻蚀工艺;所述干法刻蚀工艺的刻蚀气体包括CF3I、O2、或者H2
可选地,在所述第一开口内形成栅极结构,包括在所述第一开口内依次形成栅介质层和栅极层;所述栅介质层覆盖所述第一开口暴露出的基底和侧墙的表面,所述栅极层位于所述栅介质层上,且填充满所述第一开口。
可选地,去除所述栅极结构的一部分的工艺包括:采用干法或者湿法刻蚀工艺去除所述栅介质层的一部分;采用干法刻蚀工艺去除所述栅极层的一部分。
可选地,所述覆盖层的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅。
可选地,所述侧墙的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。
可选地,所述伪栅极两侧的基底内形成有源/漏区,形成所述覆盖层之后,还包括在所述第一介质层内形成与所述源/漏区电连接的接触结构。
可选地,形成所述接触结构的方法包括:在所述第一介质层和覆盖层表面形成第二介质层;在所述第二介质层上形成图形化的光刻胶层,所述图形化的光刻胶层暴露出需要形成接触结构的区域;以所述图形化的光刻胶层为掩模,刻蚀所述第二介质层和第一介质层,在所述第二介质层和第一介质层中形成第三开口,所述第三开口的底部暴露出所述源/漏区;在所述第三开口中填充导电材料,平坦化所述导电材料,形成接触结构。
相应地,本发明实施例还提供一种半导体器件,包括:基底;位于所述基底上的栅极结构、第一介质层以及位于所述栅极结构两侧的侧墙,其中所述栅极结构和侧墙位于所述第一介质层内,且所述栅极结构和侧墙的顶表面低于所述第一介质层的顶表面;覆盖层,位于所述栅极结构和侧墙上,且所述覆盖层的顶表面与所述第一介质层的顶表面齐平;位于所述栅极结构两侧的基底内的源区或漏区;位于所述第一介质层和覆盖层上的第二介质层;接触结构,所述接触结构的底部与所述源区或漏区相接触,所述接触结构的侧壁分别与所述侧墙、覆盖层和第二介质层相接触。
可选地,所述侧墙的顶表面高于所述栅极结构的顶表面。
可选地,所述侧墙的顶表面低于或者等于所述栅极结构的顶表面。
可选地,所述侧墙的高度为所述第一介质层高度的50%至90%;所述栅极结构的高度为所述第一介质层高度的50%至80%。
可选地,所述覆盖层的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅。
可选地,所述侧墙的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。
与现有技术相比,本发明实施例的技术方案具有以下有益效果:
本发明实施例的半导体器件的形成方法,通过在去除伪栅极结构,形成栅极结构之后,分别去除所述侧墙的一部分和栅极结构的一部分,形成位于侧墙和栅极结构之上的覆盖层,从而使后续形成的接触结构在与源/漏区电连接的同时,能够通过位于侧墙之上的覆盖层与所述栅极结构相隔离,有效避免了形成接触结构的导电材料通过侧墙与栅极结构之间的缝隙与栅极结构相接触,进而引发短路的问题,提高了半导体器件的性能。
进一步地,本发明实施例的形成方法,在去除伪栅极结构,形成栅极结构之后,去除所述侧墙的一部分和栅极结构的一部分,相比于现有技术在去除伪栅极结构之前,刻蚀去除所述侧墙的一部分,制程更为简单,能够在栅极刻蚀机台中一站式完成。
进一步地,本发明实施例的形成方法中,去除的所述侧墙的一部分的厚度大于或者小于去除的所述栅极结构的一部分的厚度,均可达到相同的隔离效果。
本发明实施例的半导体器件,由于所述覆盖层位于侧墙和栅极结构之上,所述接触结构在与源/漏区电连接的同时,能够通过覆盖层与栅极结构之间相隔离,有效避免了接触结构与栅极结构相接触而引发短路的问题,提高了半导体器件的性能。
附图说明
图1至图9是本发明第一实施例的半导体器件的形成方法的中间结构的剖面结构示意图;
图10是本发明第二实施例的半导体器件的形成方法的中间结构的剖面结构示意图。
具体实施方式
本发明实施例提供一种半导体器件及其形成方法,下面结合附图加以详细的说明。
图1至图9是本发明第一实施例的半导体器件的形成方法的中间结构的剖面结构示意图。
参考图1,提供基底100,所述基底100表面形成有伪栅极结构101、第一介质层103以及位于所述伪栅极结构101两侧的侧墙102,其中所述伪栅极结构101和侧墙102位于所述第一介质层103内且与所述第一介质层103的顶表面齐平,所述伪栅极结构101两侧的基底100内形成有源/漏区104。
所述基底100可以为半导体衬底,包括单晶硅衬底、单晶锗衬底、硅锗衬底、碳化硅衬底、绝缘体上硅衬底或绝缘体上锗衬底。所述基底100还可以为半导体衬底以及形成于半导体衬底上的鳍部。在本实施例中,所述基底100为形成于半导体衬底上的鳍部。
所述侧墙102的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。在本实施例中,所述侧墙102的材料为氮化硅。
所述第一介质层103用于定义待形成的栅极结构的形状和位置,其材料可以为氧化硅、氮化硅、氮氧化硅、低K介质材料(介电常数大于或等于2.5、小于3.9)或超低K介质材料(介电系数小于2.5)。在本实施例中,所述第一介质层103的材料为氧化硅。
参考图2,去除所述伪栅极结构101,由此在所述侧墙102之间形成第一开口111。
去除所述伪栅极结构101的方法可以为干法刻蚀工艺。所述干法刻蚀工艺包括各向异性的干法刻蚀工艺或各向同性的干法刻蚀工艺。去除所述伪栅极结构101的工艺还能够为湿法刻蚀工艺。
在本实施例中,所述伪栅极结构101的材料为多晶硅,去除所述伪栅极结构101的方法为各向同性的干法刻蚀工艺。
参考图3,在所述第一开口111(如图2所示)内形成栅极结构120,所述栅极结构120的顶表面与所述第一介质层103的顶表面齐平。形成所述栅极结构120包括在第一开口111内依次形成栅介质层121和栅极层122。
在本实施例中,所述栅介质层121厚度较薄,覆盖所述第一开口111暴露出的基底100和侧墙102的部分表面;所述栅极层122位于所述栅介质层121上,且填充满所述第一开口111。
所述栅介质层121的材料为高K介质材料(介电系数大于3.9);所述高K介质材料包括氧化铪、氧化锆、氧化铪硅、氧化镧、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛或氧化铝。在本实施例中,所述栅介质层121的材料为氧化铪。
所述栅极层122的材料为铜、钨、铝、金或银。在本实施例中,所述栅极层122的材料为钨。在其他实施例中,在形成所述栅极层122之前,还包括在所述栅介质层121的表面形成隔离层,在所述隔离层表面形成功函数层,所述功函数层的材料为金属或金属化合物。
参考图4,分别去除所述侧墙102的一部分和栅极结构120的一部分,使剩余侧墙102与剩余栅极结构120的顶表面低于所述第一介质层103的顶表面,从而在第一介质层103内形成第二开口112。
需要说明的是,去除所述侧墙102的一部分可以在去除所述栅极结构120的一部分之前或者之后。
在本实施例中,先去除所述侧墙102的一部分(如图5所示),再去除所述栅极结构120的一部分(如图4所示)。在其它实施例中,先去除所述栅极结构120的一部分,再去除所述侧墙102的一部分。
在一些实施例中,去除的所述侧墙102的一部分的厚度大于去除的所述栅极结构120的一部分的厚度。在其它实施例中,去除的所述侧墙102的一部分的厚度小于或者等于去除的所述栅极结构120的一部分的厚度。去除的所述侧墙102的一部分的厚度范围为100埃至1000埃,去除的所述栅极结构120的一部分的厚度范围为100埃至1000埃。
在本实施例中,去除的所述侧墙102的一部分的厚度为200埃,去除的所述栅极结构120的一部分的厚度为500埃。去除的所述侧墙102的一部分的厚度小于去除的所述栅极结构120的一部分的厚度,剩余侧墙102的顶表面高于剩余栅极结构120的顶表面。
去除所述侧墙102的一部分的方法包括采用干法刻蚀工艺,所述干法刻蚀工艺为具有高选择比的刻蚀工艺,即刻蚀所述侧墙的速率高于刻蚀第一介质层和栅极结构的速率。所述干法刻蚀工艺的刻蚀气体包括CF3I、O2、或者H2中的一种或者其任意组合,刻蚀气体的流量范围为10标准毫升/分钟至2000标准毫升/分钟,压强范围为3毫托至500毫托,刻蚀功率为100瓦至3000瓦。在本实施例中,所述干法刻蚀工艺的刻蚀气体为CF3I,CF3I气体的流量为500标准毫升/分钟,压强为100毫托,刻蚀功率为800瓦。
去除所述栅极结构120的一部分包括分别去除所述栅介质层121的一部分和栅极层122的一部分。在本实施例中,去除的所述栅介质层121的一部分的厚度与去除的所述栅极层120的一部分的厚度相同。去除所述栅极结构120的一部分的工艺包括:采用干法或者湿法刻蚀工艺去除所述栅介质层121的一部分;以及采用干法刻蚀工艺去除所述栅极122层的一部分。
参考图6,形成填充所述第二开口112(如图4所示)且与所述第一介质层103表面齐平的覆盖层131。
形成所述覆盖层131的方法包括:形成覆盖层131填充满所述第二开口112;平坦化所述覆盖层131,使所述覆盖层131的顶表面与所述第一介质层103的顶表面齐平。平坦化所述覆盖层131的方法包括化学机械抛光、干法刻蚀、湿法刻蚀中的一种或者其任意组合。在本实施例中,采用等离子体刻蚀工艺对所述覆盖层131进行平坦化。
所述覆盖层131的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅、氧化硅、或者氧化铝。在本实施例中,所述覆盖层131的材料为氮化硅。
形成所述覆盖层131之后,还包括在所述第一介质层103内形成与所述源/漏区104电连接的接触结构151。形成所述接触结构151的方法包括采用自对准接触(SAC)技术。
参考图7至图9,是形成所述接触结构151的方法示意图。
参考图7,在所述第一介质层103和覆盖层131表面形成第二介质层141;在所述第二介质层141上形成图形化的光刻胶层(未示出),所述图形化的光刻胶层暴露出需要形成接触结构151的区域。
参考图8,以所述图形化的光刻胶层为掩模,刻蚀所述第二介质层141和第一介质层103,在所述第二介质层141和第一介质层103中形成第三开口113,所述第三开口113的底部暴露出所述源/漏区104。
参考图9,在所述第三开口113中填充导电材料,平坦化所述导电材料,形成接触结构151。
形成所述接触结构151的导电材料包括钨、铝、银、铬、钼、镍、钯、铂、钛、钽或者铜中的一种或多种,本发明对此不作任何限定。具体地,本实施例中,所述导电材料为铜,采用化学电镀(Electro chemical plating,ECP)的方法在所述第三开口113中填充导电材料。
由于所述接触结构151与所述栅极结构120之间通过所述覆盖层131和侧墙102隔离,且所述覆盖层131位于所述侧墙102之上横向延伸,能够覆盖所述侧墙102和栅极结构120之间可能存在的缝隙,从而避免了形成所述接触结构151的导电材料通过所述侧墙102与栅极结构120之间的缝隙与所述栅极层122相接触,引发短路的问题,提高了半导体器件的性能。
图10是本发明第二实施例的半导体器件的形成方法的中间结构的剖面结构示意图。
参考图10,本发明第二实施例的半导体器件的形成方法与第一实施例的不同之处仅在于:去除的所述侧墙102的一部分的厚度大于去除的所述栅极结构120的一部分的厚度。即形成的所述侧墙102的顶表面低于所述栅极结构120的顶表面。
在本发明第二实施例的形成方法中,形成所述侧墙102、第一介质层103、源/漏区104、栅极结构120、覆盖层131、第二介质层141以及接触结构151的方法可参考本发明第一实施例的说明,在此不再赘述。
在本实施例中,所述覆盖层131依然能够覆盖所述侧墙102和栅极结构120之间可能存在的缝隙,从而避免了形成所述接触结构151的导电材料通过所述侧墙102与栅极结构120之间的缝隙与所述栅极层122相接触,引发短路的问题,提高了半导体器件的性能。
相应地,本发明实施例还提供一种半导体器件。
继续参考图9,是本发明第一实施例的半导体器件的剖面结构示意图。所述半导体器件包括:基底100;位于所述基底100上的栅极结构120、第一介质层103以及位于所述栅极结构120两侧的侧墙102,其中所述栅极结构120和侧墙102位于所述第一介质层103内,且所述栅极结构120和侧墙102的顶表面低于所述第一介质层102的顶表面;覆盖层131,位于所述栅极结构120和侧墙102上,且所述覆盖层131的顶表面与所述第一介质层103的顶表面齐平;位于所述栅极结构120两侧的基底100内的源/漏区104;第二介质层141,位于所述第一介质层103和覆盖层131上;接触结构151,所述接触结构151的底部与所述源/漏区104相接触,且所述接触结构151的侧壁分别与所述侧墙102、覆盖层131和第二介质层141相接触。
在一些实施例中,所述侧墙102的顶表面高于所述栅极结构120的顶表面。在其它实施例中,所述侧墙102的顶表面低于或者等于所述栅极结构120的顶表面。所述侧墙102的高度为所述第一介质层103高度的50%~90%;所述栅极结构120的高度为所述第一介质层103高度的50%~80%。
在本实施例中,所述侧墙102的顶表面高于所述栅极结构120的顶表面。所述侧墙102的高度为所述第一介质层103高度的70%;所述栅极结构120的高度为所述第一介质层103高度的50%。
所述基底100可以为半导体衬底,包括单晶硅衬底、单晶锗衬底、硅锗衬底、碳化硅衬底、绝缘体上硅衬底或绝缘体上锗衬底。所述基底100还可以为半导体衬底以及形成于半导体衬底上的鳍部。在本实施例中,所述基底100为形成于半导体衬底上的鳍部。
所述侧墙102的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。在本实施例中,所述侧墙102的材料为氮化硅。
所述栅极结构120包括栅介质层121和栅极层122,其中所述栅介质层121覆盖部分基底100与侧墙102的侧壁,所述栅极层122形成于栅介质层121上。
所述栅介质层121的材料为高K介质材料(介电系数大于3.9);所述高K介质材料包括氧化铪、氧化锆、氧化铪硅、氧化镧、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛或氧化铝。在本实施例中,所述栅介质层121的材料为氧化铪。
所述栅极层122的材料为铜、钨、铝、金或银。在本实施例中,所述栅极层122的材料为钨,在形成所述栅极层122之前,还包括在所述栅介质层121表面形成隔离层、在所述隔离层表面形成功函数层,所述功函数层的材料为金属或金属化合物。
所述覆盖层131的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅、氧化硅、或者氧化铝。在本实施例中,所述覆盖层131的材料为氮化硅。
所述接触结构151的材料包括钨、铝、银、铬、钼、镍、钯、铂、钛、钽或者铜中的一种或多种,本发明对此不作任何限定。具体地,本实施例中,所述接触结构151的材料为铜。
参考图10,是本发明第二实施例的半导体器件的剖面结构示意图。本发明第二实施例的半导体器件与第一实施例的不同之处仅在于:所述侧墙102的高度小于所述栅极结构120的高度。在本实施例中,所述侧墙102的高度为所述第一介质层103高度的50%;所述栅极结构120的高度为所述第一介质层103高度的70%。
所述栅极结构120、第一介质层103、侧墙102、覆盖层131、源/漏区104、第二介质层141以及接触结构151的结构均可参考本发明第一实施例的说明,在此不再赘述。
综上所述,本发明实施例的半导体器件的形成方法,通过在去除伪栅极结构,形成栅极结构之后,分别去除所述侧墙的一部分和栅极结构的一部分,形成位于侧墙和栅极结构之上的覆盖层,从而使后续形成的接触结构在与源/漏区电连接的同时,能够通过位于侧墙之上的覆盖层与所述栅极结构相隔离,有效避免了形成接触结构的导电材料通过侧墙与栅极结构之间的缝隙与栅极结构相接触,进而引发短路的问题,提高了半导体器件的性能。此外,本发明实施例的形成方法,在去除伪栅极结构,形成栅极结构之后,去除所述侧墙的一部分和栅极结构的一部分,相比于现有技术在去除伪栅极结构之前,刻蚀去除所述侧墙的一部分,制程更为简单,能够在栅极刻蚀机台中一站式完成。
本发明实施例的半导体器件,由于所述覆盖层位于侧墙和栅极结构之上,所述接触结构在与源/漏区电连接的同时,能够通过覆盖层与栅极结构之间相隔离,有效避免了接触结构与栅极结构相接触而引发短路的问题,提高了半导体器件的性能。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (19)

1.一种半导体器件的形成方法,其特征在于,包括:
提供基底,所述基底表面形成有伪栅极结构、第一介质层以及位于所述伪栅极结构两侧的侧墙,其中所述伪栅极结构和侧墙位于所述第一介质层内且与所述第一介质层的顶表面齐平;
去除所述伪栅极结构,在所述侧墙之间形成第一开口;
在所述第一开口内形成栅极结构,所述栅极结构的顶表面与所述第一介质层的顶表面齐平;
分别去除所述侧墙的一部分和所述栅极结构的一部分,使剩余侧墙与剩余栅极结构的顶表面低于所述第一介质层的顶表面,从而在第一介质层内形成第二开口;
形成填充所述第二开口的覆盖层,所述覆盖层覆盖剩余栅极结构和剩余侧墙的顶表面,且与所述第一介质层的顶表面齐平。
2.如权利要求1所述的半导体器件的形成方法,其特征在于,去除的所述侧墙的一部分的厚度大于去除的所述栅极结构的一部分的厚度。
3.如权利要求1所述的半导体器件的形成方法,其特征在于,去除的所述侧墙的一部分的厚度小于或者等于去除的所述栅极结构的一部分的厚度。
4.如权利要求1所述的半导体器件的形成方法,其特征在于,去除的所述侧墙的一部分的厚度范围为100埃至1000埃。
5.如权利要求1所述的半导体器件的形成方法,其特征在于,去除的所述栅极结构的一部分的厚度范围为100埃至1000埃。
6.如权利要求1所述的半导体器件的形成方法,其特征在于,去除所述侧墙的一部分在去除所述栅极结构的一部分之前或者之后。
7.如权利要求1所述的半导体器件的形成方法,其特征在于,去除所述侧墙的一部分的工艺包括干法刻蚀工艺;所述干法刻蚀工艺的刻蚀气体包括CF3I、O2、或者H2
8.如权利要求1所述的半导体器件的形成方法,其特征在于,在所述第一开口内形成栅极结构,包括在所述第一开口内依次形成栅介质层和栅极层;所述栅介质层覆盖所述第一开口暴露出的基底和侧墙的表面,所述栅极层位于所述栅介质层上,且填充满所述第一开口。
9.如权利要求8所述的半导体器件的形成方法,其特征在于,去除所述栅极结构的一部分的方法包括:
采用干法或者湿法刻蚀工艺去除所述栅介质层的一部分;
采用干法刻蚀工艺去除所述栅极层的一部分。
10.如权利要求1所述的半导体器件的形成方法,其特征在于,所述覆盖层的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅中的一种或者其任意组合。
11.如权利要求1所述的半导体器件的形成方法,其特征在于,所述侧墙的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。
12.如权利要求1所述的半导体器件的形成方法,其特征在于,所述伪栅极两侧的基底内形成有源/漏区,形成所述覆盖层之后,还包括在所述第一介质层内形成与所述源/漏区电连接的接触结构。
13.如权利要求12所述的半导体器件的形成方法,其特征在于,形成所述接触结构的方法包括:
在所述第一介质层和覆盖层表面形成第二介质层;
在所述第二介质层上形成图形化的光刻胶层,所述图形化的光刻胶层暴露出需要形成接触结构的区域;
以所述图形化的光刻胶层为掩模,刻蚀所述第二介质层和第一介质层,在所述第二介质层和第一介质层中形成第三开口,所述第三开口的底部暴露出所述源/漏区;
在所述第三开口中填充导电材料,平坦化所述导电材料,形成接触结构。
14.一种半导体器件,其特征在于,包括:
基底;
位于所述基底上的栅极结构、第一介质层以及位于所述栅极结构两侧的侧墙,其中所述栅极结构和侧墙位于所述第一介质层内,且所述栅极结构和侧墙的顶表面低于所述第一介质层的顶表面;
覆盖层,位于所述栅极结构和侧墙上,且所述覆盖层的顶表面与所述第一介质层的顶表面齐平;
位于所述栅极结构两侧的基底内的源/漏区;
位于所述第一介质层和覆盖层上的第二介质层;
接触结构,所述接触结构的底部与所述源/漏区相接触,所述接触结构的侧壁分别与所述侧墙、覆盖层和第二介质层相接触。
15.如权利要求14所述的半导体器件,其特征在于,所述侧墙的顶表面高于所述栅极结构的顶表面。
16.如权利要求14所述的半导体器件,其特征在于,所述侧墙的顶表面低于或者等于所述栅极结构的顶表面。
17.如权利要求14所述的半导体器件,其特征在于,所述侧墙的高度为所述第一介质层高度的50%至90%;所述栅极结构的高度为所述第一介质层高度的50%至80%。
18.如权利要求14所述的半导体器件,其特征在于,所述覆盖层的材料包括氮化硅、氮化钛、碳化硅、氮氧化硅、掺杂碳的氮氧化硅。
19.如权利要求14所述的半导体器件,其特征在于,所述侧墙的材料包括氮化硅、氮氧化硅或掺杂碳的氮氧化硅中的一种或者其任意组合。
CN201610216931.4A 2016-04-08 2016-04-08 半导体器件及其形成方法 Pending CN107275214A (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201610216931.4A CN107275214A (zh) 2016-04-08 2016-04-08 半导体器件及其形成方法
US15/436,897 US20170294535A1 (en) 2016-04-08 2017-02-20 Semiconductor device and fabrication method thereof
EP17163841.4A EP3229263A1 (en) 2016-04-08 2017-03-30 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610216931.4A CN107275214A (zh) 2016-04-08 2016-04-08 半导体器件及其形成方法

Publications (1)

Publication Number Publication Date
CN107275214A true CN107275214A (zh) 2017-10-20

Family

ID=58464300

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610216931.4A Pending CN107275214A (zh) 2016-04-08 2016-04-08 半导体器件及其形成方法

Country Status (3)

Country Link
US (1) US20170294535A1 (zh)
EP (1) EP3229263A1 (zh)
CN (1) CN107275214A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113327980A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113437066A (zh) * 2021-06-23 2021-09-24 福建省晋华集成电路有限公司 半导体结构及其制作方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US11232947B1 (en) * 2020-09-01 2022-01-25 Taiwan Semiconductor Manufacturing Company Limited Ammonium fluoride pre-clean protection
KR20220128562A (ko) * 2021-03-12 2022-09-21 삼성전자주식회사 반도체 소자

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110298017A1 (en) * 2010-06-08 2011-12-08 International Business Machines Corporation Replacement gate mosfet with self-aligned diffusion contact
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
CN104851806A (zh) * 2014-02-14 2015-08-19 台湾积体电路制造股份有限公司 反向调节自对准接触件
US20160056262A1 (en) * 2014-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0854502A3 (en) * 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US20130181265A1 (en) * 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US8835244B2 (en) * 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
US9257348B2 (en) * 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US20150187945A1 (en) * 2014-01-02 2015-07-02 Globalfoundries Inc. Salicide protection during contact metallization and resulting semiconductor structures
KR102191219B1 (ko) * 2014-05-14 2020-12-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9570319B2 (en) * 2014-05-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US9634115B2 (en) * 2014-06-11 2017-04-25 Globalfoundries Inc. Methods of forming a protection layer on a semiconductor device and the resulting device
KR102276642B1 (ko) * 2014-07-28 2021-07-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US9824920B2 (en) * 2016-04-04 2017-11-21 Globalfoundries Inc. Methods of forming self-aligned contact structures by work function material layer recessing and the resulting devices
US9666533B1 (en) * 2016-06-30 2017-05-30 International Business Machines Corporation Airgap formation between source/drain contacts and gates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110298017A1 (en) * 2010-06-08 2011-12-08 International Business Machines Corporation Replacement gate mosfet with self-aligned diffusion contact
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
CN104851806A (zh) * 2014-02-14 2015-08-19 台湾积体电路制造股份有限公司 反向调节自对准接触件
US20160056262A1 (en) * 2014-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113327980A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113327980B (zh) * 2020-02-28 2023-03-28 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113437066A (zh) * 2021-06-23 2021-09-24 福建省晋华集成电路有限公司 半导体结构及其制作方法
CN113437066B (zh) * 2021-06-23 2024-04-12 福建省晋华集成电路有限公司 半导体结构及其制作方法

Also Published As

Publication number Publication date
EP3229263A1 (en) 2017-10-11
US20170294535A1 (en) 2017-10-12

Similar Documents

Publication Publication Date Title
US11651993B2 (en) Etch stop layer for semiconductor devices
TWI617034B (zh) 半導體裝置及其製造方法
US11476156B2 (en) Semiconductor device structures
CN107275214A (zh) 半导体器件及其形成方法
TWI518755B (zh) 積體電路結構及其製作方法
TW201916256A (zh) 半導體裝置的形成方法
CN109860117A (zh) 用于减小晶体管间隔的切割金属栅极工艺
CN106920771A (zh) 金属栅晶体管源漏区接触塞的制作方法
TWI686880B (zh) 半導體裝置和其製造方法
TW201732899A (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
TWI615969B (zh) 形成半導體裝置結構的方法
CN109427890A (zh) 半导体元件
CN103681604B (zh) 带有自对准接触孔的半导体器件及其制备方法
CN110299320A (zh) 半导体装置以及其制作方法
CN107039335B (zh) 半导体结构的形成方法
CN110060955A (zh) 半导体元件及其制作方法
CN106571333A (zh) 制造半导体装置的方法
CN106558608B (zh) 半导体器件及其形成方法
TW201635533A (zh) 半導體結構及其製造方法
US20220238667A1 (en) Semiconductor structure and forming method thereof
CN106298669A (zh) 半导体器件的形成方法
CN109427650A (zh) 半导体结构及其形成方法
CN107331646A (zh) 半导体结构及其形成方法
US12027415B2 (en) Semiconductor device structures
US11769770B2 (en) Methods of forming a semiconductor device having an air spacer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20171020