TW201732899A - 半導體元件、鰭式場效電晶體元件及其形成方法 - Google Patents

半導體元件、鰭式場效電晶體元件及其形成方法 Download PDF

Info

Publication number
TW201732899A
TW201732899A TW105142924A TW105142924A TW201732899A TW 201732899 A TW201732899 A TW 201732899A TW 105142924 A TW105142924 A TW 105142924A TW 105142924 A TW105142924 A TW 105142924A TW 201732899 A TW201732899 A TW 201732899A
Authority
TW
Taiwan
Prior art keywords
layer
gate stack
dielectric layer
gate
dielectric
Prior art date
Application number
TW105142924A
Other languages
English (en)
Other versions
TWI759277B (zh
Inventor
張哲誠
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201732899A publication Critical patent/TW201732899A/zh
Application granted granted Critical
Publication of TWI759277B publication Critical patent/TWI759277B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

本發明實施例揭露半導體元件、鰭式場效電晶體元件及其形成方法。根據一些實施例,半導體元件包括基底、第一閘堆疊、第一介電層、遮蔽層及連接件。所述第一閘堆疊位於基底之上。所述第一介電層位於所述第一閘堆疊側邊,其中所述第一閘堆疊的頂表面低於所述第一介電層的頂表面,從而在所述第一閘堆疊上方提供第一凹陷。所述遮蔽層位於所述第一凹陷的表面上且延伸至所述第一介電層的所述頂表面上。所述連接件穿過所述遮蔽層並電性連接至所述第一閘堆疊。

Description

半導體元件、鰭式場效電晶體元件及其形成方法
本發明實施例是關於半導體元件、鰭式場效電晶體元件及其形成方法。
半導體積體電路(integrated circuit,IC)產業經歷了快速的成長。在IC材料和設計技術方面的技術精進使IC有世代的演進,相較於前一世代,下一世代的IC體積更小且電路更為複雜。在積體電路進化的過程中,功能密度(亦即,每晶圓面積的內連元件的數量)不斷地增加,而幾何尺寸(即,可使用製造過程所產生的最小組件或線)不斷地縮小。這樣的按比例縮小製程通常通過提高生產效率以及降低相關成本來提供益處。
這種按比例縮小增加了處理和製造IC的複雜性,並且為了實現這些進步,需要IC處理和製造中的類似發展。舉例來說,引進例如鰭式場效電晶體(fin-type field-effect transistor,FinFET)的三維電晶體來替換平面電晶體。儘管現有的鰭式場效電晶體元件及其形成方法對於它們的預期目的通常已經足夠,然而它們不是在所有方面都令人完全滿意。
根據本發明的一些實施例,一種半導體元件包括基底、第一閘堆疊、第一介電層、遮蔽層及連接件。所述第一閘堆疊位於基底之上。所述第一介電層位於所述第一閘堆疊側邊,其中所述第一閘堆疊的頂表面低於所述第一介電層的頂表面,從而在所述第一閘堆疊上方提供第一凹陷。所述遮蔽層位於所述第一凹陷的表面上且延伸至所述第一介電層的所述頂表面上。所述連接件穿過所述遮蔽層並電性連接至所述第一閘堆疊。
以下揭露內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。以下闡述構件及排列的具體實例以簡化本揭露內容。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第二特徵形成於第一特徵“之上”或第一特徵“上”可包括其中第二特徵及第一特徵被形成為直接接觸的實施例,且也可包括其中第二特徵與第一特徵之間可形成有附加特徵、進而使得所述第二特徵與所述第一特徵可能不直接接觸的實施例。另外,本揭露內容可能在各種實例中重複參考編號及/或字母。這種重複是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“之下(beneath)”、“下面(below)”、“下部的(lower)”、“位於…上(on)”、“位於…之上(over)”、“上覆的(overlying)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個構件或特徵與另一(其他)構件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外更囊括元件在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所用的空間相對性描述語可同樣相應地進行解釋。
圖1A至圖1F是根據一些實施例的形成鰭式場效電晶體元件的方法的剖面示意圖。
參照圖1A,提供具有一或多個鰭102的基底100。在一些實施例中,基底100包括含矽的基底、絕緣體上矽(silicon-on-insulator,SOI)基底、或由其他合適的半導體材料形成的基底。根據設計要求,基底100可為P型基底或N型基底且在基底100中可具有摻雜區。所述摻雜區可被配置用於N型鰭式場效電晶體元件或P型鰭式場效電晶體元件。在一些實施例中,基底100上形成有隔離層。具體來說,所述隔離層覆蓋鰭102的下部部分且暴露出鰭102的上部部分。在一些實施例中,所述隔離層為淺溝渠隔離(shallow trench isolation,STI)結構。
在一些實施例中,基底100具有形成於基底100上的至少兩個閘堆疊111、形成於閘堆疊111的側壁上的間隙壁104、形成於基底100中的應變層106、及形成於閘堆疊111側邊及應變層106之上的第一介電層108。
在一些實施例中,形成圖1A所示的中間結構的方法包括:形成跨越鰭102的兩個虛設閘堆疊;在所述虛設閘堆疊的側壁上形成間隙壁104;在每一鰭102的兩側處形成應變層106;在所述虛設閘堆疊側邊及應變層106之上形成第一介電層108;且以閘堆疊111替換所述虛設閘堆疊。
在一些實施例中,虛設閘堆疊包括例如多晶矽、非晶矽或其組合等含矽的材料。在一些實施例中,虛設閘堆疊的延伸方向不同於(例如,垂直於)鰭102的延伸方向。在一些實施例中,形成虛設閘堆疊的方法包括在基底100上形成堆疊層,且利用微影(photolithography)製程及蝕刻製程將所述堆疊層圖案化。
在一些實施例中,間隙壁104包括含氮的介電材料、含碳的介電材料、或二者,且間隙壁104具有小於約10、或甚至小於約5的介電常數。在一些實施例中,間隙壁104包括SiN、SiCN、SiOCN、SiOR(其中R是例如CH3 、C2 H5 、或C3 H7 等烷基(alkyl group))、SiC、SiOC、SiON或其組合等。在一些實施例中,形成間隙壁104的方法包括在基底100上形成間隙壁材料層,並通過非等向性蝕刻(anisotropic etching)製程局部地移除所述間隙壁材料層。
在一些實施例中,在各虛設閘堆疊兩側形成兩個應變層106,且應變層106中的一者位於鄰近的虛設閘堆疊之間。在一些實施例中,對於P型鰭式場效電晶體元件,應變層106包括矽鍺(SiGe)。在替代實施例中,對於N型鰭式場效電晶體元件,應變層106包括碳化矽(SiC)、磷化矽(SiP)、SiCP、或SiC/SiP多層式結構。在一些實施例中,應變層106可根據需要視情況而植入有P型摻質或N型摻質。在一些實施例中,形成應變層106的方法包括在鰭102中形成凹陷,並自所述凹陷生長磊晶層(epitaxy layer)。具體來說,應變層106形成於所述凹陷內且沿對應的間隙壁104的側壁向上延伸。在一些實施例中,應變層106的頂部位於基底100的表面上方。在替代實施例中,應變層106的頂部與基底100的表面實質上共面。
在一些實施例中,第一介電層108包括例如氮化矽等氮化物、例如氧化矽等氧化物、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)或其組合等,且第一介電層108是通過例如旋轉塗布(spin-coating)、化學氣相沉積(chemical vapor deposition,CVD)、流動式化學氣相沉積(flowable CVD)、電漿增強型化學氣相沉積(plasma enhanced CVD,PECVD)、原子層沉積(atomic layer deposition,ALD)或其組合等合適的沉積技術而形成。在一些實施例中,第一介電層108的頂表面與虛設閘堆疊的頂表面實質上齊平。在一些實施例中,在形成應變層106的步驟之後且在形成第一介電層108的步驟之前,形成接觸蝕刻終止層(contact etch stop layer,CESL),且所述接觸蝕刻終止層包括SiN、SiC或類似材料。
在一些實施例中,以閘堆疊111來替換虛設閘堆疊。在一些實施例中,移除虛設閘堆疊以在第一介電層108中形成閘溝渠,接著,在所述閘溝渠中形成閘堆疊111。在一些實施例中,形成閘堆疊111的方法包括通過化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積、鍍敷、或合適的製程來形成堆疊層,接著,執行化學機械研磨(chemical mechanical polishing,CMP)製程以移除位於所述閘溝渠外的堆疊層。
在一些實施例中,閘堆疊111中的每一者包括閘介電層110及位於閘介電層110上的閘極112(或稱作“替換性閘極(replacement gate)”)。在一些實施例中,閘堆疊111的延伸方向不同於(例如,垂直於)鰭102的延伸方向。在一些實施例中,如圖1A中所示,閘介電層110中的每一者環繞對應的閘極112的側壁及底部且位於對應的鰭102的頂部及側壁上。在一些實施例中,在閘介電層110與每一鰭102之間形成例如氧化矽層等介面層(interfacial layer)。
在一些實施例中,閘介電層110中的每一者包括介電常數大於約10的高k材料。在一些實施例中,所述高k材料包括例如ZrO2 、Gd2 O3 、HfO2 、BaTiO3 、Al2 O3 、LaO2 、TiO2 、Ta2 O5 、Y2 O3 、STO、BTO、BaZrO、HfZrO、HfLaO、HfTaO、HfTiO或其組合等金屬氧化物、或合適的材料。在替代實施例中,閘介電層110可視情況包括例如HfSiO、LaSiO、AlSiO或其組合等矽酸鹽(silicate)、或合適的材料。
在一些實施例中,閘極112中的每一者包括合適於形成金屬閘極或其部分的金屬材料。在一些實施例中,閘極112中的每一者包括功函數(work function)金屬層及位於所述功函數金屬層上的填充金屬層。所述功函數金屬層是N型功函數金屬層或P型功函數金屬層。在一些實施例中,N型功函數金屬層包括TiAl、TiAlN、或TaCN、導電性金屬氧化物、及/或合適的材料。在替代實施例中,P型功函數金屬層包括TiN、WN、或TaN、導電性金屬氧化物、及/或合適的材料。填充金屬層包括銅、鋁、鎢、或合適的材料。在一些實施例中,閘極112中的每一者可更包括襯層(liner layer)、介面層(interface layer)、晶種層、黏著層、阻障層(barrier layer)或其組合等。
參照圖1B,局部地移除閘堆疊111,從而在剩餘的閘堆疊111上方相應地提供凹陷114。在一些實施例中,移除閘堆疊111的上部部分以形成凹陷114,凹陷114暴露出閘極112的表面及間隙壁104的上部側壁。具體來說,通過回蝕刻(etching back)製程來移除部分閘極112及部分閘介電層110,且由凹陷114暴露出剩餘的閘極112及剩餘的閘介電層110。在一些實施例中,凹陷114中的一者位於兩個鄰近的間隙壁104之間或位於第一介電層108的各部分之間。在圖1B所示的局部移除步驟中,閘堆疊111的頂表面低於第一介電層108的頂表面。
參照圖1C,在凹陷114的表面上及第一介電層108的頂表面上形成遮蔽層116。在一些實施例中,遮蔽層116毯覆形成於閘堆疊111、間隙壁104及第一介電層108上。在一些實施例中,遮蔽層116與閘極112、間隙壁104及第一介電層108實體接觸(physical contact)。在一些實施例中,如圖1B中所示,遮蔽層116與閘介電層110實體接觸。在替代實施例中,遮蔽層116不與閘介電層110實體接觸。
具體而言,遮蔽層116共形地形成於閘極112的頂表面、間隙壁104的上部側壁及頂部、以及第一介電層108的頂表面上,從而在間隙壁104的頂角(top corners)周圍提供多個轉折點(turning points)。具體來說,在鄰近的間隙壁104的頂角周圍提供兩個相對的轉折點TP1及TP2。在一些實施例中,遮蔽層116包括SiN、SiC、SiCN、SiON、SiCON或其組合等,且遮蔽層116是通過例如化學氣相沉積、電漿增強型化學氣相沉積、原子層沉積、遠程電漿原子層沉積(remote plasma ALD,RPALD)、電漿增強型原子層沉積(plasma-enhanced ALD,PEALD)或其組合等合適的沉積技術而形成。
在一些實施例中,遮蔽層116的厚度對隨後的接觸孔(contact hole)界定步驟至關重要。當遮蔽層116過薄時,易於發生習知的過蝕刻(over-etching)。當遮蔽層116過厚時,能夠輕易地觀察到習知的蝕刻不足(under-etched)膜剩餘物。在一些實施例中,遮蔽層116具有約3埃(angstroms)至200埃的厚度。
繼續參照圖1C,在遮蔽層116之上形成第二介電層118,且第二介電層118填入凹陷114中。在一些實施例中,第二介電層118毯覆形成於基底100上且填滿凹陷114。在一些實施例中,第二介電層118包括與第一介電層108的材料相同的材料。在替代實施例中,第二介電層118與第一介電層108是由不同的材料製成。在一些實施例中,第二介電層118包括例如氮化矽等氮化物、例如氧化矽等氧化物、磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃或其組合等,且第二介電層118是通過例如旋轉塗布、化學氣相沉積、流動式化學氣相沉積、電漿增強型化學氣相沉積、原子層沉積或其組合等合適的沉積技術而形成。
參照圖1D,圖案化或局部地移除第二介電層118,以形成對應於閘堆疊111的閘極112中的一者的開口120(或稱作“接觸孔”)。在一些實施例中,在第二介電層118上形成例如光阻層等罩幕層119,來覆蓋非目的地區域並暴露出例如後續形成的接觸孔的所期望的位置等目的地區域。之後,使用罩幕層119作為蝕刻罩幕且使用遮蔽層116作為蝕刻終止層來移除部分第二介電層118。在一些實施例中,開口120具有傾斜的或彎曲的側壁並暴露出部分遮蔽層116。在一些實施例中,開口120暴露出遮蔽層116的一個轉折點TP1,同時覆蓋遮蔽層116的另一轉折點TP2。
參照圖1E,對開口120進行加深,直至開口120暴露出閘堆疊111的閘極112中的一者。在一些實施例中,使用罩幕層119及第二介電層118作為蝕刻罩幕來移除部分遮蔽層116。接著,移除罩幕層119。在一些實施例中,開口120可根據需要形成為插塞(plug)、柱(pillar)、帶(strip)、壁(wall)或任何合適的形狀。
在一些實施例中,開口120是由多個蝕刻步驟(例如,圖1D及圖1E中所示的步驟)而非單一個蝕刻步驟來界定。這種多步驟蝕刻(multi-step etching)有益於提供更好的蝕刻輪廓。具體來說,當發生不合意的過蝕刻及/或未對準(misalignment)時,遮蔽層116有效地保護鄰近的間隙壁及介電材料不被損壞。此外,可通過提供具有不同的蝕刻速率的第二介電層118及其下面的遮蔽層116來輕易地控制蝕刻輪廓。
參照圖1F,在開口120中形成連接件122。在一些實施例中,連接件122旨在代表任意類型的導電性材料及結構,且連接件122電性連接至對應的閘極112。在一些實施例中,連接件122包括例如W、Cu、或其合金等金屬、或具有合適的電阻及間隙填充(gap-fill)能力的任意金屬材料。在一些實施例中,在基底100上形成金屬層,且金屬層填入開口120中。通過濺鍍(sputtering)、化學氣相沉積、電化學鍍敷(electrochemical plating,ECP)或其組合等形成金屬層。接著,執行例如化學機械研磨等平坦化步驟來移除部分金屬層,直至暴露出第二介電層118的頂部為止。在一些實施例中,連接件122的頂表面與第二介電層118的頂表面實質上共面。由此完成本發明實施例的鰭式場效電晶體元件10的製作。
此外,當元件的尺寸縮減時,用於接觸孔界定步驟的製程裕度相當小。當發生過蝕刻及/或未對準時,若僅在閘極上提供習知的頂蓋層,則在接觸孔界定步驟期間鄰近的間隙壁及介電材料仍可能被損壞。因此,開口或接觸孔的輪廓發生變形且元件的可靠性/洩漏裕度(reliability/leakage window)變窄。然而,在本發明實施例中並未觀察到這種問題。具體來說,本發明實施例的遮蔽層116提供了改良的保護效果,這是因為遮蔽層116不僅形成於閘極112上,而且也形成於鄰近的間隙壁104及第一介電層108上。這樣一來,界定開口120的第一蝕刻步驟(例如,圖1D所示的步驟)在遮蔽層116的表面上終止,而不會損壞鄰近的間隙壁及介電材料。此外,遮蔽層116及第二介電層118具有不同的蝕刻速率,使得界定開口120的第二蝕刻步驟(例如,圖1E所示的步驟)在閘極112的表面上終止,而不會對閘極112造成過蝕刻或損壞。
在一些實施例中,如圖2中所示,當發生過蝕刻及/或未對準時,開口120的位置發生位移及/或開口120的形狀發生變形,且因此,開口120形成有階梯狀側壁(stepped sidewall)且更暴露出遮蔽層116的頂表面。在本發明實施例中,遮蔽層116及第二介電層118以此方式安置,因此在所述接觸孔界定步驟期間,不會對鄰近的間隙壁及介電材料進行側面蝕刻/損壞及/或對閘極的上部部分進行垂直蝕刻/損壞,且因此,接觸窗輪廓及元件性能得到顯著改良。
可參照圖3所示流程圖來簡要說明圖1A至圖1F所示的所述製程步驟。
在步驟200中,如圖1A中所示,提供基底100,基底100具有形成於基底100上的第一閘堆疊(例如,左閘堆疊111)及形成於第一閘堆疊側邊的第一介電層108。在一些實施例中,基底100更具有形成於基底100上的第二閘堆疊(例如,右閘堆疊111)及形成於所述第二閘堆疊側邊的第一介電層108。具體來說,第一介電層108環繞所述第一閘堆疊及所述第二閘堆疊(例如,左閘堆疊111及右閘堆疊111)的側壁,並暴露出所述第一閘堆疊及所述第二閘堆疊的頂表面。在一些實施例中,在第一介電層108與第一閘堆疊及第二閘堆疊中的每一者之間形成間隙壁104。
在步驟202中,如圖1B中所示,局部地移除所述第一閘堆疊(例如,左閘堆疊111),從而在剩餘的第一閘堆疊上方提供第一凹陷(例如,左凹陷114)。在一些實施例中,在局部地移除所述第一閘堆疊的步驟期間,所述第二閘堆疊(例如,右閘堆疊111)被局部地移除,從而在所述第二閘堆疊上方提供第二凹陷(例如,右凹陷114)。
在步驟204中,如圖1C中所示,在所述第一凹陷(例如,左凹陷114)的表面上及在第一介電層108的頂表面上形成遮蔽層116。在一些實施例中,更在第二凹陷(例如,右凹陷114)的整個表面上及在間隙壁104的頂部上形成遮蔽層116。
在步驟206中,如圖1C中所示,在遮蔽層116之上形成第二介電層118,且第二介電層118填入所述第一凹陷(例如,左凹陷114)中。在一些實施例中,第二介電層118更填入所述第二凹陷(例如,右凹陷114)中。
在步驟208中,如圖1D至圖1F中所示,形成穿過第二介電層118及遮蔽層116的連接件122。在一些實施例中,如圖1D中所示,局部地移除第二介電層118,以在第二介電層118中形成開口120。之後,如圖1E中所示,對開口120進行加深,直至暴露出所述第一閘堆疊(例如,左閘堆疊111)的第一閘極(例如,左閘極112)的頂表面。之後,如圖1F中所示,將連接件122填入開口120中。在一些實施例中,沒有連接件與位於所述第一閘堆疊側邊的第二閘堆疊(例如,右閘堆疊111)實體接觸。通過所闡述的製程步驟,完成本發明實施例的鰭式場效電晶體元件的製作。然而,在製作鰭式場效電晶體元件的以上步驟中,本發明實施例並未限制增添一或多個附加步驟。
在上述實施例中,實作“後閘極(gate last)”製程以形成鰭式場效電晶體元件。然而,可使用與本文中所述者相似的製程來應用例如“先閘極(gate first)”製程等另一製程。本文中所揭露的方法可易於與互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)製程整合於一起,且不要求進行附加的複雜步驟來實現合意的結果。可以理解,本文中所揭露的實施例提供不同的優點,且對於所有實施例來說未必要求實現任何特定優點。
參照圖1F及圖2來闡述本發明實施例的鰭式場效電晶體元件的結構。
在一些實施例中,鰭式場效電晶體元件10/20包括基底100、第一閘堆疊(例如,左閘堆疊111)、第一介電層108、遮蔽層116、第二介電層118、及連接件122。基底100具有至少一個鰭102。所述第一閘堆疊跨越至少一個鰭102。第一介電層108位於所述第一閘堆疊側邊。在一些實施例中,所述第一閘堆疊的頂表面低於所述第一介電層108的頂表面,從而在所述第一閘堆疊上方提供第一凹陷(例如,左凹陷114)。第二介電層118位於第一介電層108之上並填入所述第一凹陷中。連接件122穿過第二介電層118並電性連接至所述第一閘堆疊。遮蔽層116位於第一介電層108與第二介電層118之間且與連接件122實體接觸。
在一些實施例中,遮蔽層116與連接件122的一側之間的接觸面積A1大於遮蔽層116與連接件122的另一側之間的接觸面積A2。根據另一觀點,在某個剖面中,遮蔽層116與連接件122的一側“面接觸(surface contact)”,同時與連接件122的另一側“點接觸(point contact)”。然而,本發明實施例並非僅限於此。在替代實施例中,遮蔽層116與連接件122的一側之間的接觸面積A1可實質上相同於遮蔽層116與連接件122的另一側之間的接觸面積A2。
在一些實施例中,如圖1F及圖2中所示,連接件122與遮蔽層116的一個轉折點TP1實體接觸,但不與遮蔽層116的另一轉折點TP2實體接觸。然而,本發明實施例並非僅限於此。在替代實施例中,連接件122與遮蔽層116的相對的轉折點TP1及TP2實體接觸。在又一些替代實施例中,連接件122不與遮蔽層116的相對的轉折點TP1及TP2實體接觸。
在一些實施例中,鰭式場效電晶體元件10/20更包括跨越至少一個鰭102的第二閘堆疊(例如,右閘堆疊111)。所述第二閘堆疊的頂表面低於第一介電層108的頂表面,從而在所述第二閘堆疊上方提供第二凹陷(例如,右凹陷114)。在一些實施例中遮蔽層116更延伸至覆蓋所述第二凹陷的表面。
在一些實施例中,鰭式場效電晶體元件10/20更包括位於所述第一閘堆疊及所述第二閘堆疊(例如左閘堆疊111及右閘堆疊111)的側壁上的間隙壁104。在一些實施例中,遮蔽層116更延伸至覆蓋間隙壁104的頂部。間隙壁104包括含氮的介電材料、含碳的介電材料或二者,且間隙壁104具有小於約10的介電常數。
在以上實施例中,本發明實施例的方法被應用至鰭式場效電晶體元件製程,但本發明實施例並非僅限於此。在替代實施例中,本發明實施例的方法可被應用至平面元件製程。
圖4至圖5是根據替代實施例的半導體元件的剖面示意图。
如圖4至圖5中所示,半導體元件30/40包括平面基底300、閘堆疊303、間隙壁304、應變層306、第一介電層308、遮蔽層316、第二介電層318及連接件322。
閘堆疊303位於平面基底300之上。在一些實施例中,閘堆疊303中的每一者包括位於平面基底300上的閘介電層301及位於閘介電層301上的閘極302。在一些實施例中,閘介電層301中的每一者包括氧化矽、高k材料或其組合,且閘極302中的每一者包括含矽的材料、含金屬的材料或其組合。間隙壁304形成於閘堆疊303的側壁上。間隙壁304包括含氮的介電材料、含碳的介電材料或二者,且間隙壁具有小於約10的介電常數。在閘堆疊303中的每一者的兩側的平面基底300中形成兩個應變層306,且應變層306中的一者位於鄰近的閘堆疊303之間。
第一介電層308位於閘堆疊303側邊或圍繞閘堆疊303。在一些實施例中,閘堆疊303的頂表面低於第一介電層308的頂表面,從而在閘堆疊303上方提供凹陷314。遮蔽層316位於凹陷314的表面上且延伸至第一介電層308的頂表面上及間隙壁304的頂表面上。遮蔽層316包括SiN、SiC、SiCN、SiON、SiCON或其組合。
第二介電層318位於遮蔽層316之上並填入凹陷314中。在一些實施例中,開口320穿過第二介電層318及遮蔽層316並暴露出閘堆疊303的閘極302中的一者。連接件322位於開口320中且電性連接至對應的閘堆疊303的閘極302。
在一些實施例中,如圖4及圖5中所示,遮蔽層316與連接件322的一側之間的接觸面積A1大於遮蔽層316與連接件322的另一側之間的接觸面積A2。在一些實施例中,如圖4及圖5中所示,連接件322與遮蔽層316的一個轉折點TP1實體接觸,但不與遮蔽層316的另一轉折點TP2實體接觸。
在以上實施例中,閘介電層、閘極、間隙壁、應變層、第一介電層及第二介電層以及遮蔽層中的每一者為單層,其是出於說明目的,且不應被視為對本發明實施例進行限制。在一些實施例中,根據需要,這些所闡述的構件中的至少一者可為多層結構。
基於上述,在一些實施例中,提供具有不同的蝕刻速率的遮蔽層及上覆介電層,且在開口形成步驟期間,所述遮蔽層及所述上覆介電層的組合用來控制蝕刻輪廓。具體來說,本發明實施例的遮蔽層不僅形成於閘極上,也形成於鄰近的間隙壁及介電層上,以對鄰近的構件提供改良的保護效果。通過這種安置形式,多步驟蝕刻可首先在遮蔽層的表面上終止,接著,在閘極的表面上終止,而不會損壞鄰近的構件。可提供具有改良的蝕刻輪廓及性質的開口(例如,接觸孔),且因此可提供具有改良的蝕刻輪廓及性質的連接件(例如,接觸窗(contact))。因此,減少晶圓允收測試(wafer acceptance test,WAT)故障,提高產品良率,且加寬可靠性/洩漏裕度。
根據本發明的一些實施例,一種半導體元件包括基底、第一閘堆疊、第一介電層、遮蔽層及連接件。所述第一閘堆疊位於基底之上。所述第一介電層位於所述第一閘堆疊側邊,其中所述第一閘堆疊的頂表面低於所述第一介電層的頂表面,從而在所述第一閘堆疊上方提供第一凹陷。所述遮蔽層位於所述第一凹陷的表面上且延伸至所述第一介電層的所述頂表面上。所述連接件穿過所述遮蔽層並電性連接至所述第一閘堆疊。
在上述半導體元件中,更包括位於所述遮蔽層之上並填入所述第一凹陷中的第二介電層,其中所述連接件穿過所述第二介電層。
在上述半導體元件中,更包括位於所述基底之上的第二閘堆疊,其中所述第二閘堆疊的頂表面低於所述第一介電層的所述頂表面,從而在所述第二閘堆疊上方提供第二凹陷,且所述遮蔽層更延伸至覆蓋所述第二凹陷的表面。
在上述半導體元件中,所述遮蔽層包括SiN、SiC、SiCN、SiON、SiCON或其組合。
在上述半導體元件中,所述連接件與所述遮蔽層的一個轉折點實體接觸,但不與所述遮蔽層的另一轉折點實體接觸。
在上述半導體元件中,更包括位於所述第一閘堆疊的側壁上的間隙壁,其中所述遮蔽層更延伸至覆蓋所述間隙壁的頂部。
在上述半導體元件中,所述間隙壁包括含氮的介電材料、含碳的介電材料或二者,且所述間隙壁具有小於約10的介電常數。
在上述半導體元件中,所述基底具有在第一方向上延伸的至少一個鰭,且所述第一閘堆疊在不同於所述第一方向的第二方向上延伸並跨越所述至少一個鰭。
在上述半導體元件中,所述基底是平面基底。
根據本發明的替代實施例,一種鰭式場效電晶體元件包括基底、第一閘堆疊、第一介電層、第二介電層、連接件及遮蔽層。所述基底,具有至少一個鰭。所述第一閘堆疊跨越所述至少一個鰭。所述第一介電層位於所述第一閘堆疊側邊,其中所述第一閘堆疊的頂表面低於所述第一介電層的頂表面,從而在所述第一閘堆疊上方提供第一凹陷。所述第二介電層位於所述第一介電層之上並填入所述第一凹陷中。所述連接件穿過所述第二介電層並電性連接至所述第一閘堆疊。所述遮蔽層位於所述第一介電層與所述第二介電層之間且與所述連接件實體接觸,其中所述遮蔽層與所述連接件的一側之間的接觸面積大於所述遮蔽層與所述連接件的另一側之間的接觸面積。
在上述鰭式場效電晶體元件中,更包括跨越所述至少一個鰭的第二閘堆疊,其中所述第二閘堆疊的頂表面低於所述第一介電層的所述頂表面,從而在所述第二閘堆疊上方提供第二凹陷,且所述遮蔽層更延伸至覆蓋所述第二凹陷的表面。
在上述鰭式場效電晶體元件中,所述遮蔽層包括SiN、SiC、SiCN、SiON、SiCON或其組合。
在上述鰭式場效電晶體元件中,更包括位於所述第一閘堆疊的側壁上的間隙壁,其中所述遮蔽層更延伸至覆蓋所述間隙壁的頂部。
在上述鰭式場效電晶體元件中,所述間隙壁包括含氮的介電材料、含碳的介電材料或二者,且所述間隙壁具有小於約10的介電常數。
根據本發明的又一些替代實施例,一種形成鰭式場效電晶體元件的方法包括以下步驟。提供基底,所述基底具有形成於所述基底上的第一閘堆疊及形成於所述第一閘堆疊側邊的第一介電層。局部地移除所述第一閘堆疊,從而在剩餘的所述第一閘堆疊上方提供第一凹陷。在所述第一凹陷的表面上及在所述第一介電層的頂表面上形成遮蔽層。在所述遮蔽層之上形成第二介電層,其中所述第二介電層填入所述第一凹陷中。形成穿過所述第二介電層及所述遮蔽層的連接件。
在上述方法中,所述基底更具有形成於所述第一閘堆疊的側壁上的間隙壁,且所述遮蔽層更延伸至覆蓋所述間隙壁的頂部。
在上述方法中,所述基底更具有形成於所述基底上的第二閘堆疊且所述第一介電層位於所述第二閘堆疊側邊;在局部地移除所述第一閘堆疊的步驟期間,所述第二閘堆疊被局部地移除,從而在所述第二閘堆疊上方提供第二凹陷;且所述遮蔽層更形成於所述第二凹陷的表面上。
在上述方法中,所述遮蔽層與所述連接件的一側之間的接觸面積大於所述遮蔽層與所述連接件的另一側之間的接觸面積。
在上述方法中,所述連接件與所述遮蔽層的一個轉折點實體接觸,但不與所述遮蔽層的另一轉折點實體接觸。
以上概述了若干實施例的特徵,以使本領域具有通常知識者可更好地理解本發明的各個方面。本領域具有通常知識者應知,他們可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。本領域具有通常知識者更應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替、及變更。
10、20‧‧‧鰭式場效電晶體元件
30、40‧‧‧半導體元件
100‧‧‧基底
102‧‧‧鰭
104、304‧‧‧間隙壁
106、306‧‧‧應變層
108、308‧‧‧第一介電層
110、301‧‧‧閘介電層
111‧‧‧閘堆疊
112‧‧‧閘極
114‧‧‧凹陷
116、316‧‧‧遮蔽層
118、318‧‧‧第二介電層
119‧‧‧罩幕層
120、320‧‧‧開口
122、322‧‧‧連接件
200、202、204、206、208‧‧‧步驟
300‧‧‧平面基底
302‧‧‧閘極
303‧‧‧閘堆疊
314‧‧‧凹陷
A1、A2‧‧‧接觸面積
TP1、TP2‧‧‧轉折點
當結合附圖進行閱讀時,從以下詳細描述可最佳理解本發明實施例的各個方面。請注意,根據產業中的標準實務,各種特徵未按比例繪製。實際上,為了清楚地討論,各種特徵的關鍵尺寸(critical dimension)可以任意地增大或減小。 圖1A至圖1F是根據一些實施例的形成鰭式場效電晶體元件的方法的剖面示意圖。 圖2是根據一些實施例的鰭式場效電晶體元件的剖面示意圖。 圖3是根據一些實施例的形成鰭式場效電晶體元件的方法的流程圖。 圖4至圖5是根據替代實施例的半導體元件的剖面示意圖。
10‧‧‧鰭式場效電晶體元件
100‧‧‧基底
102‧‧‧鰭
104‧‧‧間隙壁
106‧‧‧應變層
108‧‧‧第一介電層
110‧‧‧閘介電層
111‧‧‧閘堆疊
112‧‧‧閘極
114‧‧‧凹陷
116‧‧‧遮蔽層
118‧‧‧第二介電層
120‧‧‧開口
122‧‧‧連接件
A1、A2‧‧‧接觸面積
TP1、TP2‧‧‧轉折點

Claims (1)

  1. 一種半導體元件,包括: 第一閘堆疊,位於基底之上; 第一介電層,位於所述第一閘堆疊側邊,其中所述第一閘堆疊的頂表面低於所述第一介電層的頂表面,從而在所述第一閘堆疊上方提供第一凹陷; 遮蔽層,位於所述第一凹陷的表面上且延伸至所述第一介電層的所述頂表面上;以及 連接件,穿過所述遮蔽層並電性連接至所述第一閘堆疊。
TW105142924A 2016-03-07 2016-12-23 半導體元件、鰭式場效電晶體元件及其形成方法 TWI759277B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/062,226 US9761483B1 (en) 2016-03-07 2016-03-07 Semiconductor devices, FinFET devices and methods of forming the same
US15/062,226 2016-03-07

Publications (2)

Publication Number Publication Date
TW201732899A true TW201732899A (zh) 2017-09-16
TWI759277B TWI759277B (zh) 2022-04-01

Family

ID=59723728

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105142924A TWI759277B (zh) 2016-03-07 2016-12-23 半導體元件、鰭式場效電晶體元件及其形成方法

Country Status (3)

Country Link
US (2) US9761483B1 (zh)
CN (1) CN107170825B (zh)
TW (1) TWI759277B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814212B (zh) * 2021-02-04 2023-09-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573627B2 (en) * 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US9824921B1 (en) 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US9941278B2 (en) * 2016-07-06 2018-04-10 Globalfoundries Inc. Method and apparatus for placing a gate contact inside an active region of a semiconductor
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10283617B1 (en) * 2017-11-01 2019-05-07 Globalfoundries Inc. Hybrid spacer integration for field-effect transistors
CN110610924B (zh) * 2018-06-15 2021-04-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法、半导体结构
KR102521890B1 (ko) * 2018-07-17 2023-04-14 삼성전자주식회사 반도체 장치
US10529826B1 (en) * 2018-08-13 2020-01-07 Globalfoundries Inc. Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20210057273A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11749753B2 (en) * 2020-06-15 2023-09-05 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate structure having a dielectric protection layer

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689672B1 (ko) * 2000-12-28 2007-03-09 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100459724B1 (ko) * 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
KR20060121370A (ko) * 2005-05-24 2006-11-29 삼성전자주식회사 액정표시장치의 제조방법과 이에 의한 액정표시장치
US8652912B2 (en) * 2006-12-08 2014-02-18 Micron Technology, Inc. Methods of fabricating a transistor gate including cobalt silicide
EP2253013B1 (en) * 2008-02-11 2011-07-20 Nxp B.V. Method for fabricating a finfet with separate gates
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
CN102800592B (zh) * 2011-05-25 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103377892B (zh) * 2012-04-13 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
CN103515293B (zh) * 2012-06-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种用于形成接触孔的方法
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9384988B2 (en) * 2013-11-19 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate protection caps and method of forming the same
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US9231067B2 (en) * 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9960256B2 (en) * 2014-05-20 2018-05-01 Globalfoundries Inc. Merged gate and source/drain contacts in a semiconductor device
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814212B (zh) * 2021-02-04 2023-09-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法

Also Published As

Publication number Publication date
TWI759277B (zh) 2022-04-01
US9761483B1 (en) 2017-09-12
CN107170825A (zh) 2017-09-15
CN107170825B (zh) 2021-12-14
US20170256444A1 (en) 2017-09-07
US10157783B2 (en) 2018-12-18
US20180005877A1 (en) 2018-01-04

Similar Documents

Publication Publication Date Title
TWI759277B (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
US10262894B2 (en) FinFET device and method for forming the same
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
US9704970B2 (en) Semiconductor device and fabricating method thereof
US9627379B1 (en) FinFET devices and methods of forming the same
US10134861B2 (en) Semiconductor device structure and method for forming the same
TWI662652B (zh) 形成積體電路的方法
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
TWI727068B (zh) 半導體裝置以及其製作方法
US10283641B2 (en) Contact structures, FinFET devices and methods of forming the same
TW201543552A (zh) 半導體元件及形成方法
TW201735366A (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
US9653364B1 (en) FinFET device and method of forming the same
TW201543569A (zh) 半導體積體電路及其製造方法
US9627537B1 (en) FinFET device and method of forming the same
CN109755132B (zh) 半导体装置以及其制作方法
TWI818292B (zh) 半導體元件及其形成方法
TWI625856B (zh) 半導體裝置結構及其製造方法