CN107170825B - 半导体器件、鳍式场效晶体管器件及其形成方法 - Google Patents

半导体器件、鳍式场效晶体管器件及其形成方法 Download PDF

Info

Publication number
CN107170825B
CN107170825B CN201611230186.5A CN201611230186A CN107170825B CN 107170825 B CN107170825 B CN 107170825B CN 201611230186 A CN201611230186 A CN 201611230186A CN 107170825 B CN107170825 B CN 107170825B
Authority
CN
China
Prior art keywords
dielectric layer
gate stack
layer
top surface
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611230186.5A
Other languages
English (en)
Other versions
CN107170825A (zh
Inventor
张哲诚
林志翰
曾鸿辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107170825A publication Critical patent/CN107170825A/zh
Application granted granted Critical
Publication of CN107170825B publication Critical patent/CN107170825B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

本发明实施例公开了半导体器件、鳍式场效晶体管器件及其形成方法。根据一些实施例,半导体器件包括衬底、第一栅堆叠、第一介电层、遮蔽层及连接件。所述第一栅堆叠位于衬底之上。所述第一介电层位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面,从而在所述第一栅堆叠上方提供第一凹陷。所述遮蔽层位于所述第一凹陷的表面上且延伸至所述第一介电层的所述顶表面上。所述连接件穿过所述遮蔽层并电连接至所述第一栅堆叠。

Description

半导体器件、鳍式场效晶体管器件及其形成方法
技术领域
本发明实施例涉及半导体器件、鳍式场效晶体管器件及其形成方法。
背景技术
半导体集成电路(integrated circuit,IC)产业经历了快速的成长。在IC 材料和设计技术方面的技术精进使IC有世代的演进,相较于前一世代,下一世代的IC体积更小且电路更为复杂。在集成电路进化的过程中,功能密度(亦即,每芯片面积的互连器件的数量)不断地增加,而几何尺寸(即,可使用制造过程所产生的最小组件或线)不断地缩小。这样的按比例缩小工艺通常通过提高生产效率以及降低相关成本来提供益处。
这种按比例缩小增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。举例来说,引进例如鳍式场效晶体管 (fin-type field-effecttransistor,FinFET)的三维晶体管来替换平面晶体管。尽管现有的鳍式场效晶体管器件及其形成方法对于它们的预期目的通常已经足够,然而它们不是在所有方面都令人完全满意。
发明内容
根据本发明的一些实施例,一种半导体器件包括衬底、第一栅堆叠、第一介电层、遮蔽层及连接件。所述第一栅堆叠位于衬底之上。所述第一介电层位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面,从而在所述第一栅堆叠上方提供第一凹陷。所述遮蔽层位于所述第一凹陷的表面上且延伸至所述第一介电层的所述顶表面上。所述连接件穿过所述遮蔽层并电连接至所述第一栅堆叠。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明实施例的各个方面。请注意,根据产业中的标准实务,各种特征未按比例绘制。实际上,为了清楚地讨论,各种特征的关键尺寸(critical dimension)可以任意地增大或减小。
图1A至图1F是根据一些实施例的形成鳍式场效晶体管器件的方法的横截面示意图。
图2是根据一些实施例的鳍式场效晶体管器件的横截面示意图。
图3是根据一些实施例的形成鳍式场效晶体管器件的方法的流程图。
图4至图5是根据替代实施例的半导体器件的横截面示意图。
具体实施方式
以下公开内容提供用于实作所提供主题的不同特征的许多不同的实施例或实例。以下阐述构件及排列的具体实例以简化本公开内容。当然,这些仅为实例且不旨在进行限制。举例来说,以下说明中将第二特征形成于第一特征“之上”或第一特征“上”可包括其中第二特征及第一特征被形成为直接接触的实施例,且也可包括其中第二特征与第一特征之间可形成有附加特征、进而使得所述第二特征与所述第一特征可能不直接接触的实施例。另外,本公开内容可能在各种实例中重复参考编号及/或字母。这种重复是出于简洁及清晰的目的,而不是自身表示所论述的各种实施例及/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“之下(beneath)”、“下面 (below)”、“下部的(lower)”、“位于…上(on)”、“位于…之上(over)”、“上覆的(overlying)”、“上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示的一个构件或特征与另一(其他)构件或特征的关系。所述空间相对性用语旨在除图中所绘示的定向外还囊括器件在使用或操作中的不同定向。设备可具有其他定向(旋转90度或处于其他定向),且本文中所用的空间相对性描述语可同样相应地进行解释。
图1A至图1F是根据一些实施例的形成鳍式场效晶体管器件的方法的横截面示意图。
参照图1A,提供具有一或多个鳍102的衬底100。在一些实施例中,衬底100包括含硅的衬底、绝缘体上硅(silicon-on-insulator,SOI)衬底、或由其他合适的半导体材料形成的衬底。根据设计要求,衬底100可为P型衬底或N型衬底且在衬底100中可具有掺杂区。所述掺杂区可被配置用于N型鳍式场效晶体管器件或P型鳍式场效晶体管器件。在一些实施例中,衬底100 上形成有隔离层。具体来说,所述隔离层覆盖鳍102的下部部分且暴露出鳍102的上部部分。在一些实施例中,所述隔离层为浅沟槽隔离(shallow trench isolation,STI)结构。
在一些实施例中,衬底100具有形成于衬底100上的至少两个栅堆叠111、形成于栅堆叠111的侧壁上的间隙壁104、形成于衬底100中的应变层106、及形成于栅堆叠111侧边及应变层106之上的第一介电层108。
在一些实施例中,形成图1A所示的中间结构的方法包括:形成跨越鳍 102的两个虚设栅堆叠;在所述虚设栅堆叠的侧壁上形成间隙壁104;在每一鳍102的两侧处形成应变层106;在所述虚设栅堆叠侧边及应变层106之上形成第一介电层108;且以栅堆叠111替换所述虚设栅堆叠。
在一些实施例中,虚设栅堆叠包括例如多晶硅、非晶硅或其组合等含硅的材料。在一些实施例中,虚设栅堆叠的延伸方向不同于(例如,垂直于) 鳍102的延伸方向。在一些实施例中,形成虚设栅堆叠的方法包括在衬底100 上形成堆叠层,且利用光刻(photolithography)工艺及蚀刻工艺将所述堆叠层图案化。
在一些实施例中,间隙壁104包括含氮的介电材料、含碳的介电材料、或二者,且间隙壁104具有小于约10、或甚至小于约5的介电常数。在一些实施例中,间隙壁104包括SiN、SiCN、SiOCN、SiOR(其中R是例如CH3、 C2H5、或C3H7等烷基(alkyl group))、SiC、SiOC、SiON或其组合等。在一些实施例中,形成间隙壁104的方法包括在衬底100上形成间隙壁材料层,并通过各向异性蚀刻(anisotropic etching)工艺局部地移除所述间隙壁材料层。
在一些实施例中,在各虚设栅堆叠两侧形成两个应变层106,且应变层 106中的一者位于邻近的虚设栅堆叠之间。在一些实施例中,对于P型鳍式场效晶体管器件,应变层106包括硅锗(SiGe)。在替代实施例中,对于N 型鳍式场效晶体管器件,应变层106包括碳化硅(SiC)、磷化硅(SiP)、SiCP、或SiC/SiP多层式结构。在一些实施例中,应变层106可根据需要视情况而植入有P型掺质或N型掺质。在一些实施例中,形成应变层106的方法包括在鳍102中形成凹陷,并自所述凹陷生长外延层(epitaxy layer)。具体来说,应变层106形成于所述凹陷内且沿对应的间隙壁104的侧壁向上延伸。在一些实施例中,应变层106的顶部位于衬底100的表面上方。在替代实施例中,应变层106的顶部与衬底100的表面实质上共面。
在一些实施例中,第一介电层108包括例如氮化硅等氮化物、例如氧化硅等氧化物、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、掺杂硼的磷硅酸盐玻璃(boron-doped phosphosilicate glass,BPSG)或其组合等,且第一介电层108是通过例如旋转涂布(spin-coating)、化学气相沉积(chemical vapordeposition,CVD)、流动式化学气相沉积(flowable CVD)、等离子体增强型化学气相沉积(plasma enhanced CVD,PECVD)、原子层沉积(atomic layer deposition,ALD)或其组合等合适的沉积技术而形成。在一些实施例中,第一介电层108的顶表面与虚设栅堆叠的顶表面实质上齐平。在一些实施例中,在形成应变层106的步骤之后且在形成第一介电层108的步骤之前,形成接触蚀刻终止层(contact etch stop layer,CESL),且所述接触蚀刻终止层包括SiN、SiC或类似材料。
在一些实施例中,以栅堆叠111来替换虚设栅堆叠。在一些实施例中,移除虚设栅堆叠以在第一介电层108中形成栅沟槽,接着,在所述栅沟槽中形成栅堆叠111。在一些实施例中,形成栅堆叠111的方法包括通过化学气相沉积、物理气相沉积(physical vapordeposition,PVD)、原子层沉积、镀敷、或合适的工艺来形成堆叠层,接着,执行化学机械抛光(chemical mechanical polishing,CMP)工艺以移除位于所述栅沟槽外的堆叠层。
在一些实施例中,栅堆叠111中的每一者包括栅介电层110及位于栅介电层110上的栅极112(或称作“替换性栅极(replacement gate)”)。在一些实施例中,栅堆叠111的延伸方向不同于(例如,垂直于)鳍102的延伸方向。在一些实施例中,如图1A中所示,栅介电层110中的每一者环绕对应的栅极112的侧壁及底部且位于对应的鳍102的顶部及侧壁上。在一些实施例中,在栅介电层110与每一鳍102之间形成例如氧化硅层等界面层(interfaciallayer)。
在一些实施例中,栅介电层110中的每一者包括介电常数大于约10的高 k材料。在一些实施例中,所述高k材料包括例如ZrO2、Gd2O3、HfO2、BaTiO3、 Al2O3、LaO2、TiO2、Ta2O5、Y2O3、STO、BTO、BaZrO、HfZrO、HfLaO、 HfTaO、HfTiO或其组合等金属氧化物、或合适的材料。在替代实施例中,栅介电层110可视情况包括例如HfSiO、LaSiO、AlSiO或其组合等硅酸盐(silicate)、或合适的材料。
在一些实施例中,栅极112中的每一者包括合适于形成金属栅极或其部分的金属材料。在一些实施例中,栅极112中的每一者包括功函数(work function)金属层及位于所述功函数金属层上的填充金属层。所述功函数金属层是N型功函数金属层或P型功函数金属层。在一些实施例中,N型功函数金属层包括TiAl、TiAlN、或TaCN、导电性金属氧化物、及/或合适的材料。在替代实施例中,P型功函数金属层包括TiN、WN、或TaN、导电性金属氧化物、及/或合适的材料。填充金属层包括铜、铝、钨、或合适的材料。在一些实施例中,栅极112中的每一者可还包括衬层(liner layer)、界面层(interface layer)、晶种层、粘着层、障壁层(barrier layer)或其组合等。
参照图1B,局部地移除栅堆叠111,从而在剩余的栅堆叠111上方相应地提供凹陷114。在一些实施例中,移除栅堆叠111的上部部分以形成凹陷 114,凹陷114暴露出栅极112的表面及间隙壁104的上部侧壁。具体来说,通过回蚀刻(etching back)工艺来移除部分栅极112及部分栅介电层110,且由凹陷114暴露出剩余的栅极112及剩余的栅介电层110。在一些实施例中,凹陷114中的一者位于两个邻近的间隙壁104之间或位于第一介电层108的各部分之间。在图1B所示的局部移除步骤中,栅堆叠111的顶表面低于第一介电层108的顶表面。
参照图1C,在凹陷114的表面上及第一介电层108的顶表面上形成遮蔽层116。在一些实施例中,遮蔽层116毯覆形成于栅堆叠111、间隙壁104及第一介电层108上。在一些实施例中,遮蔽层116与栅极112、间隙壁104 及第一介电层108实体接触(physical contact)。在一些实施例中,如图1B中所示,遮蔽层116与栅介电层110实体接触。在替代实施例中,遮蔽层116 不与栅介电层110实体接触。
具体而言,遮蔽层116共形地形成于栅极112的顶表面、间隙壁104的上部侧壁及顶部、以及第一介电层108的顶表面上,从而在间隙壁104的顶角(top corners)周围提供多个转折点(turning points)。具体来说,在邻近的间隙壁104的顶角周围提供两个相对的转折点TP1及TP2。在一些实施例中,遮蔽层116包括SiN、SiC、SiCN、SiON、SiCON或其组合等,且遮蔽层116 是通过例如化学气相沉积、等离子体增强型化学气相沉积、原子层沉积、远程等离子体原子层沉积(remote plasmaALD,RPALD)、等离子体增强型原子层沉积(plasma-enhancedALD,PEALD)或其组合等合适的沉积技术而形成。
在一些实施例中,遮蔽层116的厚度对随后的接触孔(contact hole)界定步骤至关重要。当遮蔽层116过薄时,易于发生传统的过蚀刻 (over-etching)。当遮蔽层116过厚时,能够轻易地观察到传统的蚀刻不足 (under-etched)膜剩余物。在一些实施例中,遮蔽层116具有约3埃 (angstroms)至200埃的厚度。
继续参照图1C,在遮蔽层116之上形成第二介电层118,且第二介电层 118填入凹陷114中。在一些实施例中,第二介电层118毯覆形成于衬底100 上且填满凹陷114。在一些实施例中,第二介电层118包括与第一介电层108 的材料相同的材料。在替代实施例中,第二介电层118与第一介电层108是由不同的材料制成。在一些实施例中,第二介电层118包括例如氮化硅等氮化物、例如氧化硅等氧化物、磷硅酸盐玻璃、硼硅酸盐玻璃、掺杂硼的磷硅酸盐玻璃或其组合等,且第二介电层118是通过例如旋转涂布、化学气相沉积、流动式化学气相沉积、等离子体增强型化学气相沉积、原子层沉积或其组合等合适的沉积技术而形成。
参照图1D,图案化或局部地移除第二介电层118,以形成对应于栅堆叠 111的栅极112中的一者的开口120(或称作“接触孔”)。在一些实施例中,在第二介电层118上形成例如光刻胶层等掩模层119,来覆盖非目标区域并暴露出例如后续形成的接触孔的所期望的位置等目标区域。之后,使用掩模层 119作为蚀刻掩模且使用遮蔽层116作为蚀刻终止层来移除部分第二介电层 118。在一些实施例中,开口120具有倾斜的或弯曲的侧壁并暴露出部分遮蔽层116。在一些实施例中,开口120暴露出遮蔽层116的一个转折点TP1,同时覆盖遮蔽层116的另一转折点TP2。
参照图1E,对开口120进行加深,直至开口120暴露出栅堆叠111的栅极112中的一者。在一些实施例中,使用掩模层119及第二介电层118作为蚀刻掩模来移除部分遮蔽层116。接着,移除掩模层119。在一些实施例中,开口120可根据需要形成为插塞(plug)、柱(pillar)、带(strip)、壁(wall) 或任何合适的形状。
在一些实施例中,开口120是由多个蚀刻步骤(例如,图1D及图1E中所示的步骤)而非单一个蚀刻步骤来界定。这种多步骤蚀刻(multi-step etching)有益于提供更好的蚀刻轮廓。具体来说,当发生不合意的过蚀刻及/ 或未对准(misalignment)时,遮蔽层116有效地保护邻近的间隙壁及介电材料不被损坏。此外,可通过提供具有不同的蚀刻速率的第二介电层118及其下面的遮蔽层116来轻易地控制蚀刻轮廓。
参照图1F,在开口120中形成连接件122。在一些实施例中,连接件122 旨在代表任意类型的导电性材料及结构,且连接件122电连接至对应的栅极 112。在一些实施例中,连接件122包括例如W、Cu、或其合金等金属、或具有合适的电阻及间隙填充(gap-fill)能力的任意金属材料。在一些实施例中,在衬底100上形成金属层,且金属层填入开口120中。通过溅镀 (sputtering)、化学气相沉积、电化学镀敷(electrochemical plating,ECP)或其组合等形成金属层。接着,执行例如化学机械抛光等平坦化步骤来移除部分金属层,直至暴露出第二介电层118的顶部为止。在一些实施例中,连接件122的顶表面与第二介电层118的顶表面实质上共面。由此完成本发明实施例的鳍式场效晶体管器件10的制作。
此外,当器件的尺寸缩减时,用于接触孔界定步骤的工艺裕度相当小。当发生过蚀刻及/或未对准时,若仅在栅极上提供传统的顶盖层,则在接触孔界定步骤期间邻近的间隙壁及介电材料仍可能被损坏。因此,开口或接触孔的轮廓发生变形且器件的可靠性/泄漏裕度(reliability/leakage window)变窄。然而,在本发明实施例中并未观察到这种问题。具体来说,本发明实施例的遮蔽层116提供了改良的保护效果,这是因为遮蔽层116不仅形成于栅极112 上,而且也形成于邻近的间隙壁104及第一介电层108上。这样一来,界定开口120的第一蚀刻步骤(例如,图1D所示的步骤)在遮蔽层116的表面上终止,而不会损坏邻近的间隙壁及介电材料。此外,遮蔽层116及第二介电层118具有不同的蚀刻速率,使得界定开口120的第二蚀刻步骤(例如,图 1E所示的步骤)在栅极112的表面上终止,而不会对栅极112造成过蚀刻或损坏。
在一些实施例中,如图2中所示,当发生过蚀刻及/或未对准时,开口120 的位置发生位移及/或开口120的形状发生变形,且因此,开口120形成有阶梯状侧壁(steppedsidewall)且还暴露出遮蔽层116的顶表面。在本发明实施例中,遮蔽层116及第二介电层118以此方式安置,因此在所述接触孔界定步骤期间,不会对邻近的间隙壁及介电材料进行侧面蚀刻/损坏及/或对栅极的上部部分进行垂直蚀刻/损坏,且因此,接触窗轮廓及器件性能得到显著改良。
可参照图3所示流程图来简要说明图1A至图1F所示的所述工艺步骤。
在步骤200中,如图1A中所示,提供衬底100,衬底100具有形成于衬底100上的第一栅堆叠(例如,左栅堆叠111)及形成于第一栅堆叠侧边的第一介电层108。在一些实施例中,衬底100还具有形成于衬底100上的第二栅堆叠(例如,右栅堆叠111)及形成于所述第二栅堆叠侧边的第一介电层 108。具体来说,第一介电层108环绕所述第一栅堆叠及所述第二栅堆叠(例如,左栅堆叠111及右栅堆叠111)的侧壁,并暴露出所述第一栅堆叠及所述第二栅堆叠的顶表面。在一些实施例中,在第一介电层108与第一栅堆叠及第二栅堆叠中的每一者之间形成间隙壁104。
在步骤202中,如图1B中所示,局部地移除所述第一栅堆叠(例如,左栅堆叠111),从而在剩余的第一栅堆叠上方提供第一凹陷(例如,左凹陷114)。在一些实施例中,在局部地移除所述第一栅堆叠的步骤期间,所述第二栅堆叠(例如,右栅堆叠111)被局部地移除,从而在所述第二栅堆叠上方提供第二凹陷(例如,右凹陷114)。
在步骤204中,如图1C中所示,在所述第一凹陷(例如,左凹陷114) 的表面上及在第一介电层108的顶表面上形成遮蔽层116。在一些实施例中,还在第二凹陷(例如,右凹陷114)的整个表面上及在间隙壁104的顶部上形成遮蔽层116。
在步骤206中,如图1C中所示,在遮蔽层116之上形成第二介电层118,且第二介电层118填入所述第一凹陷(例如,左凹陷114)中。在一些实施例中,第二介电层118还填入所述第二凹陷(例如,右凹陷114)中。
在步骤208中,如图1D至图1F中所示,形成穿过第二介电层118及遮蔽层116的连接件122。在一些实施例中,如图1D中所示,局部地移除第二介电层118,以在第二介电层118中形成开口120。之后,如图1E中所示,对开口120进行加深,直至暴露出所述第一栅堆叠(例如,左栅堆叠111)的第一栅极(例如,左栅极112)的顶表面。之后,如图1F中所示,将连接件122填入开口120中。在一些实施例中,没有连接件与位于所述第一栅堆叠侧边的第二栅堆叠(例如,右栅堆叠111)实体接触。通过所阐述的工艺步骤,完成本发明实施例的鳍式场效晶体管器件的制作。然而,在制作鳍式场效晶体管器件的以上步骤中,本发明实施例并未限制增添一或多个附加步骤。
在上述实施例中,实作“后栅极(gate last)”工艺以形成鳍式场效晶体管器件。然而,可使用与本文中所述者相似的工艺来应用例如“先栅极(gate first)”工艺等另一工艺。本文中所公开的方法可易于与互补金属氧化物半导体(complementary metal oxidesemiconductor,CMOS)工艺整合于一起,且不要求进行附加的复杂步骤来实现合意的结果。可以理解,本文中所公开的实施例提供不同的优点,且对于所有实施例来说未必要求实现任何特定优点。
参照图1F及图2来阐述本发明实施例的鳍式场效晶体管器件的结构。
在一些实施例中,鳍式场效晶体管器件10/20包括衬底100、第一栅堆叠 (例如,左栅堆叠111)、第一介电层108、遮蔽层116、第二介电层118、及连接件122。衬底100具有至少一个鳍102。所述第一栅堆叠跨越至少一个鳍 102。第一介电层108位于所述第一栅堆叠侧边。在一些实施例中,所述第一栅堆叠的顶表面低于所述第一介电层108的顶表面,从而在所述第一栅堆叠上方提供第一凹陷(例如,左凹陷114)。第二介电层118位于第一介电层108之上并填入所述第一凹陷中。连接件122穿过第二介电层118并电连接至所述第一栅堆叠。遮蔽层116位于第一介电层108与第二介电层118之间且与连接件122实体接触。
在一些实施例中,遮蔽层116与连接件122的一侧之间的接触面积A1 大于遮蔽层116与连接件122的另一侧之间的接触面积A2。根据另一观点,在某个横截面中,遮蔽层116与连接件122的一侧“面接触(surface contact)”,同时与连接件122的另一侧“点接触(point contact)”。然而,本发明实施例并非仅限于此。在替代实施例中,遮蔽层116与连接件122的一侧之间的接触面积A1可实质上相同于遮蔽层116与连接件122的另一侧之间的接触面积 A2。
在一些实施例中,如图1F及图2中所示,连接件122与遮蔽层116的一个转折点TP1实体接触,但不与遮蔽层116的另一转折点TP2实体接触。然而,本发明实施例并非仅限于此。在替代实施例中,连接件122与遮蔽层116 的相对的转折点TP1及TP2实体接触。在又一些替代实施例中,连接件122 不与遮蔽层116的相对的转折点TP1及TP2实体接触。
在一些实施例中,鳍式场效晶体管器件10/20还包括跨越至少一个鳍102 的第二栅堆叠(例如,右栅堆叠111)。所述第二栅堆叠的顶表面低于第一介电层108的顶表面,从而在所述第二栅堆叠上方提供第二凹陷(例如,右凹陷114)。在一些实施例中遮蔽层116还延伸至覆盖所述第二凹陷的表面。
在一些实施例中,鳍式场效晶体管器件10/20还包括位于所述第一栅堆叠及所述第二栅堆叠(例如左栅堆叠111及右栅堆叠111)的侧壁上的间隙壁 104。在一些实施例中,遮蔽层116还延伸至覆盖间隙壁104的顶部。间隙壁 104包括含氮的介电材料、含碳的介电材料或二者,且间隙壁104具有小于约10的介电常数。
在以上实施例中,本发明实施例的方法被应用至鳍式场效晶体管器件工艺,但本发明实施例并非仅限于此。在替代实施例中,本发明实施例的方法可被应用至平面器件工艺。
图4至图5是根据替代实施例的半导体器件的横截面示意图。
如图4至图5中所示,半导体器件30/40包括平面衬底300、栅堆叠303、间隙壁304、应变层306、第一介电层308、遮蔽层316、第二介电层318及连接件322。
栅堆叠303位于平面衬底300之上。在一些实施例中,栅堆叠303中的每一者包括位于平面衬底300上的栅介电层301及位于栅介电层301上的栅极302。在一些实施例中,栅介电层301中的每一者包括氧化硅、高k材料或其组合,且栅极302中的每一者包括含硅的材料、含金属的材料或其组合。间隙壁304形成于栅堆叠303的侧壁上。间隙壁304包括含氮的介电材料、含碳的介电材料或二者,且间隙壁具有小于约10的介电常数。在栅堆叠303 中的每一者的两侧的平面衬底300中形成两个应变层306,且应变层306中的一者位于邻近的栅堆叠303之间。
第一介电层308位于栅堆叠303侧边或围绕栅堆叠303。在一些实施例中,栅堆叠303的顶表面低于第一介电层308的顶表面,从而在栅堆叠303 上方提供凹陷314。遮蔽层316位于凹陷314的表面上且延伸至第一介电层 308的顶表面上及间隙壁304的顶表面上。遮蔽层316包括SiN、SiC、SiCN、 SiON、SiCON或其组合。
第二介电层318位于遮蔽层316之上并填入凹陷314中。在一些实施例中,开口320穿过第二介电层318及遮蔽层316并暴露出栅堆叠303的栅极 302中的一者。连接件322位于开口320中且电连接至对应的栅堆叠303的栅极302。
在一些实施例中,如图4及图5中所示,遮蔽层316与连接件322的一侧之间的接触面积A1大于遮蔽层316与连接件322的另一侧之间的接触面积A2。在一些实施例中,如图4及图5中所示,连接件322与遮蔽层316的一个转折点TP1实体接触,但不与遮蔽层316的另一转折点TP2实体接触。
在以上实施例中,栅介电层、栅极、间隙壁、应变层、第一介电层及第二介电层以及遮蔽层中的每一者为单层,其是出于说明目的,且不应被视为对本发明实施例进行限制。在一些实施例中,根据需要,这些所阐述的构件中的至少一者可为多层结构。
基于上述,在一些实施例中,提供具有不同的蚀刻速率的遮蔽层及上覆介电层,且在开口形成步骤期间,所述遮蔽层及所述上覆介电层的组合用来控制蚀刻轮廓。具体来说,本发明实施例的遮蔽层不仅形成于栅极上,也形成于邻近的间隙壁及介电层上,以对邻近的构件提供改良的保护效果。通过这种安置形式,多步骤蚀刻可首先在遮蔽层的表面上终止,接着,在栅极的表面上终止,而不会损坏邻近的构件。可提供具有改良的蚀刻轮廓及性质的开口(例如,接触孔),且因此可提供具有改良的蚀刻轮廓及性质的连接件(例如,接触窗(contact))。因此,减少晶片允收测试(wafer acceptance test, WAT)故障,提高产品良率,且加宽可靠性/泄漏裕度。
根据本发明的一些实施例,一种半导体器件包括衬底、第一栅堆叠、第一介电层、遮蔽层及连接件。所述第一栅堆叠位于衬底之上。所述第一介电层位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面,从而在所述第一栅堆叠上方提供第一凹陷。所述遮蔽层位于所述第一凹陷的表面上且延伸至所述第一介电层的所述顶表面上。所述连接件穿过所述遮蔽层并电连接至所述第一栅堆叠。
在上述半导体器件中,还包括位于所述遮蔽层之上并填入所述第一凹陷中的第二介电层,其中所述连接件穿过所述第二介电层。
在上述半导体器件中,还包括位于所述衬底之上的第二栅堆叠,其中所述第二栅堆叠的顶表面低于所述第一介电层的所述顶表面,从而在所述第二栅堆叠上方提供第二凹陷,且所述遮蔽层还延伸至覆盖所述第二凹陷的表面。
在上述半导体器件中,所述遮蔽层包括SiN、SiC、SiCN、SiON、SiCON 或其组合。
在上述半导体器件中,所述连接件与所述遮蔽层的一个转折点实体接触,但不与所述遮蔽层的另一转折点实体接触。
在上述半导体器件中,还包括位于所述第一栅堆叠的侧壁上的间隙壁,其中所述遮蔽层还延伸至覆盖所述间隙壁的顶部。
在上述半导体器件中,所述间隙壁包括含氮的介电材料、含碳的介电材料或二者,且所述间隙壁具有小于约10的介电常数。
在上述半导体器件中,所述衬底具有在第一方向上延伸的至少一个鳍,且所述第一栅堆叠在不同于所述第一方向的第二方向上延伸并跨越所述至少一个鳍。
在上述半导体器件中,所述衬底是平面衬底。
根据本发明的替代实施例,一种鳍式场效晶体管器件包括衬底、第一栅堆叠、第一介电层、第二介电层、连接件及遮蔽层。所述衬底,具有至少一个鳍。所述第一栅堆叠跨越所述至少一个鳍。所述第一介电层位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面,从而在所述第一栅堆叠上方提供第一凹陷。所述第二介电层位于所述第一介电层之上并填入所述第一凹陷中。所述连接件穿过所述第二介电层并电连接至所述第一栅堆叠。所述遮蔽层位于所述第一介电层与所述第二介电层之间且与所述连接件实体接触,其中所述遮蔽层与所述连接件的一侧之间的接触面积大于所述遮蔽层与所述连接件的另一侧之间的接触面积。
在上述鳍式场效晶体管器件中,还包括跨越所述至少一个鳍的第二栅堆叠,其中所述第二栅堆叠的顶表面低于所述第一介电层的所述顶表面,从而在所述第二栅堆叠上方提供第二凹陷,且所述遮蔽层还延伸至覆盖所述第二凹陷的表面。
在上述鳍式场效晶体管器件中,所述遮蔽层包括SiN、SiC、SiCN、SiON、 SiCON或其组合。
在上述鳍式场效晶体管器件中,还包括位于所述第一栅堆叠的侧壁上的间隙壁,其中所述遮蔽层还延伸至覆盖所述间隙壁的顶部。
在上述鳍式场效晶体管器件中,所述间隙壁包括含氮的介电材料、含碳的介电材料或二者,且所述间隙壁具有小于约10的介电常数。
根据本发明的又一些替代实施例,一种形成鳍式场效晶体管器件的方法包括以下步骤。提供衬底,所述衬底具有形成于所述衬底上的第一栅堆叠及形成于所述第一栅堆叠侧边的第一介电层。局部地移除所述第一栅堆叠,从而在剩余的所述第一栅堆叠上方提供第一凹陷。在所述第一凹陷的表面上及在所述第一介电层的顶表面上形成遮蔽层。在所述遮蔽层之上形成第二介电层,其中所述第二介电层填入所述第一凹陷中。形成穿过所述第二介电层及所述遮蔽层的连接件。
在上述方法中,所述衬底还具有形成于所述第一栅堆叠的侧壁上的间隙壁,且所述遮蔽层还延伸至覆盖所述间隙壁的顶部。
在上述方法中,所述衬底还具有形成于所述衬底上的第二栅堆叠且所述第一介电层位于所述第二栅堆叠侧边;在局部地移除所述第一栅堆叠的步骤期间,所述第二栅堆叠被局部地移除,从而在所述第二栅堆叠上方提供第二凹陷;且所述遮蔽层还形成于所述第二凹陷的表面上。
在上述方法中,所述遮蔽层与所述连接件的一侧之间的接触面积大于所述遮蔽层与所述连接件的另一侧之间的接触面积。
在上述方法中,所述连接件与所述遮蔽层的一个转折点实体接触,但不与所述遮蔽层的另一转折点实体接触。
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本发明的各个方面。所属领域中的技术人员应知,他们可容易地使用本发明作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的及/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,这些等效构造并不背离本发明的精神及范围,而且他们可在不背离本发明的精神及范围的条件下对其作出各种改变、代替、及变更。

Claims (35)

1.一种半导体器件,其特征在于,包括:
第一栅堆叠,位于衬底之上;
第一介电层,位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面;
间隙壁,位于所述第一栅堆叠与所述第一介电层之间;
遮蔽层,位于所述第一栅堆叠的顶表面上且延伸至覆盖所述第一介电层的所述顶表面;以及
连接件,穿过所述遮蔽层并电连接至所述第一栅堆叠,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
2.根据权利要求1所述的半导体器件,其特征在于,还包括位于所述遮蔽层之上的第二介电层,其中所述连接件穿过所述第二介电层。
3.根据权利要求1所述的半导体器件,其特征在于,还包括位于所述衬底之上的第二栅堆叠,其中所述第二栅堆叠的顶表面低于所述第一介电层的所述顶表面,且所述遮蔽层还延伸至覆盖所述第二栅堆叠的所述顶表面。
4.根据权利要求1所述的半导体器件,其特征在于,所述遮蔽层包括SiN、SiC、SiCN、SiON、SiCON或其组合。
5.根据权利要求1所述的半导体器件,其特征在于,所述连接件与所述遮蔽层的一个转折点实体接触,但不与所述遮蔽层的另一转折点实体接触。
6.根据权利要求1所述的半导体器件,其特征在于,所述间隙壁包括含氮的介电材料、含碳的介电材料或二者。
7.根据权利要求1所述的半导体器件,其特征在于,所述衬底具有在第一方向上延伸的至少一个鳍,且所述第一栅堆叠在不同于所述第一方向的第二方向上延伸并跨越所述至少一个鳍。
8.根据权利要求1所述的半导体器件,其特征在于,所述衬底是平面衬底。
9.一种鳍式场效晶体管器件,其特征在于,包括:
衬底,具有至少一个鳍;
第一栅堆叠,跨越所述至少一个鳍;
第一介电层,位于所述第一栅堆叠侧边,其中所述第一栅堆叠的顶表面低于所述第一介电层的顶表面,从而在所述第一栅堆叠上方提供第一凹陷;
间隙壁,位于所述第一栅堆叠与所述第一介电层之间;
第二介电层,位于所述第一介电层之上并填入所述第一凹陷中;
连接件,穿过所述第二介电层并电连接至所述第一栅堆叠;以及
遮蔽层,位于所述第一介电层与所述第二介电层之间且与所述连接件实体接触,其中所述遮蔽层与所述连接件的一侧之间的接触面积大于所述遮蔽层与所述连接件的另一侧之间的接触面积,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
10.根据权利要求9所述的鳍式场效晶体管器件,其特征在于,还包括跨越所述至少一个鳍的第二栅堆叠,其中所述第二栅堆叠的顶表面低于所述第一介电层的所述顶表面,从而在所述第二栅堆叠上方提供第二凹陷,且所述遮蔽层还延伸至覆盖所述第二凹陷的表面。
11.根据权利要求9所述的鳍式场效晶体管器件,其特征在于,所述遮蔽层包括SiN、SiC、SiCN、SiON、SiCON或其组合。
12.根据权利要求9所述的鳍式场效晶体管器件,其特征在于,所述间隙壁包括含氮的介电材料、含碳的介电材料或二者。
13.一种形成鳍式场效晶体管器件的方法,其特征在于,包括:
提供衬底,其中于所述衬底上形成第一栅堆叠、于所述第一栅堆叠侧边形成间隙壁及于所述间隙壁侧边形成第一介电层;
局部地移除所述第一栅堆叠,从而在剩余的所述第一栅堆叠上方提供第一凹陷;
在所述第一凹陷的表面上、在所述第一凹陷的顶角周围及在所述第一介电层的顶表面上形成遮蔽层;
在所述遮蔽层之上形成第二介电层,其中所述第二介电层填入所述第一凹陷中;以及
形成穿过所述第二介电层及所述遮蔽层的连接件,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
14.根据权利要求13所述的形成鳍式场效晶体管器件的方法,其特征在于,形成所述连接件的步骤包括:
局部地移除所述第二介电层,以在所述第二介电层中形成开口;
对所述开口进行加深,直至暴露出所述第一栅堆叠的第一栅极的顶表面为止;以及
将所述连接件填入所述开口中。
15.根据权利要求13所述的形成鳍式场效晶体管器件的方法,其特征在于,
于所述衬底上形成第二栅堆叠,且所述第一介电层位于所述第二栅堆叠侧边,
其中在局部地移除所述第一栅堆叠的步骤期间,所述第二栅堆叠被局部地移除,从而在所述第二栅堆叠上方提供第二凹陷,且
其中所述遮蔽层还形成于所述第二凹陷的表面上。
16.根据权利要求13所述的形成鳍式场效晶体管器件的方法,其特征在于,所述遮蔽层与所述连接件的一侧之间的接触面积大于所述遮蔽层与所述连接件的另一侧之间的接触面积。
17.根据权利要求13所述的形成鳍式场效晶体管器件的方法,其特征在于,所述连接件与所述遮蔽层的一个转折点实体接触,但不与所述遮蔽层的另一转折点实体接触。
18.一种半导体器件,其特征在于,包括:
第一栅堆叠,位于衬底之上;
间隙壁,配置于所述第一栅堆叠的至少一侧壁上且接触所述第一栅堆叠的所述至少一侧壁;
第一介电层,位于所述间隙壁侧边;
遮蔽层,覆盖所述第一介电层的顶表面;以及
连接件,接触所述第一栅堆叠的顶表面的第一部分,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
19.根据权利要求18所述的半导体器件,其特征在于,所述遮蔽层还延伸至覆盖所述第一栅堆叠的所述顶表面的第二部分。
20.根据权利要求19所述的半导体器件,其特征在于,还包括位于所述遮蔽层之上的第二介电层,其中所述连接件穿过所述第二介电层及所述遮蔽层。
21.根据权利要求20所述的半导体器件,其特征在于,所述第二介电层的一部分位在所述遮蔽层与所述连接件之间。
22.根据权利要求18所述的半导体器件,其特征在于,所述遮蔽层为单层结构。
23.根据权利要求22所述的半导体器件,其特征在于,所述二个转折点中的至少一个被所述第二介电层覆盖。
24.根据权利要求22所述的半导体器件,其特征在于,所述二个转折点与所述连接件接触。
25.根据权利要求18所述的半导体器件,其特征在于,所述第一栅堆叠包括栅介电层以及栅极。
26.根据权利要求25所述的半导体器件,其特征在于,所述栅介电层的一部分配置于所述第一介电层与所述栅极之间,且所述遮蔽层与所述栅介电层接触。
27.根据权利要求25所述的半导体器件,其特征在于,所述栅介电层配置于所述栅极与所述衬底之间,且所述遮蔽层不与所述栅介电层接触。
28.根据权利要求18所述的半导体器件,其特征在于,还包括位于所述衬底之上的第二栅堆叠,其中所述遮蔽层还延伸至覆盖所述第二栅堆叠的顶表面。
29.根据权利要求18所述的半导体器件,其特征在于,所述半导体器件为鳍式场效晶体管器件或平面器件。
30.一种鳍式场效晶体管器件,其特征在于,包括:
衬底,具有至少一个鳍;
第一栅堆叠,跨越所述至少一个鳍;
第一介电层,位于所述第一栅堆叠侧边;
间隙壁,位于所述第一栅堆叠与所述第一介电层之间;
第二介电层,位于所述第一介电层之上,其中所述第二介电层的一部分的底表面低于所述第一介电层的顶表面;
连接件,穿过所述第二介电层且电连接至所述第一栅堆叠;以及
遮蔽层,位于所述第一介电层与所述第二介电层之间、位于所述连接件与所述第一介电层之间且与所述连接件实体接触,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
31.根据权利要求30所述的鳍式场效晶体管器件,其特征在于,所述连接件覆盖所述遮蔽层的顶表面的一部分。
32.根据权利要求30所述的鳍式场效晶体管器件,其特征在于,还包括跨越所述至少一个鳍的第二栅堆叠,其中所述遮蔽层还延伸至覆盖所述第二栅堆叠的表面。
33.一种形成半导体器件的方法,其特征在于,包括:
提供衬底,其中于所述衬底上形成第一栅堆叠、于所述第一栅堆叠侧边形成间隙壁及于所述间隙壁侧边形成第一介电层;
在所述第一栅堆叠的顶表面上以及在所述第一介电层的顶表面上形成遮蔽层;
在所述遮蔽层之上形成第二介电层;以及
形成连接件,所述连接件穿过所述第二介电层及所述遮蔽层并电连接至所述第一栅堆叠,
其中所述间隙壁具有彼此相对的第一侧表面与第二侧表面以及连接所述第一侧表面与所述第二侧表面的顶表面,且
其中所述间隙壁的所述第一侧表面接触所述遮蔽层以及所述第一栅堆叠的栅介电层,所述间隙壁的所述第二侧表面接触所述第一介电层,且所述间隙壁的所述顶表面接触所述遮蔽层。
34.根据权利要求33所述的形成半导体器件的方法,其特征在于,形成所述连接件的步骤包括:
局部地移除所述第二介电层,以在所述第二介电层中形成开口;
对所述开口进行加深,直至暴露出所述第一栅堆叠的第一栅极的顶表面为止;以及
将所述连接件填入所述开口中。
35.根据权利要求34所述的形成半导体器件的方法,其特征在于,
所述开口形成为具有阶梯状侧壁,且所述开口还暴露出所述遮蔽层的顶表面的一部分,以及
所述连接件填入具有所述阶梯状侧壁的所述开口中,且覆盖所述遮蔽层的顶表面的暴露出的所述部分。
CN201611230186.5A 2016-03-07 2016-12-27 半导体器件、鳍式场效晶体管器件及其形成方法 Active CN107170825B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/062,226 US9761483B1 (en) 2016-03-07 2016-03-07 Semiconductor devices, FinFET devices and methods of forming the same
US15/062,226 2016-03-07

Publications (2)

Publication Number Publication Date
CN107170825A CN107170825A (zh) 2017-09-15
CN107170825B true CN107170825B (zh) 2021-12-14

Family

ID=59723728

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611230186.5A Active CN107170825B (zh) 2016-03-07 2016-12-27 半导体器件、鳍式场效晶体管器件及其形成方法

Country Status (3)

Country Link
US (2) US9761483B1 (zh)
CN (1) CN107170825B (zh)
TW (1) TWI759277B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573627B2 (en) * 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US9824921B1 (en) 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US9941278B2 (en) * 2016-07-06 2018-04-10 Globalfoundries Inc. Method and apparatus for placing a gate contact inside an active region of a semiconductor
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10283617B1 (en) * 2017-11-01 2019-05-07 Globalfoundries Inc. Hybrid spacer integration for field-effect transistors
CN110610924B (zh) * 2018-06-15 2021-04-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法、半导体结构
KR102521890B1 (ko) * 2018-07-17 2023-04-14 삼성전자주식회사 반도체 장치
US10529826B1 (en) 2018-08-13 2020-01-07 Globalfoundries Inc. Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20210057273A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11749753B2 (en) * 2020-06-15 2023-09-05 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate structure having a dielectric protection layer
US20220246473A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid film scheme for self-aligned contact

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101939830A (zh) * 2008-02-11 2011-01-05 Nxp股份有限公司 具有分立栅极的FinFET及其制造方法
CN104867967A (zh) * 2014-02-26 2015-08-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689672B1 (ko) * 2000-12-28 2007-03-09 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100459724B1 (ko) * 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
KR20060121370A (ko) * 2005-05-24 2006-11-29 삼성전자주식회사 액정표시장치의 제조방법과 이에 의한 액정표시장치
US8652912B2 (en) * 2006-12-08 2014-02-18 Micron Technology, Inc. Methods of fabricating a transistor gate including cobalt silicide
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
CN102800592B (zh) * 2011-05-25 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103377892B (zh) * 2012-04-13 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
CN103515293B (zh) * 2012-06-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种用于形成接触孔的方法
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9384988B2 (en) * 2013-11-19 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate protection caps and method of forming the same
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US9960256B2 (en) * 2014-05-20 2018-05-01 Globalfoundries Inc. Merged gate and source/drain contacts in a semiconductor device
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101939830A (zh) * 2008-02-11 2011-01-05 Nxp股份有限公司 具有分立栅极的FinFET及其制造方法
CN104867967A (zh) * 2014-02-26 2015-08-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
US9761483B1 (en) 2017-09-12
TW201732899A (zh) 2017-09-16
US20170256444A1 (en) 2017-09-07
CN107170825A (zh) 2017-09-15
TWI759277B (zh) 2022-04-01
US20180005877A1 (en) 2018-01-04
US10157783B2 (en) 2018-12-18

Similar Documents

Publication Publication Date Title
CN107170825B (zh) 半导体器件、鳍式场效晶体管器件及其形成方法
US10763341B2 (en) Semiconductor device structure and method for forming the same
US9947766B2 (en) Semiconductor device and fabricating method thereof
US10262894B2 (en) FinFET device and method for forming the same
CN111653483B (zh) 半导体器件及其制作方法
CN113659004B (zh) 半导体元件及其制作方法
US10283641B2 (en) Contact structures, FinFET devices and methods of forming the same
US20190296124A1 (en) Semiconductor device and manufacturing method thereof
CN111863711A (zh) 半导体结构及其形成方法
US9653364B1 (en) FinFET device and method of forming the same
TWI612666B (zh) 一種製作鰭狀場效電晶體的方法
CN110828377B (zh) 一种具有不对称功函数金属层的半导体元件
US9627537B1 (en) FinFET device and method of forming the same
US20200411527A1 (en) Memory structure
US20200043919A1 (en) Finfet devices and methods of forming the same
CN109545747B (zh) 半导体元件及其制作方法
CN116847725A (zh) 半导体结构及其形成方法
CN115621249A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant