TWI662652B - 形成積體電路的方法 - Google Patents

形成積體電路的方法 Download PDF

Info

Publication number
TWI662652B
TWI662652B TW107107487A TW107107487A TWI662652B TW I662652 B TWI662652 B TW I662652B TW 107107487 A TW107107487 A TW 107107487A TW 107107487 A TW107107487 A TW 107107487A TW I662652 B TWI662652 B TW I662652B
Authority
TW
Taiwan
Prior art keywords
gate
gate dielectric
pair
layer
spacers
Prior art date
Application number
TW107107487A
Other languages
English (en)
Other versions
TW201911468A (zh
Inventor
程冠倫
賴理學
蔡慶威
楊凱傑
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201911468A publication Critical patent/TW201911468A/zh
Application granted granted Critical
Publication of TWI662652B publication Critical patent/TWI662652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)

Abstract

本揭露提供了具有閘極堆疊的積體電路和形成積體電路的方法。在一些實施例中,一種方法包含接收工作部件,該工作部件包含:在通道區域上的一對側壁間隔件,設置在通道區域上並沿該對側壁間隔件的第一間隔件的垂直面延伸的閘極介電質,以及設置在高K值閘極介電質上並且沿垂直面延伸的覆蓋層。在覆蓋層上和高K值閘極介電質上形成成形特徵。高K值閘極介電質的第一部分和位於成形特徵和第一間隔件之間的覆蓋層的第一部分被移除,以留下高K值閘極介電質和沿著垂直面延伸的覆蓋層的第二部分。

Description

形成積體電路的方法
本揭露是有關於一種閘極堆疊的積體電路和形成積體電路的方法。
半導體積體電路(IC)產業經歷了快速的成長。在積體電路演進的進程中,功能密度(即,每個晶片面積互連裝置的數量普遍地增加,而幾何尺寸(即,可以使用加工製程創建的最小的元件(或線))已經減小。這種微小化製程通常透過提高生產效率和降低相關成本來提供益處。然而,這種微小化也伴隨著設計和製造包含這些積體電路的複雜性的增加。在製造上的類似進步允許具有精確性和可信度的設計越來越複雜。
例如,用於形成電晶體之閘極堆疊的材料不斷地發展。在高層次上,閘極堆疊可以包含導體與將導體和電晶管的通道區域分開的閘極介電質。最初,多晶矽取代鋁作為閘極堆疊中的導體。然而,多晶矽比許多金屬具有更高的阻抗,為了提高性能,先進的製造製程以增加複雜性為代價而回到了金屬閘極。
另一組改進使得能夠進行三維設計的製造,如鰭狀場效電晶體(fin-like field effect transistor,FinFET)。鰭狀場效電晶體可以被設想為從基板突出並且進入閘極的典型平面裝置。範例的鰭狀場效電晶體被製造為具有從基板向上延伸的薄「鰭片」(或鰭狀結構)。場效電晶體的通道區域形成在此垂直鰭片中,並且在鰭片的通道區域上(例如,圍繞)提供一個閘極。圍繞著鰭片的閘極增加了通道區域和閘極之間的接觸面積,並允許閘極從多個側面控制通道。這可以通過多種方式加以利用,並且在某些應用中,鰭狀場效電晶體可以減少短通道效應,減少漏電和提高電流。換句話說,它們可能比平面裝置更快、更小、更有效率。
本揭露提供了具有閘極堆疊的積體電路和形成積體電路的方法。在一些實施例中,一種方法包含接收工作部件,該工作部件包含:在通道區域上的一對側壁間隔件,設置在通道區域上並沿該對側壁間隔件的第一間隔件的垂直面延伸的閘極介電質,以及設置在高K值閘極介電質上並且沿垂直面延伸的覆蓋層。在覆蓋層上和高K值閘極介電質上形成成形特徵。高K值閘極介電質的第一部分和位於成形特徵和第一間隔件之間的覆蓋層的第一部分被移除,以留下高K值閘極介電質和沿著垂直面延伸的覆蓋層的第二部分。
100‧‧‧方法
102、104、106、108、110、112、114、116、118、120、122、124、126、128、130‧‧‧方塊
200‧‧‧工作部件
202、204‧‧‧平面
206‧‧‧基板
208‧‧‧鰭片
210‧‧‧隔離特徵
212‧‧‧源極/汲極特徵
214‧‧‧通道區域
216‧‧‧閘極堆疊
218‧‧‧層間介電層
302‧‧‧佔位閘電極
304‧‧‧閘極蓋
306‧‧‧閘極間隔件
307‧‧‧接觸蝕刻停止層
502‧‧‧閘極凹槽
702‧‧‧界面層
704‧‧‧閘極介電層
705‧‧‧厚度
706‧‧‧覆蓋層
902‧‧‧輪廓成形特徵
1302‧‧‧區域
1304‧‧‧高度
1306‧‧‧總閘極高度
1308‧‧‧閘極長度
1702‧‧‧阻擋層
1704‧‧‧功函數層
1706‧‧‧膠合層
1708‧‧‧電極填充物
2100‧‧‧工作部件
2102‧‧‧第一高度
2104‧‧‧第二高度
2300‧‧‧工作部件
2302‧‧‧第一高度
2304‧‧‧第二高度
2500‧‧‧工作部件
當與附圖一起閱讀時,可以從以下的詳細描述中更好的理解本揭露的各個面向。需要強調的是,根據業界的標準慣例,各種特徵並不是按照比例繪製的,而是僅用於說明的目的。事實上,為了清楚地討論,可以任意的增加或減少各種特徵尺寸。
第1A圖和第1B圖為根據本揭露的各個態樣用替換的閘極製造工作部件的方法的流程圖。
第2圖為根據本揭露的各個態樣的經歷製造方法的工作部件的立體圖。
第3、5、7、9、11、13、15、17和19圖為根據本揭露的各個態樣的製造方法在工作部件沿第一橫截面各點的剖面圖。
第4、6、8、10、12、14、16、18和20圖為根據本揭露的各個態樣的製造方法在工作部件沿第二橫截面各點的剖面圖。
第21圖為根據本揭露的各個態樣的工作部件沿第一橫截面的剖面圖,其中覆蓋層比閘極介電層更加凹陷。
第22圖為根據本揭露的各個態樣的工作部件沿第二橫截面的剖面圖,其中覆蓋層比閘極介電層更加凹陷。
第23圖為根據本揭露的各個態樣的工作部件沿第一橫截面的剖面圖,其中閘極介電層比覆蓋層更加凹陷。
第24圖為根據本揭露的各個態樣的工作部件沿第二橫截面的剖面圖,其中閘極介電層比覆蓋層更加凹陷。
第25圖為根據本揭露的各個態樣的具有替代閘極堆疊的工作部件沿第一橫截面平面的剖面圖。
第26圖為根據本揭露的各個態樣具有替代閘極堆疊的工作部件沿第二橫截面平面的剖面圖。
以下揭露提供了用於實行本揭露的不同特徵的許多不同實施例或範例。以下敘述的元件和布置的具體範例以簡化本揭露。當然這些僅僅是範例,並不意在限制。例如,在以下的描述中在第二特徵之上或上方形成第一特徵可以包含其中第一和第二特徵形成為直接接觸的實施例,並且還可以包含其中在第一和第二特徵之間形成附加特徵的實施例,使得第一和第二特徵可以不直接接觸。另外,本揭露可以在各範例中重複附圖標記和/或字母。這種重複是為了使目的簡單且清楚,本身並不討論各種實施例和/或配置之間的關係。
此外,在下面的本揭露中,一個特徵上形成、連接到和/或耦合到另一個特徵可能包含其中特徵形成為直接接觸的實施例,並且還可以包含其中附加特徵的實施例可以形成插入特徵,使得特徵不直接接觸。另外,如「低於」、「高於」、「水平方向」、「垂直方向」、「在......之上」、「以上」、「以下」、「在......下面」、「向上」、「向下」、「頂部」、「底部」等空間相對術語以及其衍伸詞語(例如,「水平地」、「向下地」、「向上地」等)以便於容易描述 本揭露的一個特徵與另一個特徵的關係。空間相對術語旨在覆蓋包含特徵的裝置的不同方向。
在最基本的情況下,電晶體可以包含摻雜的半導體以形成由通道區域分開的源極/汲極特徵。閘極堆疊設置在通道區域上,並且包含閘電極和分隔閘電極與通道區域的閘極介電質。雖然可以使用任何的適合的閘極介電質,但是本揭露許多實施例使用高K值閘極介電質來減少洩漏電流,降低閥值電壓,和/或優化電晶體的操作。
在一些實施例中,在大量製造過程期間使用佔位(例如,多晶矽)閘極。佔位閘極隨後被功能閘極元件替換。然而,在由佔位閘極留下的凹槽內形成功能元件已經被證明為具有挑戰性的。已經確定的是,例如,沿著凹槽的整個側壁共形地形成閘極介電質可以增加寄生電容,降低反轉電荷的強度,並且在沉積閘極材料時增加空隙和其他缺陷的風險。如下所述,在一些實施例中,閘極介電質的一些或全部從凹槽的側壁移除。這些實施例可以進而提供改進的裝置性能和可靠性。然而,除非另外說明,否則不需要實施例提供任何特定的優點。
本揭露的技術可以用於形成各種平面和非平面的裝置。參照第1A-20圖描述的鰭狀場效電晶體的實施例以及其形成方法。在這方面,第1A圖和第1B圖為根據本揭露的各方面的以替代閘極製造工作部件200的方法100的流程圖。可以在方法100之前、期間和之後提供額外的步驟,並且對於方法100的其他實施例可以替換或消除所描述的一 些步驟。第2圖為根據本揭露的各個方面的進行製造方法100的工作部件200的透視圖。第3、5、7、9、11、13、15、17和19圖為根據本揭露的各個方面的製造方法100的各個點處沿著的第一橫截面(第2圖的平面202)截取的工作部件200的橫截面圖。第4、6、8、10、12、14、16、18和20圖為根據本揭露的各個方面的製造方法的各個點處沿著的第二橫截面(第2圖的平面204)截取的工作部件200的橫截面圖。為了清楚起見,第2-20圖已經簡化並且更好地示出本揭露的概念。附加的特徵可以結合到工作部件200中,並且對於工作部件200的其他實施例,以下描述的一些特徵可以被替換或消除。
參照第1A圖的方塊102,和參照第2圖,工作部件200被接收。工作部件200包含了將在其上形成裝置的基板206。在各種實施例中,基板206包含元素型(單元素)半導體,例如晶體結構中的矽或鍺;諸如碳化鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦的化合物半導體;諸如鈉鈣玻璃、熔融矽石、熔融石英和/或氟化鈣(CaF2)的非半導體材料;和/或其組合。
基板206可以是均勻的成分,或可以包含各種層,其中一些可以被選擇性地蝕刻以形成鰭片。這些層可以具有相似或不同的組成,並且在各個實施例中,一些基板層具有不均勻的組成以誘發裝置應變並由此調整裝置性能。分層基板的實施例包含絕緣體上矽(silicon-on-insulator,SOI)基板206。在一些實施例中,基板206的層可以包含諸 如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物和/或其他合適的絕緣體材料的絕緣體。
在一些實施例中,形成在基板206上的裝置延伸出基板206。例如,可以在設置在基板206上的裝置鰭片208上形成鰭狀場效電晶體和/或其他平面裝置。裝置鰭片208代表任何的凸起特徵,並且包含鰭狀場效電晶體裝置鰭片208以及用於在基板206上形成其他凸出的主動和被動元件的鰭片208。可以透過蝕刻基板206的部分,透過在基板206上沉積各種層並蝕刻這些層,和/或透過其他合適的技術來形成鰭片208。鰭片208的組成可以與基板206類似,或者可以與其不同。例如,在一些實施例中,基板206可以主要包含矽,而鰭片208包含主要為鍺或矽化鍺化合物半導體的一個或多個層。在一些實施例中,基板206包含矽化鍺化合物半導體,並且鰭片208包含一個或多個層,該一個或多個層包含具有不同比例的矽和鍺的矽化鍺化合物半導體。
鰭片208可以透過隔離特徵210(例如淺溝槽隔離特徵(shallow trench isolation feature,STI))在物理上和電性上彼此分離。在各種實施例中,隔離特徵210包含諸如半導體氧化物、半導體氮化物、半導體碳化物、氟矽酸鹽玻璃(FluoroSilicate Glass,FSG)、低K值介電質材料和/或其他適合的介電質材料的介電質材料。
在一些實施例中,裝置鰭狀物208包含形成在鰭片208上並由通道區域214分開的一對源極/汲極特徵212限定的電晶體(例如,鰭狀場效電晶體)。源極/汲極特徵212 可以包含半導體(例如矽、鍺、矽化鍺等)和一種或多種摻雜劑如p型摻雜劑(例如硼或氟化硼(BF2))或n型摻雜劑(例如磷或砷)。類似地,通道區域214可以包含半導體以及與源極/汲極特徵212的那些相反類型的一種或多種摻雜劑。
透過通道區域214載體(用於n通道鰭狀場效電晶體的電子和用於p通道鰭狀場效電晶體的電洞)的流動受到施加到閘極堆疊216的電壓控制,閘極堆疊216與通道區域214相鄰且重疊。閘極堆疊216顯示為半透明的以更好的表示出下面的通道區域214,並且在隨後的圖更詳細地示出。
工作部件200包含設置在工作部件200上的層間介電質(Inter-Level Dielectric,ILD)層218。層間介電質層218顯示為半透明的以更好的表示出工作部件200的其餘部分。層間介電質層218用作支撐和隔離電性互連工作部件200的元件(諸如源極/汲極特徵212和閘極堆疊216)的電多層互連結構的導電性軌跡的絕緣體。層間介電質層218可以包含介電質材料(例如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物等),旋塗式玻璃(Spin on glass,SOG),氟矽酸鹽玻璃,磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、Black Diamond(Applied Materials of Santa Clara,California)、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯、苯並環丁烯(BCB)、SiLK®(Dow Chemical of Midland,Michigan),和/或其組合。層間介電質層218可以透過包含 化學氣相沉積(Chemical Vapor Deposition,CVD)、物理氣相沉積(Physical Vapor Deposition,PVD)、旋塗沉積和/或其他適合的製程來形成。
參照第3圖至第4圖,當接收到工作部件200時,閘極堆疊216可以包含佔位元件如佔位閘電極302。例如,在閘極最後製程(gate-last process)中,在一些製造製程期間使用佔位閘電極302,隨後將其移除並用一個或多個功能閘極特徵(例如閘電極材料、閘極介電質材料、界面層等)。這可以在功能特徵諸如源極/汲極激活退火的一些製造製程靈敏時完成。佔位閘電極302可以包含多晶矽、介電質材料和/或其他合適的材料。
閘極堆疊216還可以包含設置在佔位閘電極302的頂面上的閘極蓋304。在諸如蝕刻的製造製程期間,閘極蓋304保護佔位閘電極302。在各種實施例中,閘極蓋304包含介電質材料(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體氮碳氧化物等)和/或其他適合的材料。在一些實施例中,閘極蓋304包含碳化矽。
閘極堆疊216還可以包含設置在佔位閘電極302側面上的閘極間隔件306或側壁間隔件。類似於閘極蓋304,閘極間隔件306可以保護佔位閘電極302,並且可以在形成源極/汲極特徵212以控制他們的偏移和接合點分布時使用。在各種實施例中,閘極間隔件306包含介電質材料(例如半導體氧化物、半導體氮化物、半導體氮氧化物、半 導體碳化物、半導體氮碳氧化物等)和/或其他適合的材料,並且組成和蝕刻靈敏度不同於閘極蓋304。在一個實施例中,閘極間隔件306各自包含一層或多層氮化矽和/或氧化矽。
在一些實施例中,工作部件200包含設置在閘極間隔件306旁邊的接觸蝕刻停止層(Contact Etch Stop Layer,CESL)307。接觸蝕刻停止層307可以圍繞並覆蓋源極/汲極特徵212,並且可以設置在隔離特徵210沒有被閘極堆疊216覆蓋的部份的頂面上。接觸蝕刻停止層307可以包含介電質(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物等)或其他適合的材料,並且在各種實施例中,接觸蝕刻停止層307包含氮化矽、氧化矽和/或氮氧化矽。層間介電質層218設置在接觸蝕刻停止層307上。
參照第1A圖的方塊104,和參照第5圖和第6圖,使用蝕刻製程以移除閘極蓋304和佔位閘電極302。移除閘極蓋304和佔位閘電極302同時留下閘極間隔件306和層間介電質層218定義的閘極凹槽502,在閘極凹槽502內形成閘極堆疊216的功能結構。閘極凹槽502的底部可以由暴露的通道區域214和隔離特徵210定義,並且閘極凹槽502的側面可以由閘極間隔件306定義。蝕刻製程可以包含任何適合的蝕刻技術,諸如濕蝕刻、乾蝕刻、反應式離子蝕刻(Reactive Ion Etching,RIE)、灰化和/或其他蝕刻方法。在一些實施例中,蝕刻製程包含使用氧基的蝕刻劑、氟基的蝕刻劑、氯基的蝕刻劑、溴基的蝕刻劑、碘基的蝕刻劑, 其他適合的基的蝕刻劑、氣體或電漿和/或其組合。在一些實施例中,蝕刻製程包含使用技術和蝕刻劑的第一階段,該技術和蝕刻劑被選擇為選擇地蝕刻閘極蓋304,而沒有對周圍的結構進行顯著的蝕刻,並且第二階段該技術和蝕刻劑被使用選擇來選擇地蝕刻佔位閘電極302,而沒有對周圍的結構進行顯著的蝕刻。
參照第1A圖的方塊106,和參照第7圖和第8圖,界面層702形成在閘極凹槽502內的鰭片208的頂面和側面上。界面層702可以包含任何適合的材料,例如介電質(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體氮氧碳化物等)或其他適合的材料。界面層702可以透過化學氧化、熱氧化、化學氣相沉積、原子層沉積(Atomic Layer Deposition,ALD)和/或其他技術來形成。因此,在一些實施例中,界面層702包含透過熱氧化形成的氧化矽和/或矽-鍺氧化物。
參照第1A圖的方塊108,仍然參照第7圖和第8圖,閘極介電層704形成在界面層702上以及閘極凹槽502內的隔離特徵210上。當形成閘極介電層704時,可以覆蓋界面層702和閘極凹槽502內的隔離特徵210。閘極介電層704也可以垂直地沿著閘極間隔件306,沿著閘極間隔件306的整個側面垂直延伸。如下更詳細解釋,閘極介電層704的垂直部分可以隨後被移除,以減小閘極堆疊216和相鄰特徵之間的耦合電容。
用於閘極介電層704的合適材料通常以相對於 氧化矽的介電常數(k)為特徵。閘極介電層704可以包含諸如二氧化鉿(HfO2)、氧矽化鉿(HfSiO)、氧鉭化鉿(HfTaO)、氧鈦化鉿(HfTiO)、氧鋯化鉿(HfZrO)、二氧化鋯(ZrO2)、三氧化二鑭(La2O3)、二氧化鈦(TiO2)、三氧化二釔(Y2O3)、鈦酸鍶(SrTiO3)、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)、其他適合的高k值介電質材料,和/或其組合。在許多應用中,高k值介電質材料減少了閘電極和通道區域214之間的穿隧效應,使得可以減少洩漏,避免介電質崩解,並增加電晶體的壽命。在一些應用中,由於高k值介電質材料可能使得閘極介電層704整體更厚,透過調整閘極介電層704的厚度來調整各個電晶體的參數(例如操作電壓或閥值電壓)變得更容易。
然而,閘極介電層704不限於高k值介電質材料。另外地或替代地,閘極介電層704可以包含其他介電質,例如二氧化矽、氮化矽、非晶質碳、四乙氧基矽酸鹽(tetraethylorthosilicate,TEOS)、其他適合的介電質材料和/或其組合。
可以透過諸如化學氣相沉積、原子層沉積、電漿促進化學氣相沉積(Plasma Enhanced CVD,PE CVD)或電漿促進原子層沉積(Plasma Enhanced ALD,PEALD)等任何合適的技術來形成閘極介電層704。閘極介電層704可以形成為任何合適的厚度705,並且在一些實施例中,閘極介電層704具有約在0.1nm和約3nm之間的厚度705。
參照第1A圖的方塊110,仍然參照第7圖和第8 圖,在閘極凹槽502內的閘極介電層704上形成覆蓋層706。覆蓋層706可以覆蓋閘極介電層704的水平面以及閘極介電層704的垂直面,閘極介電層704沿著閘極間隔件垂直延伸。隨後可以沿著閘極介電層704的垂直部分移除覆蓋層706的垂直部分。
覆蓋層706可以包含任何適合的導電材料,包含金屬(例如鎢、鋁、鉭、鈦、鎳、銅、鈷等),金屬氮氧化物,和/或金屬矽氮化物,並且可以透過化學氣相沉積、原子層沉積、電漿促進化學氣相沉積、電漿促進原子層沉積、物理氣相沉積和/或其他適合的沉積製程。在各種實施例中,覆蓋層706包含氮矽化鉭(TaSiN)、氮化鉭(TaN)或氮化鈦(TiN)。
參照第1A圖的方塊112,另外參照第9圖和第10圖,在工作部件上形成輪廓成形特徵902。具體而言,輪廓成形特徵902填充閘極凹槽502,並且在隨後的蝕刻製程期間保護凹槽502內的閘極介電層704和覆蓋層706的下面部分。輪廓成形特徵902可以由任何適合的材料形成,該材料可以被選擇為具有與閘極介電層704和覆蓋層706不同的蝕刻劑靈敏度。在各種實施例中,輪廓成形特徵902包含介電質(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體氮氧碳化物等)、多晶矽、旋塗式玻璃、四乙氧基矽酸鹽、電漿促進化學氣相沉積(PE氧化物)、高寬比工藝(High-Aspect-Ratio-Process,HARP)形成的氧化物、底部抗反射塗層(Bottom Anti-Reflective Coating,BARC)和/或其他適合的材料。可以使用包含原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、旋塗沉積和/或其他適合的沉積製程來沉積輪廓成形特徵902。
參照第1A圖的方塊114,另外參照第11圖和第12圖,輪廓成形特徵902以暴露凹槽502的閘極介電層704和覆蓋層706。在各種實施例中,使用濕蝕刻、乾蝕刻、反應式離子蝕刻和/或化學機械平坦化/拋光(Chemical Mechanical Planarization/Polishing,CMP)來回蝕輪廓成形特徵902。在一些實施例中,方塊114的蝕刻被配置為在閘極凹槽502內留下輪廓成形特徵902的一部分,以保護閘極介電層704和覆蓋層706的下面部分。輪廓成形特徵902的剩餘部分可以具有任何合適的高度和/或縱橫比。在各種實施例中,輪廓成形特徵902具有大約1:1和大約2:1之間的縱橫比(沿著閘極長度方向的高度與寬度)。
在一些實施例中,輪廓成形特徵902的最上表面與定義了閘極凹槽502的閘極間隔件306的最上表面實質上共平面。相反地,在一些實施例中,蝕刻持續進行直到輪廓成形特徵902的最上表面低於閘極間隔件306的最上表面。
參照第1B圖的方塊116,另外參照第13圖和第14圖,覆蓋層706和閘極介電層704被回蝕刻。在凹槽502內,蝕刻移除沿著閘極間隔件306的垂直面延伸的至少一些覆蓋層706和閘極介電層704。特別地,方塊116的蝕刻可 以被配置為停止,而與閘極間隔件306相鄰的覆蓋層706和閘極介電層704的最上表面仍然在輪廓成形特徵902下方的覆蓋層706和閘極介電層704的最上表面之上以及在凹槽502的中心中。沿著閘極間隔件306的垂直面延伸的覆蓋層706和閘極介電層704的剩餘區域1302,可以具有在輪廓成形特徵902下方的閘極介電層704的頂面測量的任何適合的高度1304。
在各種實施例中,高度1304在大約1nm和25nm之間,其中高度1304大約為0的其他實施例在以下的圖中示出。因此,在各種實施例中,閘極介電層704的高度1304與厚度705的比例可以在大約1:1與10:1之間。換言之,在各種實施例中,總閘極高度1306在大約10nm和大約200nm之間,並且沿著閘極間隔件306的垂直面延伸的區域1302之高度1304與總閘極高度1306的比例約為1:4或更小。因此,閘極間隔件306的大部分垂直面可以不具有閘極介電層704。為了比較,在一些實施例中,閘極長度1308在大約10nm和100nm之間,並且區域1302的高度1304與閘極長度1308的比例大約為1:4或更小。儘管第13圖顯示了覆蓋層706和閘極介電層704的剩餘區域具有相同高度1304的實施例,但是下面示出了其他實施例,其中覆蓋層706和閘極介電層704被蝕刻到不同的深度。
從閘極間隔件306的至少一部分移除閘極介電層704和覆蓋層706,可以提供許多益處。舉例來說,移除一些閘極介電層704和覆蓋層706可以減少寄生電容。在一 些實施例中,閘極堆疊216和諸如源極/汲極觸點的相鄰導體之間的電容耦合減小。在一些實施例中,閘極堆疊216的邊緣電容減小。這些電容效應可能會延遲閘極和源極/汲極觸點處的電壓上升和下降。因此,透過減小電容耦合,本結構可以提供增加的開關速度,降低開關的能量消耗和降低耦合雜訊。
在一些應用中,當凹槽中形成了後續的閘極金屬時,閘極凹槽502的寬度(在閘極長度1308方向上)提供了挑戰。移除一些閘極介電層704和覆蓋層706為這些閘極金屬提供更寬的凹槽502,這可以透過更寬的填充間隙來改善它的均勻性。此外,因為閘極介電層704和覆蓋層706從閘極間隔件306的至少一部分被移除,所以閘極金屬可以形成得更靠近閘極間隔件306。在一些這樣的實施例中,這種配置特別是在通道區域214的邊界處改善由閘極堆疊產生的反轉電荷的強度和均勻性。
在各種實施例中,覆蓋層706和閘極介電層704的蝕刻包含濕蝕刻、乾蝕刻、反應式離子蝕刻和/或其他適合的蝕刻製程。在一些實施例中,選擇蝕刻製程和化學物質以避免閘極間隔件306和輪廓成形特徵902之顯著的蝕刻。方塊116的蝕刻可以包含不同的蝕刻製程和化學物質以分別把目標指向覆蓋層706和閘極介電層704。在一個實施例中,蝕刻包含使用氯基蝕刻劑的濕蝕刻製程。
參照第1B圖的方塊118,另外參照第15圖和第16圖,輪廓成形特徵902的剩餘部分從閘極凹槽502移除。 可以使用諸如濕蝕刻、乾蝕刻、反應式離子蝕刻和/或其他適合的蝕刻製程來移除輪廓成形特徵902,並且可以選擇特定的蝕刻製程來避免對覆蓋層706、閘極介電層704、閘極間隔件306和/或工作部件200的其他元件之顯著蝕刻。在一些這樣的實施例中,透過氧反應物灰化來移除輪廓成形特徵902。
在下面的步驟中,閘電極形成在成形的覆蓋層706和閘極介電層704上。參照第1B圖的方塊120,另外參照第17圖和第18圖,阻擋層1702形成在閘極凹槽502內的覆蓋層706上和閘極介電層704上。在凹槽502的側面,阻擋層1702可以實體接觸閘極介電層704。阻擋層1702也可以實體接觸並沿閘極間隔件306的垂直面延伸。阻擋層1702可以包含任何適合的材料,例如鎢、鈦、氮化鈦、釕和/或其組合。用於阻擋層1702的材料可以基於它們擴散到覆蓋層706、閘極介電層704和閘極間隔件306中的彈性來選擇。可以透過包含原子層沉積、化學氣相沉積、電漿促進化學氣相沉積、電漿促進原子層沉積、物理氣相沉積(例如濺射)和/或其組合的任何適合的技術來沉積阻擋層1702。如上所述,因為已經移除了閘極介電層704和覆蓋層706的垂直部分,所以閘極凹槽502的大部分更寬,這可以提供阻擋層1702和隨後的層更均勻的沉積。
參照第1B圖的方塊122,另外參照第17圖和第18圖,在阻擋層1702上的閘極凹槽502內形成一個或多個功函數層1704。在凹槽502的側面,功函數層1704可以設 置在閘極介電層704和覆蓋層706的頂部上,且可以實體接觸並沿著阻擋層1702的垂直面延伸。基於閘極堆疊216所對應的裝置類型,適合的功函數層1704材料包含n型和/或p型功函數材料。示範的p型功函數金屬包含氮化鈦(TiN)、氮化鉭(TaN)、釕、鉬、鋁、氮化鎢(WN)、矽化鋯(ZrSi2)、矽化鉬(MoSi22)、矽化鉭(TaSi22)、矽化鎳(NiSi22),其他適合的p型功函數材料,和/或其組合。示範的n型功函數金屬包含鈦、銀、鋁化鉭(TaAl)、碳鋁化鉭(TaAlC)、鋁化鈦(TiAl)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳、鋯,其他適合的n型功函數材料,和/或其組合。功函數層1704可以透過包含原子層沉積、化學氣相沉積、電漿促進化學氣相沉積、電漿促進原子層沉積、物理氣相沉積和/或其組合的任何適合的技術來沉積。由於閘極介電層704和覆蓋層706的垂直部分已經移除,所以功函數層1704可以比覆蓋層706更寬,並且比閘極介電層704的中心部分更寬且具有實質上一致的厚度。
參照第1B圖的方塊124,另外參照第17圖和第18圖,可以在功函數層1704上的凹槽502內形成膠合層1706。在凹槽502的側面,膠合層1706可以實體接觸並沿著功函數層1704的垂直面延伸。膠合層1706可以包含任何適合的材料,諸如金屬(例如鎢、鋁、鉭、鈦、鎳、銅、鈷等)、金屬氧化物,金屬氮化物和/或其組合。相應地,在一個實施例中,膠合層1706包含氮化鈦。可以透過包含原子層沉積、化學氣相沉積、電漿促進化學氣相沉積、電漿促進原子層沉積、物理氣相沉積和/或其組合的任何適合的技術 來沉積膠合層1706。
參照第1B圖的方塊126,另外參照第17圖和第18圖,在膠合層1706上的凹槽502內形成電極填充物1708。電極填充物1708可以包含金屬(例如鎢、鋁、鉭、鈦、鎳、銅、鈷等)、金屬氧化物,金屬氮化物和/或其組合的任何適合材料,並且在一個實施例中,電極核心包含鎢。可以透過包含原子層沉積、化學氣相沉積、電漿促進化學氣相沉積、電漿促進原子層沉積、物理氣相沉積和/或其組合的任何適合的技術來沉積電極填充物1708。
參照第1B圖的方塊128,另外參照第19圖和第20圖,可以執行化學機械平坦化/拋光製程以移除閘極堆疊216外部的材料(例如阻擋層1702、功函數層1704、膠合層1706、電極填充物1708等材料)。
參照第1B圖的方塊130,工作部件200被用於進一步製造。在各種實施例中,進一步的製造包含形成電耦合到閘極堆疊216和源極/汲極特徵212的觸點,形成電互連結構的剩餘部分,切割,封裝,和其他製造製程。
如上所述,在方塊116中,蝕刻閘極介電層704和覆蓋層706可能導致覆蓋層706和閘極介電層704具有不同的高度。第21圖為根據本揭露的各個方面的沿著第一橫截面截取的工作部件200的橫截面圖,其中覆蓋層比閘極介電層更加凹陷。第22圖為根據本揭露的各個方面的沿著第二橫截面截取的工作部件的橫截面圖,其中覆蓋層比閘極介電層更加凹陷。工作部件2100可以使用第1圖和第2圖的方 法和/或任何其他適合的技術形成。為了清楚起見,第21圖和第22圖已經簡化並且更好地示出本揭露的概念。附加特徵可以結合到工作部件2100中,並且對於工作部件2100的其他實施例,以下描述的一些特徵可以被替換或消除。
在許多方面,第21圖和第22圖的工作部件2100實質上類似於第2-20圖的工作部件200,並且包含通道區域214、閘極間隔件306、層間介電層218和界面層702。在圖式的實施例中,在方塊116的蝕刻之後,與閘極間隔件306相鄰的閘極介電層704的剩餘部分具有從遠離閘極間隔件306的閘極介電層704的部分的頂面測量的第一高度2102。覆蓋層706的剩餘部分具有從遠離閘極間隔件306的閘極介電層704的部分的頂面測量的第二高度2104。透過對閘極介電層704和覆蓋層706使用不同的蝕刻技術(例如,不同的蝕刻製程、不同的蝕刻參數和/或不同的蝕刻劑),或透過使用具有對閘極介電層704和覆蓋層706的材料產生不同蝕刻劑速率的參數和/或蝕刻劑的蝕刻技術,在第一高度2102大於第二高度2104的情況下,產生閘極堆疊216。在各種實施例中,第一高度2102與第二高度2104的比例大於約1:1且小於約2:1。在一些這樣的實施例中,閘極介電層704的第一高度2102在大約1nm和25nm之間,並且可以在閘極介電層704的厚度的大約1倍和10倍之間。在一些這樣的實施例中,閘極介電層704的第一高度2102與總閘極高度1306的比例大約為1:4或更小。因此,閘極間隔件306的大部分垂直面可以不具有閘極介電層704。
實質上如上所述,阻擋層1702、功函數層1704、膠合層1706和電極填充物1708被設置成一個放置在另一個之上。
在更進一步的實施例中,剩餘的閘極介電層704的高度小於方塊116之後覆蓋層706的高度。第23圖為根據本揭露的各個方面的沿著第一橫截面截取的工作部件2300的橫截面圖,其中閘極介電層比覆蓋層更加凹陷。第24圖為根據本揭露的各個方面的沿著第二橫截面截取的工作部件2300的橫截面圖,其中閘極介電層比覆蓋層更加凹陷。工作部件2300可以使用第1圖和第2圖的方法和/或任何其他適合的技術形成。為了清楚起見,第23圖和第24圖已經簡化並且更好地示出本揭露的概念。附加特徵可以結合到工作部件2300中,並且對於工作部件2300的其他實施例,以下描述的一些特徵可以被替換或消除。
在許多方面,第23圖和第24圖的工作部件2300實質上類似於第2-20圖的工作部件200與第21圖和第22圖的工作部件2100,並且包含通道區域214、閘極間隔件306、層間介電層218、界面層702、阻擋層1702、功函數層1704、膠合層1706和電極填充物1708,每個實質上都如上所述。
在圖式的實施例中,在方塊116的蝕刻之後,與閘極間隔件306相鄰的閘極介電層704的剩餘部分具有從遠離閘極間隔件306的閘極介電層704的部分的頂面測量的第一高度2302。覆蓋層706的剩餘部分具有從遠離閘極間隔 件306的閘極介電層704的部分的頂面測量的第二高度2304。透過對閘極介電層704和覆蓋層706使用不同的蝕刻技術(例如,不同的蝕刻製程、不同的蝕刻參數和/或不同的蝕刻劑),或透過使用具有對閘極介電層704和覆蓋層706的材料產生不同蝕刻劑速率的參數和/或蝕刻劑的蝕刻技術,在第一高度2302大於第二高度2304的情況下,產生閘極堆疊216。在各種實施例中,第一高度2302與第二高度2304的比例小於約1:1且大於約1:10。在一些這樣的實施例中,閘極介電層704的第一高度2302在大約1nm和25nm之間,並且可以在閘極介電層704的厚度的大約1倍和10倍之間。在一些這樣的實施例中,閘極介電層704的第一高度2302與總閘極高度1306的比例大約為1:4或更小。因此,閘極間隔件306的大部分垂直面可以不具有閘極介電層704。
如上所述,在方塊116中,閘極介電層704和覆蓋層706的蝕刻可以持續,直到區域1302的高度1304為零或趨近於零。第25圖為根據本揭露的各個方面的具有沿著第一橫截面平面截取的替代閘極堆疊的工作部件2500的橫截面圖。第26圖為根據本揭露的各個方面具有沿著第二橫截面平面截取的替代閘極堆疊的工作部件2500的橫截面圖。工作部件2500可以使用第1圖和第2圖的方法和/或任何其他適合的技術形成。為了清楚起見,第25圖和第26圖已經簡化並且更好地示出本揭露的概念。附加特徵可以結合到工作部件2500中,並且對於工作部件2500的其他實施例,以下描 述的一些特徵可以被替換或消除。
在許多方面,第25圖和第26圖的工作部件2500實質上類似於第2-20圖的工作部件200,並且包含通道區域214、閘極間隔件306、層間介電層218、界面層702、阻擋層1702、功函數層1704、膠合層1706和電極填充物1708,每個實質上都如上所述。
在圖式的實施例中,在方塊116的蝕刻之後,閘極介電層704的頂面從一個閘極間隔件306到相對的閘極間隔件306是實質上平坦的,並且閘極介電層704具有實質上一致的厚度。在一些實施例中,閘極介電層704具有在大約0.1nm和約3nm之間的厚度705。覆蓋層706的頂面可以從覆蓋層706的邊緣到另一個邊緣實質上平坦,並且覆蓋層706可以具有實質上一致的厚度。在一些實施例中,因為覆蓋層706形成於閘極介電層704內部,而閘極介電層704具有U形的形狀,所以覆蓋層706不會一直延伸到閘極間隔件306。阻擋層1702設置在覆蓋層706和閘極介電層704上,並且實體接觸閘極間隔件306附近的閘極介電層704。
因此,本揭露提供了具有閘極堆疊的積體電路和形成積體電路的方法。在一些實施例中,一種方法包含接收工作部件,工作部件包含:基板;從基板延伸並具有通道區域的鰭片;以及設計在通道區域上的閘極堆疊,其包含一對相對的閘極間隔件;設置在一對相對的閘極間隔件之間的佔位閘電極;以及設置在佔位閘電極上並在一對相對的閘極間隔件之間延伸的閘極蓋。佔位閘電極和閘極蓋被移除,用 以在一對相對的閘極間隔件之間形成閘極凹槽。在一對相對的閘極間隔件之間的閘極凹槽內的通道區域上形成界面層。在一對相對的閘極間隔件之間的閘極凹槽內的界面層上形成閘極介電質,使得閘極介電質沿著每對相對的閘極間隔件的整個垂直側壁延伸。在相對的閘極間隔件之間的閘極凹槽內的閘極介電質上形成覆蓋層,使得覆蓋層沿著閘極介電質的整個垂直側壁延伸。在閘極凹槽內的閘極介電質和覆蓋層上形成阻擋特徵。移除阻擋特徵,使得阻擋特徵的頂面與該對相對的閘極間隔件的頂面共平面或是低於該頂部。閘極介電質和覆蓋層中的每一個部分從相對的閘極間隔件的每一個垂直側壁移除。阻擋特徵的剩餘部分被移除。在閘極凹槽內的閘極介電質和覆蓋層上形成阻擋層。在閘極凹槽內的阻擋層上形成膠合層。在閘極凹槽內的膠合層上形成電極填充物。在工作部件上執行化學機械平坦化製程以移除阻擋層、膠合層和延伸超過閘極凹槽的電極填充物的每一個部分,並且提供工作部件用於進一步製造。
在更進一步的實施例中,一種裝置包含:基板;從基板延伸的鰭片,該鰭片包含:通道區域;以及布置在通道區域相對側上的一對源極/汲極特徵;以及設置在通道區域上的閘極堆疊,其包含:一對相對的閘極間隔件;界面層,其直接設置在通道區域上,並在一對相對的閘極間隔件之間延伸;閘極介電質,該閘極介電質直接設置在每對相對的閘極間隔件的界面層上和垂直側面上,使得每個垂直側面實體接觸閘極介電質,並且每個垂直側面的第二部分沒有閘極介 電質和界面層;覆蓋層,直接設置在閘極介電質上;阻擋層,該阻擋層直接設置在覆蓋層、閘極介電質、每個垂直側面的第二部分上;功函數層,直接設置在阻擋層上;膠合層,直接設置在功函數層上;以及直接設置在膠合層上的電極填充物。
又在更進一步的實施例中,一種裝置包含:基板;從基板延伸的鰭片,該鰭片包含:通道區域;以及布置在通道區域相對側上的一對源極/汲極特徵;以及設置在通道區域上的閘極堆疊,其包含:一對相對的閘極間隔件;界面層,其直接設置在通道區域上,並在一對相對的閘極間隔件之間延伸;閘極介電質,該閘極介電質直接設置在每對相對的閘極間隔件的界面層上和垂直側面上,使得:每個垂直側面的第一部分實體接觸閘極介電質,每個垂直側面的第二部分沒有閘極介電質和界面層,並且閘極介電質具有實質上一致的厚度;覆蓋層,直接設置在閘極介電質上;阻擋層,該阻擋層直接設置在覆蓋層、閘極介電質、每個垂直側面的第二部分上;功函數層,直接設置在阻擋層上;膠合層,直接設置在功函數層上;以及直接設置在膠合層上的電極填充物。
在更進一步的實施例中,一種方法包含接收工作部件,工作部件包含:基板;從基板延伸並具有通道區域的鰭片;設置於通道區域的一對側壁間隔件;高K值閘極介電質,設置在該對側壁間隔件之間的通道區域上,並沿著該對側壁間隔件中的第一間隔件的垂直面延伸;以及設置在該對側 壁間隔件之間的高K值閘極介電質上,且沿著垂直面延伸的覆蓋層。在該對側壁間隔件之間的覆蓋層和高K值閘極介電質上形成成形特徵。移除高K值閘極介電質的第一部份和設置在成形特徵與第一間隔件之間的覆蓋層的第一部份,以留下高K值閘極介電質的第二部分和沿著垂直面延伸的覆蓋層的第二部分。移除該成形特徵,並且在高K值閘極介電質和覆蓋層上形成閘極的剩餘部分。在一些這樣的實施例中,移除高K值閘極介電質的第一部分使得垂直面的大部分無高K值閘極介電質。在一些這樣的實施例中,高K值閘極介電質的第二部分在遠離該對側壁間隔件設置的高K值閘極介電質的第三部分的頂面上方延伸第一距離,並且第一距離小於第一間隔件的垂直面的高度的四分之一。在一些這樣的實施例中,高K值閘極介電質的第二部分在遠離該對側壁間隔件設置的高K值閘極介電質的第三部分的頂面上方延伸第一距離,並且第一距離為約1至10倍之高K值閘極介電質的第三部分的厚度。在一些這樣的實施例中,形成閘極的剩餘部分包含在高K值閘極介電質和覆蓋層上形成阻擋層,使得阻擋層直接實體接觸高K值閘極介電質的第二部分的頂面和覆蓋層的第二部分的頂面。在一些這樣的實施例中,在高K值閘極介電質的第二部分上的阻擋層的第一頂面位於遠離該對側壁間隔件的高K值閘極介電質的第三部分上的阻擋層的第二頂面上方。在一些這樣的實施例中,形成閘極的剩餘部分還包含在阻擋層上形成功函數層,使得功函數層位於高K值閘極介電質的第二部分的頂面上與在覆蓋層的第二 部分的頂面上。在一些這樣的實施例中,功函數層比該覆蓋層寬。
在更進一步的實施例中,該方法包含接收工作部件,工作部件包含:通道區域;一對相對的閘極間隔件,設置在通道區域上方,該對相對的閘極間隔件具有定義於其間的閘極凹槽;位在閘極凹槽內的通道區域上的閘極介電質,使得閘極介電質沿該對相對的閘極間隔件的垂直側壁延伸。在閘極凹槽內的閘極介電質上形成特徵。此特徵從該對相對的閘極間隔件的垂直側壁選擇性地移該閘極介電質的一部分。當此特徵被移除,閘極介電質上的剩餘部分也被移除。在一些這樣的實施例中,工作部件還包含在閘極凹槽中的閘極介電質上的覆蓋層,使得覆蓋層沿該對相對的閘極間隔件的垂直側壁延伸,並且使用此特徵選擇性地移除閘極介電質的部分包含從垂直側壁移除覆蓋層的一部分。在一些這樣的實施例中,形成閘極的剩餘部分包含在閘極介電質上形成阻擋層,使得阻擋層直接實體接觸鄰近於該對相對的閘極間隔件的閘極介電質的頂面。在一些這樣的實施例中,阻擋層直接實體接觸從移除了閘極介電質的垂直側壁的一部分。在一些這樣的實施例中,形成閘極的剩餘部分包含在相鄰該對相對的閘極間隔件其中之一的閘極介電質的頂面上形成功函數層。在一些這樣的實施例中,功函數層比設置在閘極介電質上的覆蓋層寬。在一些這樣的實施例中,該對相對的閘極間隔件的垂直側壁的大部分沒有閘極介電質。在一些這樣的實施例中,與該對相對的閘極間隔件的垂直側壁相 鄰的閘極介電質的一部分在遠離該對相對的閘極間隔件設置的閘極介電質的另一部分上方沿伸第一高度,並且第一高度小於垂直側壁的高度的四分之一。在一些這樣的實施例中,與該對相對的閘極間隔件的垂直側壁相鄰的閘極介電質的一部分在遠離該對相對的閘極間隔件設置的閘極介電質的另一部分上方沿伸第一高度,並且第一高度為約1至10倍之在遠離該對相對的閘極間隔件設置的閘極介電質的另一部分的厚度。
又在更進一步的實施例中,一種方法包含接收工作部件,工作部件包含通道區域和一對設置在通道區域上的閘極間隔件。在該對閘極間隔件之間形成閘極介電質,且閘極介電質沿著該對閘極間隔件的第一間隔件的側面延伸。在該對閘極間隔件之間的閘極介電質上形成覆蓋層,且覆蓋層沿著第一間隔件的側面延伸。從此特徵與第一間隔件的側面之間移除閘極介電質的一部分和覆蓋層的一部分,使得閘極介電質的剩餘部分在遠離該對閘極間隔件的一部分的頂面上延伸不同於覆蓋層的剩餘部分的高度。在一些這樣的實施例中,閘極介電質的剩餘部分延伸到遠離該對閘極間隔件的閘極介電質的部分的頂面上的第一高度,覆蓋層的剩餘部分延伸至遠離該對閘極間隔件的閘極介電質的部分的頂面上的第二高度,並且移除步驟使得該第一高度大於該第二高度。在一些這樣的實施例中,移除步驟使用蝕刻劑,蝕刻劑被配置以不同於覆蓋層之材料的速率來蝕刻閘極介電質的材料。
以上概述了數個實施例的特徵,使得本領域技術人員可以更好地理解本揭露的各方面。本領域技術人員應該要理解,他們可以容易使用本揭露為基礎用於設計或修改用於執行其他程序或結構以完成相同的目的和/或實現本文所介紹實施例的相同的優點。本領域技術人員應該還要意識到,這樣的等效架構不能脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,他們可以進行各種變化、替換和改變。

Claims (10)

  1. 一種形成積體電路的方法,包含:接收一工作部件,包含:一基板;一鰭片,從該基板延伸,並具有一通道區域;一對側壁間隔件,設置於該通道區域;一高K值閘極介電質,設置在該對側壁間隔件之間的該通道區域上,並沿著該對側壁間隔件中的一第一間隔件的一垂直面延伸;以及一覆蓋層,設置在該對側壁間隔件之間的該高K值閘極介電質上,且沿著該垂直面延伸;在該對側壁間隔件之間的該覆蓋層和該高K值閘極介電質上形成一成形特徵;移除該高K值閘極介電質的一第一部份和設置在該成形特徵與該第一間隔件之間的該覆蓋層的一第一部份,以留下該高K值閘極介電質的一第二部分和沿著該垂直面延伸的該覆蓋層的一第二部分;移除該成形特徵;以及在該高K值閘極介電質和該覆蓋層上形成一閘極的一剩餘部分。
  2. 如請求項1所述之方法,其中移除該高K值閘極介電質的該第一部分使得該垂直面的一大部分無該高K值閘極介電質。
  3. 如請求項1所述之方法,其中:該高K值閘極介電質的該第二部分在遠離該對側壁間隔件設置的該高K值閘極介電質的一第三部分的一頂面上方延伸一第一距離;以及該第一距離小於該第一間隔件的該垂直面的一高度的四分之一。
  4. 如請求項1所述之方法,其中:該高K值閘極介電質的該第二部分在遠離該對側壁間隔件設置的該高K值閘極介電質的一第三部分的一頂面上方延伸一第一距離;以及該第一距離為約1至10倍之該高K值閘極介電質的該第三部分的一厚度。
  5. 如請求項1所述之方法,其中形成該閘極的該剩餘部分包含在該高K值閘極介電質和該覆蓋層上形成一阻擋層,使得該阻擋層直接實體接觸該高K值閘極介電質的該第二部分的一頂面和該覆蓋層的該第二部分的一頂面。
  6. 一種形成積體電路的方法,包含:接收一工作部件,包含:一通道區域;一對相對的閘極間隔件,設置在該通道區域上方,該對相對的閘極間隔件具有定義於其間的一閘極凹槽;以及一閘極介電質,位在該閘極凹槽內的該通道區域上,使得該閘極介電質沿該對相對的閘極間隔件的一垂直側壁延伸;從該對相對的閘極間隔件的該垂直側壁選擇性地移除該閘極介電質的一部分;以及在該閘極介電質上形成一閘極的一剩餘部分。
  7. 如請求項6所述之方法,其中:該工作部件更包含在該閘極凹槽中的該閘極介電質上的一覆蓋層,使得該覆蓋層沿該對相對的閘極間隔件的該垂直側壁延伸;以及選擇性地移除該閘極介電質的該部分包含從該垂直側壁移除該覆蓋層的一部分。
  8. 如請求項6所述之方法,其中形成該閘極的該剩餘部分包含在該閘極介電質上形成一阻擋層,使得該阻擋層直接實體接觸鄰近於該對相對的閘極間隔件的該閘極介電質的一頂面。
  9. 一種形成積體電路的方法,包含:接收一工作部件,包含:一通道區域;以及一對閘極間隔件,設置在該通道區域上;在該對閘極間隔件之間形成一閘極介電質,且該閘極介電質沿著該對閘極間隔件的一第一間隔件的一側面延伸;在該對閘極間隔件之間的該閘極介電質上形成一覆蓋層,且該覆蓋層沿著該第一間隔件的該側面延伸;在該對閘極間隔件之間的該覆蓋層上形成一特徵;以及從該特徵與該第一間隔件的該側面之間移除該閘極介電質的一部分和該覆蓋層的一部分,使得該閘極介電質的一剩餘部分在遠離該對閘極間隔件的一部分的一頂面上延伸不同於該覆蓋層的一剩餘部分的一高度。
  10. 如請求項9所述之方法,其中:該閘極介電質的該剩餘部分延伸到遠離該對閘極間隔件的該閘極介電質的該部分的該頂面上的一第一高度;該覆蓋層的該剩餘部分延伸至遠離該對閘極間隔件的該閘極介電質的該部分的該頂面上的一第二高度;以及該移除步驟使得該第一高度大於該第二高度。
TW107107487A 2017-07-27 2018-03-06 形成積體電路的方法 TWI662652B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762537545P 2017-07-27 2017-07-27
US62/537,545 2017-07-27
US15/812,350 2017-11-14
US15/812,350 US10283623B2 (en) 2017-07-27 2017-11-14 Integrated circuits with gate stacks

Publications (2)

Publication Number Publication Date
TW201911468A TW201911468A (zh) 2019-03-16
TWI662652B true TWI662652B (zh) 2019-06-11

Family

ID=65039078

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107487A TWI662652B (zh) 2017-07-27 2018-03-06 形成積體電路的方法

Country Status (3)

Country Link
US (2) US10283623B2 (zh)
KR (2) KR20190013432A (zh)
TW (1) TWI662652B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
US11658212B2 (en) * 2019-02-13 2023-05-23 Intel Corporation Quantum dot devices with conductive liners
US10868171B2 (en) * 2019-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate dielectric layer and method for forming the same
US20200403081A1 (en) * 2019-06-19 2020-12-24 Seung Hoon Sung Recessed gate oxide on the sidewall of gate trench
US11205590B2 (en) 2019-09-21 2021-12-21 International Business Machines Corporation Self-aligned contacts for MOL
US11227940B2 (en) * 2020-02-27 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11309185B2 (en) * 2020-04-27 2022-04-19 Taiwan Semiconductor Manufacturing Company Limited Fin field-effect transistor and method of forming the same
US11522064B2 (en) 2020-04-28 2022-12-06 Samsung Electronics Co., Ltd. Metal oxide semiconductor field-effect transistor (MOSFET) devices and manufacturing methods thereof
US11658216B2 (en) * 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US20220384431A1 (en) * 2021-05-28 2022-12-01 Fujian Jinhua Integrated Circuit Co., Ltd. Semiconductor device and method of forming the same
US20230207380A1 (en) * 2021-12-23 2023-06-29 Nanya Technology Corporation Method of manufacturing semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9356027B1 (en) * 2015-05-11 2016-05-31 International Business Machines Corporation Dual work function integration for stacked FinFET

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9293333B2 (en) * 2013-07-17 2016-03-22 Globalfoundries Inc. FinFET work function metal formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9583362B2 (en) 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
CN104867873B (zh) 2014-02-21 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9257289B2 (en) * 2014-03-05 2016-02-09 International Business Machines Corporation Lowering parasitic capacitance of replacement metal gate processes
US9312136B2 (en) * 2014-03-06 2016-04-12 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US9305923B1 (en) * 2014-12-02 2016-04-05 International Business Machines Corporation Low resistance replacement metal gate structure
KR102271239B1 (ko) 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9437714B1 (en) * 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10249501B2 (en) * 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10008386B2 (en) * 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
US10269906B2 (en) * 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
CN108281478B (zh) * 2017-01-06 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
US20190096679A1 (en) * 2017-09-22 2019-03-28 Globalfoundries Inc. Gate stack processes and structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9356027B1 (en) * 2015-05-11 2016-05-31 International Business Machines Corporation Dual work function integration for stacked FinFET

Also Published As

Publication number Publication date
KR102164061B1 (ko) 2020-10-13
US10861958B2 (en) 2020-12-08
KR20190013432A (ko) 2019-02-11
US20190035917A1 (en) 2019-01-31
US20190259862A1 (en) 2019-08-22
TW201911468A (zh) 2019-03-16
US10283623B2 (en) 2019-05-07
KR20200074925A (ko) 2020-06-25

Similar Documents

Publication Publication Date Title
TWI662652B (zh) 形成積體電路的方法
US10431473B2 (en) FINFET with source/drain structure and method of fabrication thereof
US10186511B2 (en) Metal gate isolation structure and method forming same
TWI701830B (zh) 半導體裝置及其形成方法
US9947766B2 (en) Semiconductor device and fabricating method thereof
US9514991B2 (en) Method of manufacturing a FinFET device having a stepped profile
US8507979B1 (en) Semiconductor integrated circuit with metal gate
KR20200094679A (ko) 반도체 디바이스 구조체 및 이를 형성하기 위한 방법
US20160133744A1 (en) Transistor and fabrication method thereof
TWI728481B (zh) 半導體結構及其形成方法
US11855082B2 (en) Integrated circuits with FinFET gate structures
TW202234526A (zh) 半導體裝置及其形成方法
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
TW201903892A (zh) 用於形成自對準接觸物的擴大犧牲閘極覆蓋物
CN109309125B (zh) 具有栅极堆叠件的集成电路及集成电路的形成方法
US20240113201A1 (en) Multi-gate device inner spacer and methods thereof
CN220856585U (zh) 多栅极装置
US11942479B2 (en) Semiconductor device and manufacturing method thereof
US11177212B2 (en) Contact formation method and related structure
US11855186B2 (en) Semiconductor device and manufacturing method thereof