JP2019515494A - 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成 - Google Patents

水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成 Download PDF

Info

Publication number
JP2019515494A
JP2019515494A JP2018555683A JP2018555683A JP2019515494A JP 2019515494 A JP2019515494 A JP 2019515494A JP 2018555683 A JP2018555683 A JP 2018555683A JP 2018555683 A JP2018555683 A JP 2018555683A JP 2019515494 A JP2019515494 A JP 2019515494A
Authority
JP
Japan
Prior art keywords
layer
silicon
stack
laminate
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018555683A
Other languages
English (en)
Other versions
JP6780015B2 (ja
Inventor
シーユイ スン,
シーユイ スン,
ナムスン キム,
ナムスン キム,
ビンシー スン ウッド,
ビンシー スン ウッド,
尚美 吉田
尚美 吉田
ション−チン クン,
ション−チン クン,
ミアオ ジン,
ミアオ ジン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019515494A publication Critical patent/JP2019515494A/ja
Application granted granted Critical
Publication of JP6780015B2 publication Critical patent/JP6780015B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Abstract

本開示は、半導体チップ向けの水平ゲートオールアラウンド(hGAA)構造電界効果トランジスタ(FET)の、望ましい材料を有するナノワイヤ構造物を形成するための、装置及び方法を提供する。一例では、ナノワイヤ構造物を形成する方法は、積層体の第1側部及び第2側部に誘電体材料を堆積させることを含む。積層体は、第1層と第2層の対の繰り返しを含みうる。第1側部は第2側部の反対側にあり、第1側部及び第2側部は、そこに形成された一又は複数の凹部を有する。方法は、積層体の第1側部及び第2側部から、誘電体材料を除去することを含む。一又は複数の凹部内には誘電体材料が残存する。方法は、ストレッサ層を堆積させることと、ストレッサ層と積層体の第1側部及び第2側部との間に、一又は複数の側部間隙を形成することとを、含む。【選択図】図3A

Description

[0001]本開示の実施形態は概して、積層のhGAAデバイスに関する。
関連技術の説明
[0002]ハーフミクロン単位以下、及びそれを下回る、信頼性の高いフィーチャを製造することは、半導体デバイスの次世代型の超大規模集積(VLSI)及び極超大規模集積(ULSI)に関する主要な技術的課題の1つである。しかし、回路技術の限界が押し上げられるにつれて、VLSI及びULSI技術の寸法が縮小することにより、処理能力に対する要求が増大しつつある。VLSI及びULSIを成功させる上で、及び、個々の基板やダイの回路密度及び品質を向上させるための継続的な取り組みにおいては、信頼性の高いゲート構造物を基板上に形成することが重要である。
[0003]次世代型デバイスの回路密度が増大するにつれて、ビア、トレンチ、接点、ゲート構造物、及びその他のフィーチャといった相互接続部の幅と共に、これらの相互接続部同士の間の誘電体材料の幅が、25nmや20nmという寸法に、かつそれを超えて、低減する一方で、誘電体層の厚さは実質的に変わらず、その結果、フィーチャのアスペクト比の増大が生じている。更に、チャネルの長さが減少することで、従来的なプレーナ型MOSFETアーキテクチャには、多くの場合、著しい短チャネル効果が生じる。次世代型のデバイス及び構造物の製造を可能にするためには、多くの場合、トランジスタの性能を向上させるよう、三次元(3D)デバイス構造が利用される。具体的には、デバイス性能を強化するために、フィン電界効果トランジスタ(FinFET)が利用されることが多い。FinFETデバイスは、典型的には、高アスペクト比を伴う半導体フィンを含み、この半導体フィンを覆うように、トランジスタ向けのチャネル領域及びソース/ドレイン領域が形成される。次いで、より迅速で信頼性が高く、良好に制御される半導体トランジスタデバイスを製造するために、ゲート電極が、フィンデバイスの一部分を覆うように、かつその部分に沿って形成され、チャネル領域及びソース/ドレイン領域の表面積の増大という利点を利用する。FinFETの更なる利点は、短チャネル効果を低減させることと、より大きな電流を提供することとを含む。水平ゲートオールアラウンド(hGAA)構成を有するデバイス構造物は、多くの場合、短チャネル効果及びそれに関連する漏れ電流を抑制するための、包囲ゲートによる優れた静電制御をもたらす。
[0004]一部の応用では、hGAA構造物は、次世代型半導体デバイスの応用に利用される。hGAAデバイス構造物は、積層構成でサスペンドされ、かつソース/ドレイン領域によって接続された、いくつかの格子整合チャネル(ナノワイヤなど)を含む。
[0005]hGAA構造物では、多くの場合、種々の材料がチャネル構造物(ナノワイヤなど)を形成するために利用される。かかるチャネル構造物では、この材料の全てを、デバイス性能を劣化させることなくナノワイヤ構造物に統合するにあたり、製造上の難度が望ましくないほどに上昇しうる。例えば、hGAA構造物に関連する困難の1つは、金属ゲートとソース/ドレインとの間に大きな寄生容量が存在することを含む。かかる寄生容量を不適切に管理することで、デバイス性能の大幅な劣化がもたらされうる。
[0006]ゆえに、hGAAデバイスを製造する、改良型の構造物及び方法が必要とされている。
[0007]本開示は、半導体チップ向けの水平ゲートオールアラウンド(hGAA)構造物の、望ましい材料を有するナノワイヤ構造物を形成するための、構造物及び方法を提供する。
[0008]一実施形態では、基板を処理する方法が開示される。この方法は、積層体の第1側部と積層体の第2側部の各々に、誘電体材料を堆積させることを含む。積層体は、第1層と第2層の対の繰り返しを含む。積層体の第1側部は積層体の第2側部の反対側にあり、第1側部と第2側部の各々は、一又は複数の凹部を含む。方法は、積層体の第1側部及び積層体の第2側部から、誘電体材料を除去することも含む。第1側部及び第2側部の一又は複数の凹部内には、誘電体材料が残存する。方法は、第1側部及び第2側部に隣接してストレッサ層を堆積させることと、ストレッサ層と積層体の第1側部及び第2側部との間に一又は複数の間隙を形成することも、含む。
[0009]別の実施形態では、基板を処理する別の方法が開示される。この方法は、プロセスチャンバ内で基板上に積層体を堆積させることを含む。積層体は、第1層と第2層の対の繰り返しを含む。方法は、積層体の第1側部と第1側部の反対側の積層体の第2側部の各々に一又は複数の凹部を作り出すために、積層体から材料を除去することも含む。方法は、積層体の第1側部と積層体の第2側部とに、及び一又は複数の凹部の内部に、誘電体材料を堆積させることも含む。方法は、第1側部と第2側部の各々から、誘電体材料を除去することも含む。一又は複数の凹部内には誘電体材料が残存する。方法は、第1側部及び第2側部に隣接してストレッサ層を堆積させることと、ストレッサ層と積層体の第1側部との間、及びストレッサ層と第2側部との間に、一又は複数の間隙を形成することも、含む。
[0010]更に別の実施形態では、ナノワイヤ構造物が開示される。このナノワイヤ構造物は、第1層と第2層の対の繰り返しを有する積層体を含む。積層体は、第2側部の反対側の第1側部も含むナノワイヤ構造物は、積層体を取り囲むゲート構造物と、積層体の第1側部に隣接したソース層と、ソース層の反対側の、積層体の第2側部に隣接したドレイン層と、ソース層と第2層との間に配置された一又は複数の間隙と、ドレイン層と第2層との間に配置された一又は複数の間隙も、含む。
[0011]上述の本開示の特徴を詳しく理解しうるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定するものと見なすべきではないことに、留意されたい。
[0012]基板上に形成されたナノワイヤ構造物を製造するための方法のフロー図を示す。 [0013]図2A、2B1、及び2C1は、図1の製造プロセスにおいて望ましい材料を有するナノワイヤ構造物を形成するためのシーケンスの一例の断面図を示す。 図2D1、2E1、及び2F1も、図1の製造プロセスにおいて望ましい材料を有するナノワイヤ構造物を形成するためのシーケンスの一例の断面図を示す。 [0014]図2A、2B2、及び2C2は、図1の製造プロセスにおいて望ましい材料を有するナノワイヤ構造物を形成するためのシーケンスの別の例の断面図を示す。 図2D2、2E2、及び2F2も、図1の製造プロセスにおいて望ましい材料を有するナノワイヤ構造物を形成するためのシーケンスの別の例の断面図を示す。 [0015]水平ゲートオールアラウンド(hGAA)構造物の一例の概略図を示す。 水平ゲートオールアラウンド(hGAA)構造物の一例の概略図を示す。 水平ゲートオールアラウンド(hGAA)構造物の一例の概略図を示す。 [0016]基板上で堆積プロセスを実施するために利用されうる、プラズマ処理チャンバを示す。 [0017]処理システムであって、その内部に統合される図4のプラズマ処理チャンバを含みうる、処理システムを示す。
[0018]理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれうると、想定される。
[0019]しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は、この開示の例示的な実施形態のみを示しており、したがって、本開示の範囲を限定するものと見なすべきではないことに、留意されたい。
[0020]本開示は、半導体チップ向けの水平ゲートオールアラウンド(hGAA)構造電界効果トランジスタ(FET)の、望ましい材料を有するナノワイヤ構造物を形成するための装置及び方法を、提供する。一例では、ナノワイヤ構造物を形成する方法は、積層体の第1側部及び第2側部に誘電体材料を堆積させることを含む。積層体は、第1層と第2層の対の繰り返しを含みうる。第1側部は第2側部の反対側にあり、第1側部及び第2側部は、そこに形成された一又は複数の凹部を有する。方法は、積層体の第1側部及び第2側部から、誘電体材料を除去することを含む。一又は複数の凹部内には誘電体材料が残存する。方法は、ストレッサ層を堆積させることと、ストレッサ層と積層体の第1側部及び第2側部との間に、一又は複数の側部間隙を形成することとを、含む。水平ゲートオールアラウンド(hGAA)半導体デバイス構造物のための、寄生容量が制御されているナノワイヤ構造物が提供される。
[0021]図1は、水平ゲートオールアラウンド(hGAA)半導体デバイス構造物のための、複合材料を有するナノワイヤ構造物(例えばチャネル構造物)を製造するための方法100の一例のフロー図である。図2A〜図2Cは、方法100の様々な段階に対応する、複合基板の一部分の断面図である。方法100は、望ましい材料を有する、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイス200構造物(後に電界効果トランジスタ(FET)を形成するために利用されうる)のための、ナノワイヤ構造物を形成するために、利用されうる。あるいは、方法100は、他の種類の構造物を製造するためにも、有効に利用されうる。
[0022]方法100は、工程102において、プロセスチャンバ(図4に示すチャンバ400など)内で、基板202上に、図2Aに示す膜積層体204を堆積させることによって始まる。基板202は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ及びパターニングされた又はパターニングされていないウエハのシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、又はサファイアといった、材料でありうる。基板202は、様々な寸法(例えば200mm、300mm、450mm、又はその他の直径)を有してよく、また、長方形又は正方形のパネルであることもある。
[0023]膜積層体204は、オプションの材料層206上に配置されうる。オプションの材料層206が存在しない実施形態では、膜積層体204は、必要に応じて、基板202上に直接形成されうる。一例では、オプションの材料層206は絶縁材料である。絶縁材料の好適な例は、酸化ケイ素材料、窒化ケイ素材料、酸窒化ケイ素材料、又は、任意の好適な絶縁材料を含みうる。あるいは、オプションの材料層206は、必要に応じて導電性材料又は非導電性材料を含む、任意の好適な材料でありうる。積層体204は、少なくとも1つの層の対であって、各対が第1層212と第2層214とを備える、層の対を含む。図2Aに示している例では、各対が第1層212と第2層214とを含む4つの対(交互配置の対であって、各対が第1層212と第2層214とを備えている)が図示されているが、ここで、各々が第1層212と第2層214とを備えている対の数は、プロセス上の種々の必要性に基づいて変動しうる。特定の一実施形態では、第1と第2の層212、214の対が4つ堆積されて、基板202上に積層体204を形成しうる。一実行形態では、単一の第1層212各々の厚さは、約20A〜約200A(例えば約50A)であってよく、単一の第2層214各々の厚さは、約約20A〜約200A(例えば約50A)でありうる。
[0024]第1層212は、エピタキシャル堆積プロセスによって形成される、結晶シリコン層(例えば、単一結晶の、多結晶性の、又は単結晶性のシリコン層)でありうる。あるいは、第1層212は、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。好適なp型ドーパントは、Bドーパント、Alドーパント、Gaドーパント、Inドーパントなどを含む。好適なn型ドーパントは、Nドーパント、Pドーパント、Asドーパント、Sbドーパントなどを含む。更に別の例では、第1層212はGaAs層などのIII−V族材料である。
[0025]第2層214は、SiGe層、Ge層、又はその他の好適な層といった、Ge含有層でありうる。あるいは、第2層214は、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。更に別の例では、第2層214はGaAs層などのIII−V族材料である。また別の例では、第1層212はシリコン層であってよく、第2層214は、金属材料であって、その外表面に高誘電率材料のコーティングを有する金属材料である。高誘電率材料の好適な例は、数ある中でも、二酸化ハフニウム(HfO2)、二酸化ジルコニウム(ZrO2)、酸化ハフニウムシリケート(HfSiO4)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムシリケート(ZrSiO4)、二酸化タンタル(TaO2)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、又はプラチナジルコニウムチタン(PZT)を含む。特定の一実行形態では、コーティング層は二酸化ハフニウム(HfO2)層である。なお、積層体204中の第1層212及び第2層214と連動して選択される基板材料は、上述の材料を利用した種々の組み合わせでありうる。
[0026]図2Aに示している特定の例では、第1層212は結晶シリコン層(単一結晶の、多結晶性の、又は単結晶性のシリコン層など)である。第2層214はSiGe層である。ダミーゲート208及びゲートスペーサ210が、積層体204の上に堆積されうる。一部の例では、ハードマスク層(図2Aには図示せず)及び/又はパターニングされたフォトレジスト層が、パターニングのために、ダミーゲート208、ゲートスペーサ210、及び積層体204の上に配置されうる。図2Aに示している例では、ダミーゲート208、ゲートスペーサ210、及び積層体204は、積層体204の第1側部216及び積層体204の第2側部218が露出するよう、事前のパターニングプロセスにおいて既にパターニングされており、後に、第1側部216及び第2側部218に隣接して、ソース/ドレインアンカーが形成されうる。第1側部216は、第2側部218の反対側にあり、かつ基板202に直角である。
[0027]工程104において、図2B1及び2B2に示しているように、一部の材料を除去し、積層体204の第1側部216と積層体204の第2側部218の各々に一又は複数の凹部220を作り出すために、積層体204はエッチングされる。一実施形態では、凹部220は、図2B1に示しているように、積層体204の第2層214に選択的に形成される。別の実施形態では、凹部220は、図2B2に示しているように、積層体204の第1層212に選択的に形成される。凹部の深さは、ゲートスペーサ210の幅222とおおよそ同じである。一実施形態では、プロセスチャンバ(図4のチャンバ400など)の中の、フッ素のイオン及びラジカルを含むプラズマが、一又は複数の凹部220を選択的にエッチングするために使用される。プロセスチャンバチャンバの中の、フッ素のイオン及びラジカル並びに酸素のイオン及びラジカルを含むプラズマも、一又は複数の凹部220を選択的にエッチングするために使用されうる。窒素のイオン及びラジカルも含まれうる。約300sccm〜500sccmの速度で、フッ化炭素前駆体が流されうる。積層体204の第2層214のエッチング速度を制御するために、酸素ラジカルが使用されうる。別の実施形態では、積層体204の第1層212のエッチング速度を制御するために、酸素ラジカル及び窒素ラジカルが使用されうる。酸素のイオン及びラジカルは、約10sccm〜40sccmの速度で流されうる。窒素のイオン及びラジカルは、約10sccm〜40sccmの速度で流されうる。
[0028]工程106において、図2C1及び図2C2に示しているように、積層体204の側壁216、218に、及び、積層体204の第1側部216と第2側部218の各々の一又は複数の凹部220の各々の内部に、誘電体層224が堆積されうる。誘電体層224は、ゲートスペーサ210にも堆積されうる。誘電体層224は、後述するように、エピタキシャル成長を防止する、凹部220内のシールドを提供しうる。誘電体層224は、凹部220の内側表面をライニングすると共に、凹部220内の空気ポケットを維持する。一実施形態では、誘電体層224は、図2C1に示しているように、第2層214に形成された凹部220の内側表面をライニングすると共に、凹部220内の空気ポケットを維持する。別の実施形態では、誘電体層224は、図2C2に示しているように、第1層212に形成された凹部220の内側表面をライニングすると共に、凹部220内の空気ポケットを維持する。誘電体層224は、エピタキシャル成長中の堆積を防止しうる材料から、選択されうる。一実施形態では、誘電体層224は、窒化ケイ素含有層、炭化ケイ素含有層、酸化ケイ素含有層といった、シリコン含有誘電体層例えば、酸化ケイ素、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭化ケイ素(SiC)、炭窒化ケイ素(SiCN)、酸炭化ケイ素(SiOC)、若しくは酸炭窒化ケイ素、又は、ドーパントを有するシリコン材料などである。シリコン含有誘電体層内に形成されるドーパントは、相対的に低い濃度を有しうる。誘電体層は、シリコンリッチな膜特性も含みうる。一例では、誘電体層224は、約5A〜約50A(例えば約10A)の厚さを有する、窒化ケイ素層又は酸窒化ケイ素(SiON)である。誘電体層224は、CVDプロセス、ALDプロセス、若しくは、PVD、CVD、ALDにおける任意の好適な堆積技術、又は、他の好適なプラズマ処理チャンバによって、形成されうる。
[0029]積層体204の側壁216、218の凹部220の中に誘電体層224が形成された後、工程108において、図2D1及び2D2で視認できるように、誘電体材料は、積層体204の第1側部216と積層体204の第2側部218の各々から選択的に除去される。第1側部216及び第2側部218の凹部220内には、誘電体材料226が残存する。換言すると、誘電体材料226は凹部220の内壁を覆う。一実施形態では、誘電体材料226は、図2D1に示しているように、第2層214に形成された凹部220の内壁を覆う。別の実施形態では、誘電体材料226は、図2D2に示しているように、第1層212に形成された凹部220の内壁を覆う。誘電体材料224は、ゲートスペーサ210からも除去される。側壁216、218から誘電体材料224を選択的に除去し、凹部220内の誘電体材料226は維持することによって、凹部の内部壁は、後続ステップにおいて、エピタキシャル成長から有利にシールドされる。
[0030]工程110において、図2E1及び図2E2で視認できるように、第1側部216及び第2側部218に隣接してストレッサ層228を堆積させるために、選択的堆積が実施されうる。ストレッサ層228は、誘電体材料226によって保護されていない特定の領域に、選択的に堆積される。ストレッサ層228は、ゲートスペーサ210に隣接した部分を除去するよう、選択的にパターニングされうる。ストレッサ層228は、後に、ゲートオールアラウンドトランジスタのソース/ドレインになりうる。工程112において、図2Eで視認できるように、ストレッサ層228と積層体204の第1側部216及び第2側部218との間に一又は複数の空隙230が形成される。一実施形態では、ストレッサ層228の選択的堆積により、第2層214のエッジに空隙230が形成される。換言すると、空隙230は、図2E1〜図2F1で視認できるように、第2層214とストレッサ層228との間に形成される。別の実施形態では、図2E2〜図2F2で視認できるように、ストレッサ層228の選択的堆積により、第1層212のエッジに空隙230が形成される。換言すると、空隙230は、第1層212とストレッサ層228との間に形成される。一実施形態では、空隙230内の空気は酸素を含有する。空隙230内の空気は、水素、酸素、アルゴン、窒素、ヘリウム、又はそれらの混合物のうちの少なくとも1つを含有しうると、考えられる。図2E1及び図2E2で視認できるように、水平ゲートオールアラウンド半導体デバイス200は、積層体204の第2層214又は第1層212と、(ソース又はドレインになりうる)ストレッサ層228との間に配置された、一又は複数の空隙を含みうる。一実施形態では、ダミーゲート208は、その後、図2Fで視認できるように、置換金属ゲート(RMG)232に置き換えられる。別の実施形態では、ダミーゲート208と第2層214の両方が、エッチングされ、置換金属ゲートに置き換えられる。換言すると、ダミーゲート208は金属ゲート232に置き換えられ、かつ、第2層214は金属ゲート234に置き換えられうる。
[0031]静電容量は、使用される材料の誘電率と直接的に関連する。空隙は、酸化材料又はスペーサ材料と比較して、最低の誘電率kを有する。ゆえに、最低誘電率を伴う方法を利用することで、ゲートとソース/ドレインとの間の寄生容量も有利に制限されうる。上記の方法は、水平ゲートオールアラウンド構造物における寄生容量を制限するために、k値(およそ1)を有する空隙を有利に利用するものである。
[0032]一実行形態では、図4に示している処理チャンバ400や他の好適なプラズマチャンバといった処理チャンバを含む好適なプラズマ処理チャンバ内で、選択的堆積プロセスが実施されうる。処理温度は、低温範囲(例えば摂氏1200度未満)に制御される。一実行形態では、堆積プロセスは、プラズマ含有環境、熱環境(炉など)、又は熱プラズマ環境において実施されうる(プラズマ化学気相堆積プロセス(PECVD)、低圧化学気相堆積プロセス(LPCVD)、準常圧化学気相堆積プロセス(SACVD)、常圧化学気相堆積プロセス(APCVD)など)。
[0033]膜積層体204内に一又は複数の空隙230が形成された後、積層体204は、寄生容量が低減され、かつデバイスリークが最小限の、水平ゲートオールアラウンド構造物におけるナノワイヤとして、利用されうる。
[0034]図3Aは、一実施形態による水平ゲートオールアラウンド(hGAA)構造物300を示している。hGAA構造物300は、図2E1に示しているhGAA構造物200と実質的に類似していることがある。別の実施形態では、hGAA構造物300は、図2E2に示しているhGAA構造物200と実質的に類似している。水平ゲートオールアラウンド構造物300は、基板302と、オプションの材料層306と、ソース332と、ドレイン334と、ゲート308と、ゲートスペーサ310と、積層体304とを含む。
[0035]積層体304は、基板302上に配置されうる。一実施形態では、積層体304は、オプションの材料層306上に堆積される。積層体304は、第1側部316と第2側部318とを有する。第1側部316は第2側部318の反対側にある。第1側部318は基板302に対して直角である。積層体304は、第1層312と第2層314の対の繰り返しを含みうる。一実施形態では、積層体304は、少なくとも4回繰り返された、第1層312と第2層314の対を内包する。積層体304は一又は複数の空隙330を含む。一実施形態では、空隙330は、第2層314の両端に配置される。換言すると、第2層314は、端部を覆う2つの空隙330の間にある。別の実施形態では、空隙330は、第1層312の両端に配置される。換言すると、第1層312は、端部を覆う2つの空隙330の間にある。
[0036]第1層312は、エピタキシャル堆積プロセスによって形成される、結晶シリコン層(例えば、単一結晶の、多結晶性の、又は単結晶性のシリコン層)でありうる。あるいは、第1層312aは、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。好適なp型ドーパントは、Bドーパント、Alドーパント、Gaドーパント、Inドーパントなどを含む。好適なn型ドーパントは、Nドーパント、Pドーパント、Asドーパント、Sbドーパントなどを含む。更に別の例では、第1層312はGaAs層などのIII−V族材料でありうる。
[0037]第2層314は、SiGe層、Ge層、又はその他の好適な層といった、Ge含有層でありうる。あるいは、第2層314は、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。更に別の例では、第2層314はGaAs層などのIII−V族材料である。また別の例では、第1層312はシリコン層であってよく、第2層314は、金属材料であって、その外表面に高誘電率材料のコーティングを有する金属材料である。高誘電率材料の好適な例は、数ある中でも、二酸化ハフニウム(HfO2)、二酸化ジルコニウム(ZrO2)、酸化ハフニウムシリケート(HfSiO4)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムシリケート(ZrSiO4)、二酸化タンタル(TaO2)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、又はプラチナジルコニウムチタン(PZT)を含む。特定の一実行形態では、コーティング層は二酸化ハフニウム(HfO2)層である。なお、積層体304中の第1層312及び第2層314と連動して選択される基板の材料は、上述の材料を利用した種々の組み合わせでありうる。
[0038]ソース332は、積層体304の第1側部316に隣接して、かつ基板302に対して直角に配置される。ドレイン334は、積層体304の第2側部318に隣接して、かつ基板302に対して直角に配置される。ソース332はドレイン334の反対側にある。換言すると、スタック304は、ソース332とドレイン334との間に配置される。一実施形態では、一又は複数の空隙330の第1の組はソース332と積層体304(具体的には積層体304の第2層314)との間に配置される。一又は複数の空隙330の第2の組はドレイン334と積層体304(具体的には積層体304の第2層314)との間に配置される。
[0039]積層体304上にゲート308が配置される。ゲート308は積層体304を取り囲んでいる。一実施形態では、ゲート308は、両側にあるスペーサ310の間に配置される。換言すると、ゲートスペーサ310が、ゲート308のいずれの側にも配置されうる。ゲートスペーサ310も、積層体304を取り囲みうる。一実施形態では、ゲートスペーサは、積層体304の空隙330を取り囲んでおり、かつ、空隙330と位置が合っている。
[0040]図3Bは、ゲートスペーサ層を伴わないhGAAデバイス300の概略断面図を示している。hGAAデバイス300は、空隙330が形成されている、第1層312と第2層314の複数の対を含む。水平ゲートオールアラウンド(hGAA)構造物300は、積層体304を、ソース/ドレインアンカー332、334のそれぞれとゲート構造物308との間のナノワイヤ(例えばチャネル)として利用する。図3Cの円340で示す積層体304の拡大図に図示しているように、第2層314又は第1層312の底部(又は端部など)に形成された空隙330は、界面管理に役立ちうる。この場合、第2層314又は第1層312は、ゲート構造物308及び/又はソース/ドレインアンカー332、334に接触し、これにより、寄生容量が低減し、かつデバイスリークが最小限に維持される。
[0041]図4は、上記で詳述した選択的プロセスを実施するのに適した例示的な処理システム432の断面図である。処理システム432は、OLYMPIA(R)、SICONI(R)、又はPRODUCER(R)(全てカリフォルニア州Santa ClaraのApplied Materials、Inc.から入手可能)でありうる。他の製造業者から入手可能なものを含む他の処理システムも本開示を実践するよう適合しうると、想定される。
[0042]処理システム432は、ガスパネル430に連結された処理チャンバ400と、コントローラ410とを含む。処理チャンバ400は通常、内部空間426を画定する、上部424、側部401、及び底部壁422を含む。
[0043]支持ペデスタル450が、チャンバ400の内部空間426内に設けられる。ペデスタル450は、アルミニウム、セラミック、及びその他の好適な材料から製造されうる。ペデスタル450は、ペデスタル450に支持される基板490の温度を制御するのに適した、埋め込み型ヒータ素子470を含みうる。一実施形態では、ペデスタル450は、電源406からヒータ素子470に電流を印加することによって抵抗加熱される。電源406から供給される電流は、ヒータ要素470によって生成された熱を制御することにより、膜堆積中に、基板490及びペデスタル450を、任意の好適な温度範囲における実質的に一定の温度に維持するよう、コントローラ410によって調節される。
[0044]従来的な様態でペデスタル450の温度をモニタするために、熱電対などの温度センサ472が支持ペデスタル450に埋め込まれうる。測定された温度は、ヒータ素子470に供給される電力を制御して基板を望ましい温度に維持するために、コントローラ410によって使用される。
[0045]真空ポンプ402が、チャンバ400の壁401に形成されたポートに連結される。真空ポンプ402は、処理チャンバ400内で望ましいガス圧を維持するために使用される。真空ポンプ402は更に、チャンバ400から、後処理ガス及びプロセスの副生成物を排気する。
[0046]複数の開孔428を有するシャワーヘッド420が、基板支持ペデスタル450の上方で、処理チャンバ400の上部424に連結される。シャワーヘッド420の開孔428は、プロセスガスをチャンバ400内に導入するために利用される。開孔428は、種々の処理要件のための様々なプロセスガスの流れを促進するために、種々のサイズ、数量、分配仕様、形状、設計、及び直径を有しうる。シャワーヘッド420は、プロセスにおいて様々なガスの内部空間426への供給を可能にするガスパネル430に接続される。シャワーヘッド420から出るプロセス混合ガスからプラズマが形成されて、プロセスガスの熱分解が強化され、その結果、基板490の表面491上に材料が堆積される。
[0047]一又は複数のRF電源440は、シャワーヘッド420とペデスタル450との間でのプラズマ生成を促進するために、対応するネットワーク438を通じて、シャワーヘッド420にバイアス電位を提供する。コントローラ410は、中央処理装置(CPU)412と、メモリ416と、プロセスシーケンスを制御し、かつガスパネル430及びWVGシステム452からのガス流を調節するために利用される、サポート回路414とを含む。コントローラ410と処理システム432の様々な構成要素との間の双方向通信は、信号バス418と総称される多数の信号ケーブル(その一部を図4に示す)を経由して処理される。
[0048]図5は、本書に記載の方法が実践されうる半導体処理システム500の平面図を示している。本開示から利を得るよう適合しうる一処理システムは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、300mmのPRODUCER(R)処理システムである。処理システム500は通常、FOUP514に含まれる基板カセット518が支持され、かつ、ロードロックチャンバ509との間で基板がローディング及びアンローディングされる場所である、正面プラットフォーム502と、基板ハンドラ513を収容する移送チャンバ511と、移送チャンバ511に装着された一連のタンデムj処理チャンバ506とを、含む。
[0049]タンデム処理チャンバ506の各々は、基板を処理するための2つのプロセス領域を含む。この2つのプロセス領域は、共通のガス供給システムと、共通の圧力制御システムと、共通のプロセスガス排気/ポンピングシステムとを、共有しているこのシステムのモジュール設計により、任意の一構成から他の任意の構成への急速な転換が可能になる。チャンバの配置及び組み合わせは、具体的なプロセスステップを実施するという目的により、変更されうる。タンデム処理チャンバ506のいずれも、後述する本開示の態様であって、図4に示している処理チャンバ400に関連して上述した一又は複数のチャンバ構成を含む態様による、リッドを含みうる。なお、処理チャンバ400は、必要に応じて、堆積プロセス、エッチングプロセス、硬化プロセス、又は加熱/アニーリングプロセスを実施するよう、構成されうる。一実施形態では、単一チャンバ設計として図示している処理チャンバ400は、半導体処理システム500に組み込まれうる。
[0050]一実行形態では、処理システム432は、既知の支持チャンバハードウェアを有する一又は複数のタンデム処理チャンバを伴って、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、硬化、又は加熱/アニーリングなどといった、他の様々な既知のプロセスに順応するよう、適合しうる。
[0051]本開示のプロセスの制御を促進するために、中央処理装置(CPU)544、メモリ542、及びサポート回路546を含むコントローラ540が、半導体処理システム500の様々な構成要素に連結される。メモリ542に記憶されているソフトウェアルーチン又は一連のプログラム指令は、CPU544によって実行されると、タンデム処理チャンバ506を作動させる。
[0052]ゆえに、水平ゲートオールアラウンド(hGAA)構造物のための、寄生容量が低減され、かつデバイスリークが最小限のナノワイヤ構造物を形成するための方法が、提供される。この方法は、積層体の特定の種類の材料に空隙を選択的に形成し、これにより、界面における寄生容量が低減され、かつデバイスリークが最小限のナノワイヤ構造物であって、後に、水平ゲートオールアラウンド(hGAA)構造物を形成するために利用されうる、ナノワイヤ構造物を形成するために、堆積プロセスを利用する。ゆえに、望ましい種類の材料と、デバイス電気的性能とを有する水平ゲートオールアラウンド(hGAA)構造物が、特に、水平ゲートオールアラウンド電界効果トランジスタ(hGAA FET)における応用のために、取得されうる。
[0053]上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は以下の特許請求の範囲によって決まる。
[0025]第2層214は、SiGe層、Ge層、又はその他の好適な層といった、Ge含有層でありうる。あるいは、第2層214は、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。更に別の例では、第2層214はGaAs層などのIII−V族材料である。また別の例では、第1層212はシリコン層であってよく、第2層214は、金属材料であって、その外表面に高誘電率材料のコーティングを有する金属材料である。高誘電率材料の好適な例は、数ある中でも、二酸化ハフニウム(HfO2)、二酸化ジルコニウム(ZrO2)、ハフニウムシリケート(HfSiO4)、酸化ハフニウムアルミニウム(HfAlO)、ジルコニウムシリケート(ZrSiO4)、二酸化タンタル(TaO2)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、又はプラチナジルコニウムチタン(PZT)を含む。特定の一実行形態では、コーティング層は二酸化ハフニウム(HfO2)層である。なお、積層体204中の第1層212及び第2層214と連動して選択される基板材料は、上述の材料を利用した種々の組み合わせでありうる。
[0037]第2層314は、SiGe層、Ge層、又はその他の好適な層といった、Ge含有層でありうる。あるいは、第2層314は、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層でありうる。更に別の例では、第2層314はGaAs層などのIII−V族材料である。また別の例では、第1層312はシリコン層であってよく、第2層314は、金属材料であって、その外表面に高誘電率材料のコーティングを有する金属材料である。高誘電率材料の好適な例は、数ある中でも、二酸化ハフニウム(HfO2)、二酸化ジルコニウム(ZrO2)、ハフニウムシリケート(HfSiO4)、酸化ハフニウムアルミニウム(HfAlO)、ジルコニウムシリケート(ZrSiO4)、二酸化タンタル(TaO2)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、又はプラチナジルコニウムチタン(PZT)を含む。特定の一実行形態では、コーティング層は二酸化ハフニウム(HfO2)層である。なお、積層体304中の第1層312及び第2層314と連動して選択される基板の材料は、上述の材料を利用した種々の組み合わせでありうる。

Claims (15)

  1. 積層体の第1側部及び前記積層体の第2側部に誘電体材料を堆積させることであって、前記積層体は、第1層と第2層の対の繰り返しを含み、前記第1側部は前記第2側部の反対側にあり、前記第1側部と前記第2側部の各々が一又は複数の凹部を有する、誘電体材料を堆積させることと、
    前記積層体の前記第1側部及び前記積層体の前記第2側部から前記誘電体材料を除去することであって、前記第1側部及び前記第2側部の前記一又は複数の凹部内には前記誘電体材料が残存する、前記誘電体材料を除去することと、
    前記第1側部及び前記第2側部に隣接してストレッサ層を堆積させることと、
    前記ストレッサ層と、前記積層体の前記第1側部及び前記第2側部との間に、一又は複数の間隙を形成することとを含む、方法。
  2. 前記一又は複数の凹部が第2層の各々に作り出される、請求項1に記載の方法。
  3. 前記誘電体材料が、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、酸炭化ケイ素、炭窒化ケイ素若しくは酸炭窒化ケイ素、ドーパントを伴うシリコン材料、窒化物、酸素窒化物、又はそれらの混合物である、請求項1に記載の方法。
  4. 前記一又は複数の間隙の各々が、水素、酸素、アルゴン、窒素、ヘリウム、又はそれらの混合物のうちの少なくとも1つを内包する、請求項1に記載の方法。
  5. 前記積層体の前記第1層の各々がシリコン層であり、前記積層体の前記第2層の各々がSiGe層である、請求項1に記載の方法。
  6. 前記積層体が少なくとも4回繰り返された対を含む、請求項1に記載の方法。
  7. プロセスチャンバ内の基板上に、第1層と第2層の対の繰り返しを備える積層体を堆積させることと、
    前記積層体の第1側部と前記第1側部の反対側の前記積層体の第2側部の各々に一又は複数の凹部を作り出すために、前記積層体から材料を除去することと、
    前記第1側部と前記第2側部とに、及び前記一又は複数の凹部の内部に、誘電体材料を堆積させることと、
    前記積層体の前記第1側部と前記積層体の前記第2側部の各々から、前記誘電体材料を除去することであって、前記積層体の前記第1側部及び前記積層体の前記第2側部の前記一又は複数の凹部内には前記誘電体材料が残存する、前記誘電体材料を除去することと、
    前記積層体の前記第1側部及び前記積層体の前記第2側部に隣接してストレッサ層を堆積させることと、
    前記ストレッサ層と前記積層体の前記第1側部との間、及び、前記ストレッサ層と前記積層体の前記第2側部との間に、一又は複数の間隙を形成することとを含む、方法。
  8. 前記一又は複数の凹部が前記第2層に作り出される、請求項7に記載の方法。
  9. 前記誘電体材料が、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、酸炭化ケイ素、炭窒化ケイ素若しくは酸炭窒化ケイ素、ドーパントを伴うシリコン材料、窒化物、酸素窒化物、又はそれらの混合物である、請求項7に記載の方法。
  10. 前記一又は複数の間隙の各々が、水素、酸素、アルゴン、窒素、ヘリウム、又はそれらの混合物のうちの少なくとも1つを内包し、かつ、前記積層体の前記第1層の各々がシリコン層であり、前記積層体の前記第2層の各々がSiGe層である、請求項7に記載の方法。
  11. 前記積層体が少なくとも4回繰り返された対を含む、請求項7に記載の方法。
  12. 第1層と第2層の対の繰り返しを備える積層体であって、第1側部と、前記第1側部の反対側の第2側部とを有する積層体、
    前記積層体を取り囲むゲート構造物、
    前記第1側部に隣接したソース層、
    前記第2側部に隣接したドレイン層、
    前記ソース層と前記第2層との間に配置された一又は複数の間隙、及び、
    前記ドレイン層と前記第2層との間に配置された一又は複数の間隙を備える、ナノワイヤ構造物。
  13. 前記一又は複数の間隙の各々が、水素、酸素、アルゴン、窒素、ヘリウム、又はそれらの混合物のうちの少なくとも1つを内包する、請求項12に記載のナノワイヤ構造物。
  14. 前記第1層の各々がシリコン層であり、前記第2層の各々がSiGe層である、請求項12に記載のナノワイヤ構造物。
  15. 前記積層体が少なくとも4回繰り返された対を含む、請求項12に記載のナノワイヤ構造物。
JP2018555683A 2016-04-25 2017-03-21 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成 Active JP6780015B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662327142P 2016-04-25 2016-04-25
US62/327,142 2016-04-25
US201662344859P 2016-06-02 2016-06-02
US62/344,859 2016-06-02
PCT/US2017/023413 WO2017189123A1 (en) 2016-04-25 2017-03-21 Horizontal gate all around device nanowire air gap spacer formation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020173535A Division JP2021036590A (ja) 2016-04-25 2020-10-14 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成

Publications (2)

Publication Number Publication Date
JP2019515494A true JP2019515494A (ja) 2019-06-06
JP6780015B2 JP6780015B2 (ja) 2020-11-04

Family

ID=60090425

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018555683A Active JP6780015B2 (ja) 2016-04-25 2017-03-21 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
JP2020173535A Pending JP2021036590A (ja) 2016-04-25 2020-10-14 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020173535A Pending JP2021036590A (ja) 2016-04-25 2020-10-14 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成

Country Status (7)

Country Link
US (3) US10777650B2 (ja)
EP (1) EP3449506A4 (ja)
JP (2) JP6780015B2 (ja)
KR (3) KR102294932B1 (ja)
CN (2) CN116110941A (ja)
TW (3) TWI773634B (ja)
WO (1) WO2017189123A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021508414A (ja) * 2017-12-04 2021-03-04 東京エレクトロン株式会社 積層ゲートを有する半導体装置及びその製造方法
WO2021048995A1 (ja) * 2019-09-13 2021-03-18 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
JP7414593B2 (ja) 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102294932B1 (ko) * 2016-04-25 2021-09-17 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
KR102527382B1 (ko) * 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
KR102564325B1 (ko) * 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
KR102318560B1 (ko) * 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10163493B2 (en) 2017-05-08 2018-12-25 International Business Machines Corporation SRAM margin recovery during burn-in
DE102017124637A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US10361278B2 (en) * 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10269914B2 (en) 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10566438B2 (en) 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
TW202017011A (zh) * 2018-06-22 2020-05-01 日商東京威力科創股份有限公司 奈米線裝置的形成方法
US10679906B2 (en) * 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
US10937862B2 (en) 2018-07-31 2021-03-02 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy via airgap
US10734523B2 (en) 2018-08-13 2020-08-04 International Business Machines Corporation Nanosheet substrate to source/drain isolation
TWI705565B (zh) * 2018-12-26 2020-09-21 新唐科技股份有限公司 半導體元件
CN109742025A (zh) * 2019-01-21 2019-05-10 中国科学院微电子研究所 一种环栅纳米线器件的制造方法
US10903331B2 (en) 2019-03-25 2021-01-26 International Business Machines Corporation Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10910470B1 (en) 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
TWI805947B (zh) * 2019-10-21 2023-06-21 美商應用材料股份有限公司 水平gaa奈米線及奈米平板電晶體
US11824116B2 (en) * 2019-12-18 2023-11-21 Intel Corporation Gate-all-around integrated circuit structures having devices with channel-to-substrate electrical contact
US11164792B2 (en) 2020-01-08 2021-11-02 International Business Machines Corporation Complementary field-effect transistors
US11069684B1 (en) 2020-03-04 2021-07-20 International Business Machines Corporation Stacked field effect transistors with reduced coupling effect
US11164952B2 (en) * 2020-03-07 2021-11-02 Qualcomm Incorporated Transistor with insulator
US11164793B2 (en) 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
KR102367140B1 (ko) 2020-07-08 2022-02-25 한국원자력연구원 반도체 디바이스 및 그 제조방법
WO2022032488A1 (zh) * 2020-08-11 2022-02-17 华为技术有限公司 场效应晶体管及其制造方法
CN116250087A (zh) * 2020-11-27 2023-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11843033B2 (en) 2021-01-28 2023-12-12 Applied Materials, Inc. Selective low temperature epitaxial deposition process
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128508A (ja) * 2002-10-01 2004-04-22 Samsung Electronics Co Ltd 多重チャンネルを有するモストランジスター及びその製造方法
JP2006080519A (ja) * 2004-09-07 2006-03-23 Samsung Electronics Co Ltd ワイヤチャンネルを有する電界効果トランジスタ及びその製造方法
JP2014158050A (ja) * 2009-12-30 2014-08-28 Intel Corp トランジスタ及びその製造方法
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (ja) 1996-03-07 2003-06-09 日本電気株式会社 半導体装置及びその製造方法
US6693335B2 (en) 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US7132342B1 (en) 2004-12-03 2006-11-07 National Semiconductor Corporation Method of reducing fringing capacitance in a MOSFET
CN100593845C (zh) * 2007-05-10 2010-03-10 上海交通大学 全局互连铜镂空结构的制造方法
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
FR2945891B1 (fr) 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
CN102117828B (zh) * 2009-12-30 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
US8455940B2 (en) * 2010-05-24 2013-06-04 Samsung Electronics Co., Ltd. Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8389416B2 (en) * 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8445347B2 (en) * 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
CN102214596B (zh) * 2011-05-26 2012-08-29 北京大学 一种以空气为侧墙的围栅硅纳米线晶体管的制备方法
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US8658499B2 (en) * 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
JP2014036215A (ja) * 2012-08-10 2014-02-24 Sharp Corp 半導体装置およびその製造方法
US8890264B2 (en) * 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140151639A1 (en) 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9252233B2 (en) * 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
US9224811B2 (en) * 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
TWI685972B (zh) 2014-06-11 2020-02-21 南韓商三星電子股份有限公司 結晶多奈米片應變通道場效電晶體
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9287386B2 (en) * 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US9647071B2 (en) * 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
KR102294932B1 (ko) * 2016-04-25 2021-09-17 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128508A (ja) * 2002-10-01 2004-04-22 Samsung Electronics Co Ltd 多重チャンネルを有するモストランジスター及びその製造方法
JP2006080519A (ja) * 2004-09-07 2006-03-23 Samsung Electronics Co Ltd ワイヤチャンネルを有する電界効果トランジスタ及びその製造方法
JP2014158050A (ja) * 2009-12-30 2014-08-28 Intel Corp トランジスタ及びその製造方法
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021508414A (ja) * 2017-12-04 2021-03-04 東京エレクトロン株式会社 積層ゲートを有する半導体装置及びその製造方法
JP7205045B2 (ja) 2017-12-04 2023-01-17 東京エレクトロン株式会社 積層ゲートを有する半導体装置及びその製造方法
WO2021048995A1 (ja) * 2019-09-13 2021-03-18 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
CN113348536A (zh) * 2019-09-13 2021-09-03 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置
JPWO2021048995A1 (ja) * 2019-09-13 2021-09-27 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
CN113348536B (zh) * 2019-09-13 2024-04-02 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置
JP7414593B2 (ja) 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
TW201739001A (zh) 2017-11-01
EP3449506A4 (en) 2019-12-25
TWI795317B (zh) 2023-03-01
US20200411656A1 (en) 2020-12-31
WO2017189123A1 (en) 2017-11-02
EP3449506A1 (en) 2019-03-06
JP2021036590A (ja) 2021-03-04
TWI773634B (zh) 2022-08-01
TW202226452A (zh) 2022-07-01
US10777650B2 (en) 2020-09-15
US11282936B2 (en) 2022-03-22
US11848369B2 (en) 2023-12-19
KR20180128986A (ko) 2018-12-04
KR20200102548A (ko) 2020-08-31
TWI758282B (zh) 2022-03-21
CN109564934A (zh) 2019-04-02
KR102384818B1 (ko) 2022-04-08
KR102272315B1 (ko) 2021-07-01
TW202245138A (zh) 2022-11-16
US20170309719A1 (en) 2017-10-26
JP6780015B2 (ja) 2020-11-04
KR102294932B1 (ko) 2021-09-17
KR20210082555A (ko) 2021-07-05
US20220173220A1 (en) 2022-06-02
CN109564934B (zh) 2023-02-21
CN116110941A (zh) 2023-05-12

Similar Documents

Publication Publication Date Title
US11848369B2 (en) Horizontal gate-all-around device nanowire air gap spacer formation
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
US9484406B1 (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR102554853B1 (ko) 수평 게이트 올 어라운드 디바이스들을 위한 접합부들 및 스페이서들을 제조하기 위한 방법
US9779995B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
US20160308048A1 (en) Semiconductor device and manufacturing method thereof
TWI685024B (zh) 半導體元件製造方法
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
TWI716441B (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
JP2008016522A (ja) 半導体装置の製造方法および半導体装置
JP2007329211A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181227

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200915

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201014

R150 Certificate of patent or registration of utility model

Ref document number: 6780015

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250