TWI795317B - 水平環繞式閘極元件的奈米線氣隙間隔之形成 - Google Patents

水平環繞式閘極元件的奈米線氣隙間隔之形成 Download PDF

Info

Publication number
TWI795317B
TWI795317B TW111123997A TW111123997A TWI795317B TW I795317 B TWI795317 B TW I795317B TW 111123997 A TW111123997 A TW 111123997A TW 111123997 A TW111123997 A TW 111123997A TW I795317 B TWI795317 B TW I795317B
Authority
TW
Taiwan
Prior art keywords
stack
layer
silicon
recesses
dielectric material
Prior art date
Application number
TW111123997A
Other languages
English (en)
Other versions
TW202245138A (zh
Inventor
孫世宇
金男成
冰西 孫
吉田尚美
龔聖欽
金苗
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202245138A publication Critical patent/TW202245138A/zh
Application granted granted Critical
Publication of TWI795317B publication Critical patent/TWI795317B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps

Abstract

本案揭露內容提供一種以期望材料形成奈米線結構的設備與方法,該奈米線結構為用於半導體晶片的水平環繞式閘極(hGAA)結構場效電晶體(FET)。一個範例中,一種形成奈米線結構的方法包括將介電材料沉積在堆疊的第一側與第二側上。該堆疊可包括重複多對的第一層與第二層。該第一側與第二側相對,且該第一側與該第二側具有一或多個凹部,該等凹部形成於該第一側與該第二側中。該方法包括從該堆疊的該第一側及該第二側移除該介電材料。該介電材料留在該一或多個凹部中。該方法包括沉積應力源層及形成一或多個側隙縫,該側隙縫位在該應力源層與該堆疊的該第一側及該第二側之間。

Description

水平環繞式閘極元件的奈米線氣隙間隔之形成
本案揭露內容之實施例大體上關於堆疊的hGAA元件。
可靠地生產次半微米及更小的特徵是半導體元件的下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)的一項關鍵技術挑戰。然而,隨著電路科技的極限迫近,VLSI及ULSI技術的尺寸縮小已對處理能力有額外的要求。在基板上可靠地形成閘極結構對VLSI及ULSI的成功而言是重要的,且亦對持續致力增加電路密度及個別基板與晶粒的品質而言亦是重要的。
因電路密度因應下一代的元件而增加,故互連件(諸如介層窗、溝槽、觸點、閘極結構及其他特徵)的寬度以及這些部件之間的介電材料的寬度減少到25nm及20nm的尺寸及更甚者,然而介電層之厚度維持實質上恆定,結果增加特徵的深寬比。再者,減少的通道長度經常引發習知平面MOSFET架構中的顯著短通道效應。為了實現下一代元件及結構的製造,三維(3D)元件結構經常用於改善電晶體的效能。尤其,鰭式場效電晶體(FinFET)經常用於增強元件效能。FinFET元件一般包括具高深寬比的半導體鰭片,其中用於電晶體的通道及源極/汲極區域形成覆於該半導體鰭片上。隨後將閘極電極形成覆於該鰭片元件的一部分的側面上且沿著該側面,而利用增加通道及源極/汲極區域表面積的優點,生產快速、更可靠、更好控制的半導體電晶體元件。FinFET的進一步優點包括減少短通道效應及提供更高的電流。有水平環繞式閘極(hGAA)組裝的元件結構經常透過環繞閘極以抑制短通道效應及相關的漏電流,而提供卓越的靜電控制。
一些應用中,hGAA結構用於下一代半導體元件應用。hGAA元件結構包括數個晶格匹配通道(例如奈米線),該等通道以堆疊組裝方式懸吊且透過源極/汲極區域連接。
在hGAA結構中,經常利用不同的材料形成通道結構(例如奈米線),該通道結構可能會不如期望地增加將所有這些材料整合於奈米線結構中且不惡化元件效能的製造困難度。例如,與hGAA結構相關的一項挑戰包括金屬閘極與源極/汲極之間存在大寄生電容。此類寄生電容的不適當管理可能造成相當劣化的元件效能。
因此,需要製造hGAA元件的改善結構與方法。
本案揭露內容提供一種以期望材料形成奈米線結構的方法與結構,該奈米線結構為用於半導體晶片的水平環繞式閘極(hGAA)結構。
一個實施例中,揭露一種處理基板之方法。該方法包括,將介電材料沉積在堆疊的第一側及該堆疊的第二側之各者上。該堆疊包括重複多對的第一層與第二層。該堆疊之該第一側與該堆疊之該第二側相對,且該第一側與該第二側之各者包括一或多個凹部。該方法亦包括,從該堆疊之該第一側及該堆疊之該第二側移除該介電材料。該介電材料留在該第一側與該第二側的該一或多個凹部中。該方法亦包括,沉積應力源(stressor)層及形成一或多個隙縫,該應力源層鄰近該第一側與該第二側,而該隙縫位在該應力源層與該堆疊的該第一側及該第二側之間。
另一實施例中,揭露另一種處理基板之方法。該方法包括,在處理腔室中將堆疊沉積於該基板上。該堆疊包括重複多對的第一層與第二層。該方法亦包括,從該堆疊移除材料,以在該堆疊之第一側及該堆疊之與該第一側相對的第二側之各者上產生一或多個凹部。該方法亦包括,將介電材料沉積在該堆疊的該第一側上、該堆疊的該第二側上,及該一或多個凹部內。該方法亦包括,從該第一側及該第二側之各者移除該介電材料。該介電材料留在該一或多個凹部中。該方法亦包括,沉積應力源(stressor)層及形成一或多個隙縫,該應力源層鄰近該第一側及該第二側,該隙縫位在該應力源層與該堆疊的該第一側之間及該應力源層與該堆疊的該第二側之間。
尚有另一實施例中,揭露一種奈米線結構。該奈米線結構包括具重複多對第一層與第二層的堆疊。該堆疊包括第一側,該第一側與第二側相對。該奈米線結構亦包括:環繞該堆疊的閘極結構、鄰近該堆疊之第一側的源極層、與該源極層相對且鄰近該堆疊之該第二側的汲極層、配置在該源極層與該第二層之間的一或多個隙縫、以及配置在該汲極層與該第二層之間的一或多個隙縫。
本案揭露內容提供一種以期望材料形成奈米線結構的設備與方法,該奈米線結構為用於半導體晶片的水平環繞式閘極(hGAA)結構場效電晶體(FET)。一個範例中,一種形成奈米線結構的方法包括將介電材料沉積在堆疊的第一側與第二側上。該堆疊可包括重複多對的第一層與第二層。該第一側與第二側相對,且該第一側與該第二側具有一或多個凹部,該等凹部形成於該第一側與該第二側中。該方法包括從該堆疊的該第一側及該第二側移除該介電材料。該介電材料留在該一或多個凹部中。該方法包括沉積應力源層及形成一或多個側隙縫,該側隙縫位在該應力源層與該堆疊的該第一側及該第二側之間。在此提供一種用於水平環繞式閘極(hGAA)半導體元件結構的奈米線結構,該奈米線結構有受控的寄生電容。
第1圖是方法100之一個範例的流程圖,該方法100用於以複合材料製造奈米線結構(例如通道結構),該奈米線結構用於水平環繞式閘極(hGAA)半導體元件結構。第2A圖至第2C圖是對應方法100之各階段的複合基板的一部分的剖面視圖。該方法100可用於在基板上形成用於水平環繞式閘極(hGAA)半導體元件結構200的奈米線結構,該奈米線結構具有之後可用於形成場效電晶體(FET)的期望材料。作為替代方案,方法100可有益於用以製造其他類型的結構。
方法100開始於操作102,於操作102,在處理腔室中,將膜堆疊204(如第2A圖所示)沉積於基板202上,該處理腔室諸如第4圖中所描繪的腔室400。基板202可以是諸如結晶矽(例如Si<100>或Si<110>)、氧化矽、應變矽、矽鍺、鍺、摻雜或無摻雜的多晶矽、摻雜或無摻雜的矽晶圓、及圖案化或無圖案化的絕緣體上覆晶圓矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、或藍寶石。基板202可具有各種尺寸,諸如200mm、300mm、450mm、其他直徑,且該基板為矩形或方形板件(panel)。
膜堆疊204可配置在視情況任選的材料層206上。在其中不存在視情況任選的材料層206的實施例中,膜堆疊204可視需求直接形成於基板202上。一個範例中,視情況任選的材料層206是絕緣材料。絕緣材料的適合範例包括氧化矽材料、氮化矽材料、氮氧化矽材料、或任何適合的絕緣材料。作為替代方案,視情況任選的材料層206可以是任何適合的材料,視需求該材料包括導電材料或非導電材料。堆疊204包括至少一對的層,每一對包括第一層212與第二層214。儘管第2A圖中描繪的範例顯示四對,每一對包括第一層212及第二層214(交替對,每一對包括第一層212及第二層214),但應注意各包括第一層212及第二層214的對數可根據不同製程需求而有所不同。一個特定實施例中,可沉積四對第一層212及第二層214以於基板202上形成堆疊204。一個實施方式中,每一單一的第一層212的厚度可介於約20埃至約200埃之間(諸如約50埃),每一單一的第二層214的厚度可介於約20埃至約200埃之間(諸如約50埃)。
第一層212可以是透過磊晶沉積製程形成的結晶矽層,諸如單一結晶、多晶、或單晶矽層。作為替代方案,第一層212可以是摻雜的矽層,包括p型摻雜矽層或n型摻雜層。適合的p型摻雜劑包括硼摻雜劑、鋁摻雜劑、鎵摻雜劑、銦摻雜劑、或類似物。適合的n型摻雜劑包括氮摻雜劑、磷摻雜劑、砷摻雜劑、銻摻雜劑、或類似物。尚有另一範例中,第一層212是三五族材料,諸如GaAs層。
第二層214可以是含鍺層,諸如SiGe層、Ge層、或其他適合的層。作為替代方案,第二層214可以是摻雜的矽層,包括p型摻雜矽層或n型摻雜層。尚有另一範例中,第二層214是三五族材料,諸如GaAs層。還有另一範例中,第一層212可為矽層,而第二層214是金屬材料,該金屬材料具有位在該金屬材料之外表面上的高k材料塗層。高k材料之適合的範例包括二氧化鉿(HfO 2)、二氧化鋯(ZrO 2)、矽酸鉿(HfSiO 4)、氧化鉿鋁(HfAlO)、矽酸鋯(ZrSiO 4)、二氧化鉭(TaO 2)、氧化鋁、鋁摻雜的二氧化鉿、鉍鍶鈦(BST)、或鉑鋯鈦(PZT),等等。一個特定實施方式中,塗佈層是二氧化鉿(HfO 2)層。應注意基板材料及堆疊204中的第一層212與第二層214的選擇可為利用如上所列之材料的不同組合。
在第2A圖中描繪的特定範例中,第一層212是結晶矽層,諸如單一結晶、多晶、或單晶矽層。第二層214是SiGe層。假閘極208及閘極間隔物210可沉積在堆疊204的頂部上。一些範例中,硬遮罩層(未示於第2圖中)及/或圖案化光阻層可配置在假閘極208、閘極間隔物210、及堆疊204上以進行圖案化。第2A圖中所示的範例中,假閘極208、閘極間隔物210、及堆疊204已於先前的圖案化製程中經過圖案化,而暴露堆疊204之第一側216且暴露堆疊204的第二側218,該第一側與第二側稍後可有形成為鄰近該第一側及第二側的源極/汲極錨定件(anchor)。第一側216與第二側218相對且正交該基板202。
在操作104,蝕刻堆疊204以移除一些材料且在堆疊204的第一側216及堆疊204的第二側218之各者上產生一或多個凹部220,如第2B1圖及第2B2圖所示。一個實施例中,凹部220選擇性形成於堆疊204之第二層214內,如第2B1圖所示。另一實施例中,凹部220選擇性形成於堆疊204的第一層212內,如第2B2圖所示。凹部的深度大約是閘極間隔物210的寬度222。一個實施例中,處理腔室(諸如第4圖的腔室400)內包括氟離子及自由基的電漿用於選擇性蝕刻一或多個凹部220。處理腔室內包括氟離子及自由基與氧離子及自由基的電漿可用於選擇性蝕刻一或多個凹部220。亦可納入氮離子及自由基。可以介於約300sccm至500sccm之間的流速使氟碳化合物前驅物流入。氧自由基可用於控制堆疊204的第二層214的蝕刻速率。另一實施例中,氧自由基與氮自由基用於控制堆疊204的第一層212的蝕刻速率。可以介於約10sccm至40sccm之間的流速使氧離子與自由基流入。可以介於約10sccm至40sccm之間的流速使氮離子與自由基流入。
在操作106,介電層224可沉積於堆疊204的側壁216、218上及堆疊204之第一側216與第二側218的各者的一或多個凹部220的每一者內,如第2C1圖與第2C2圖中所示。介電層224亦可沉積在閘極間隔物210上。介電層224可提供凹部220內的遮蔽,而防止下文所述之磊晶生長。介電層224裱襯凹部220的內表面,同時維持凹部220內的氣室(air pocket)。一個實施例中,介電層224裱襯第二層214內形成的凹部220的內表面,同時維持凹部220內的氣室,如第2C1圖所示。另一實施例中,介電層224裱襯第一層212內形成的凹部220的內表面,同時維持凹部220內的氣室,如第2C2圖所示。可從可防止磊晶生長期間沉積的材料選擇介電層224。一個實施例中,介電層224是含矽介電層,諸如含氮化矽層、含碳化矽層、含矽氧層,舉例而言,氧化矽、氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、氮碳化矽(SiCN)、碳氧化矽(SiOC)或氮碳氧化矽,或有摻雜劑的矽材料,及類似物。於含矽介電層中形成的摻雜劑可具有相對低的濃度。介電層亦可含有富含矽的膜性質。一個範例中,介電層224是氮化矽層或氮氧化矽(SiON),厚度介於約5埃至約50埃之間,諸如約10埃。介電層224可在PVD、CVD、ALD、或其他適合的電漿處理腔室中藉由CVD製程、ALD製程、或任何適合的沉積技術形成。
在操作108,於介電層224形成於堆疊204之側壁216、218上及凹部220內之後,來自堆疊204之第一側216及堆疊204之第二側218之各者的介電材料被選擇性移除,如第2D1圖與第2D2圖中所見。介電材料226留在第一側216與第二側218的凹部220內。換言之,介電材料226覆蓋凹部220的內壁。一個實施例中,介電材料226覆蓋第二層214內形成的凹部220之內壁,如第2D1圖所示。另一實施例中,介電材料226覆蓋第一層212內形成的凹部220之內壁,如第2D2圖所示。亦從閘極間隔物210移除介電材料224。透過從側壁216、218選擇性移除介電材料224且使介電材料226保持在凹部220內,這些凹部的內壁有利地受到屏蔽以隔絕後續步驟的磊晶生長。
在操作110,可執行選擇性沉積以將應力源層228沉積在鄰近第一側216與第二側218處,如第2E1圖與第2E2圖中所見。應力源層228選擇性沉積在未受介電材料226保護的某些區域上。可選擇性圖案化應力源層228,以移除與閘極間隔物210相鄰的一部分。應力源層228稍後可變成環繞式閘極電晶體的源極/汲極。在操作112,一或多個氣隙(air gap)230形成於應力源層228與該堆疊204的第一側216及第二側218之間,如第2E圖中所見。一個實施例中,選擇性沉積應力源層228會在第二層214之邊緣上形成氣隙230。換言之,氣隙230形成在第二層214與應力源層228之間,如第2E1圖至第2F1圖中所見。另一實施例中,選擇性沉積應力源層228會在第一層212之邊緣上形成氣隙230,如第2E2圖至第2F2圖中所見。換言之,氣隙230形成在第一層212與應力源層228之間。一個實施例中,氣隙230中的空氣含有氧。可想像,氣隙230中的空氣可含有下述之至少一者:氫、氧、氬、氮、氦、或上述各者之混合物。如第2E1圖與第2E2圖中所見,水平環繞式半導體元件200可包括一或多個氣隙,該等氣隙配置在「堆疊204之第二層214或第一層212」與「可變成源極或汲極的應力源層228」之間。一個實施例中,之後以置換金屬閘極(RMG)232置換假閘極208,如第2F圖中所見。另一實施例中,假閘極208與第二層214皆受到蝕刻且以被置換金屬閘極所置換。換言之,假閘極208可被金屬閘極232置換,且第二層214可被金屬閘極234置換。
電容直接與所用的材料之介電常數相關。相較於氧化材料及間隔物材料,氣隙有最小的介電常數k。因此,透過使用有最小介電常數的方法,閘極與源極/汲極之間的寄生電容亦可有利地受到限制。上述方法有利地運用k值在1左右的氣隙,以限制水平環繞式閘極結構中的寄生電容。
一個實施方式中,可於適合的電漿處理腔室中執行選擇性沉積製程,該腔室包括處理腔室,諸如第4圖中所描繪的處理腔室400或其他適合的電漿腔室。處理溫度被控制在低溫度範圍,諸如低於攝氏1200度。一個實施方式中,沉積製程可在含有電漿的環境、熱環境(諸如高溫爐)或熱電漿環境中執行,上述環境諸如電漿增強化學氣相沉積製程(PECVD)、低壓化學氣相沉積製程(LPCVD)、次大氣壓化學氣相沉積製程(SACVD)、大氣壓化學氣相沉積製程(APCVD)。
一或多個氣隙230形成於膜堆疊204中之後,該堆疊204可用作水平環繞式閘極結構中的奈米線,其寄生電容減少且元件漏損最小。
第3A圖顯示根據一個實施例的水平環繞式閘極(hGAA)結構300。hGAA結構300可實質上類似hGAA結構200,如第2E1圖中所示。另一實施例中,hGAA結構300實質上類似hGAA結構200,如第2E2圖中所示。水平環繞式閘極結構300包括基板302、視情況任選的材料層306、源極332、汲極334、閘極308、閘極間隔物310、及堆疊304。
堆疊304可配置在基板302上。一個實施例中,閘極304沉積在視情況任選的材料層306上。堆疊304包括第一側316與第二側318。第一側316與第二側318相對。第一側318與基板302正交。堆疊304可包括重複多對第一層312與第二層314。一個實施例中,該堆疊304含有至少重複四對的第一層312與第二層314。該堆疊304包括一或多個氣隙330。一個實施例中,氣隙330配置在第二層314的端部處。換言之,第二層314在兩個端部覆蓋氣隙330之間。另一實施例中,氣隙330配置在第一層312的端部處。換言之,第一層312在兩個端部覆蓋氣隙330之間。
第一層312可以是由磊晶沉積製程形成的結晶矽層,諸如單一結晶、多晶、或單晶矽層。作為替代方案,第一層312可以是摻雜的矽層,包括p型摻雜矽層或n型摻雜層。適合的p型摻雜劑包括硼摻雜劑、鋁摻雜劑、鎵摻雜劑、銦摻雜劑、或類似物。適合的n型摻雜劑包括氮摻雜劑、磷摻雜劑、砷摻雜劑、銻摻雜劑、或類似物。尚有另一範例中,第一層312可為三五族材料,諸如GaAs層。
第二層314可以是含鍺層,諸如SiGe層、Ge層、或其他適合的層。作為替代方案,第二層314可以是摻雜的矽層,包括p型摻雜矽層或n型摻雜層。尚有另一範例中,第二層314是三五族材料,諸如GaAs層。還有另一範例中,第一層312可為矽層,而第二層314是金屬材料,該金屬材料具有位在該金屬材料之外表面上的高k材料塗層。高k材料之適合的範例包括二氧化鉿(HfO 2)、二氧化鋯(ZrO 2)、矽酸鉿(HfSiO 4)、氧化鉿鋁(HfAlO)、矽酸鋯(ZrSiO 4)、二氧化鉭(TaO 2)、氧化鋁、鋁摻雜的二氧化鉿、鉍鍶鈦(BST)、或鉑鋯鈦(PZT),等等。一個特定實施方式中,塗佈層是二氧化鉿(HfO 2)層。應注意基板材料及堆疊304中的第一層312與第二層314的選擇可為利用如上所列之材料的不同組合。
源極332配置在鄰近堆疊304之第一側316處且正交基板302。汲極334配置在鄰近堆疊304之第二側318處且正交基板302。源極332與汲極334相對。換言之,堆疊304配置在源極332與汲極334之間。一個實施例中,第一組一或多個氣隙330配置在源極332與堆疊304之間,詳言之,在源極332與堆疊304的第二層314之間。第二組一或多個氣隙330配置在汲極334與堆疊304之間,詳言之,在汲極334與堆疊304的第二層314之間。
閘極308配置在堆疊304上。閘極308環繞堆疊304。一個實施例中,閘極308配置在雙側上的間隔物310之間。換言之,閘極間隔物310可配置在閘極308之任意一側上。閘極間隔物310亦可環繞堆疊304。一個實施例中,閘極間隔物環繞且對齊堆疊304的氣隙330。
第3B圖描繪不具閘極間隔物層的hGAA元件300之剖面示意圖。hGAA元件300包括多對第一層312及第二層314,且氣隙330形成於該元件中。該水平環繞式閘極(hGAA)結構300利用堆疊304作為分別介於源極/汲極錨定件332、334與閘極結構308之間的奈米線(例如通道)。如第3C圖中圓圈340所指的堆疊304之放大視圖中所示,在第二層314或第一層312之底部(或例如端部)形成的氣隙330可助於管理界面(其中第二層314或第一層312接觸閘極結構308及/或源極/汲極錨定件332、334),以便減少寄生電容及維持最小的元件漏損。
第4圖是適合用於執行上文進一步敘述的選擇性製程的說明性處理系統432的剖面視圖。該處理系統432可以是OLYMPIA®、SICONI®、或PRODUCER®,皆可購自美國加州Santa Clara的應用材料公司。應考量,其他處理系統(包括購自其他販售商之處理系統)可適於實行本案揭露內容。
處理系統432包括處理腔室400,該處理腔室400耦接氣體分配板430與控制器410。該處理腔室400大體上包括界定內部空間426的頂部424、側面401、及底壁422。
支撐底座450設置在腔室400的內部空間426中。底座450可由鋁、陶瓷、或其他適合材料製造。底座450可包括嵌入的加熱器元件470,該加熱器元件470適合用於控制支撐在底座450上的基板490的溫度。一個實施例中,透過從電源供應器406施加電流至加熱器元件470而電阻式加熱底座450。從電源供應器406供應的電流由控制器410調控,以控制加熱器元件470所生成的熱,從而將基板490與底座450於任何適合溫度範圍的膜沉積期間維持在實質恆定的溫度。
溫度感測器472(諸如熱電偶)可嵌在支撐底座450中,以用習知方式監控底座450之溫度。控制器410使用量測到的溫度,以控制供應至加熱器元件470之功率,而將基板維持在期望溫度。
真空泵402耦接腔室400之壁401中形成的通口。真空泵402用於維持處理腔室400中的期望氣體壓力。真空泵402亦從腔室400抽空後處理氣體及製程副產物。
具有複數個孔(aperture)428的噴頭420於基板支撐底座450上方耦接處理腔室400之頂部424。噴頭420的孔428用於將處理氣體引入腔室400。孔428可具有不同尺寸、數目、分佈、形狀、設計、與直徑,以助於針對不同製程需求的各種處理氣體的流動。噴頭420連接氣體分配板430,該氣體分配板430容許各種氣體得以於製程期間供應至內部空間426。電漿是由離開噴頭420的處理氣體混合物形成,以增強處理氣體之熱分解,造成材料沉積於基板490之表面491上。
一或多個射頻電源440透過匹配網路438提供偏壓電位給噴頭420,以助於在噴頭420及底座450之間生成電漿。控制器410包括中央處理單元(CPU)412、記憶體416、及支援電路414,以用於控制處理序列及調控來自氣體分配板430與WVG系統452的氣流。控制器410與處理系統432之各種部件之間的雙向通訊是透過數個訊號纜線處理,這些訊號纜線通稱訊號匯流排418,其中一些說明於第4圖中。
第5圖描繪半導體處理系統500的平面圖,其中可實行本文所述之方法。可適於受惠於本案揭露內容的一個處理系統是300mm的PRODUCER®處理系統,可購自美國加州Santa Clara的應用材料公司。處理系統500大體上包括:前台502,其中包括在FOUP 514中的基板匣518受支撐,且基板裝載至裝載閘腔室509且從裝載閘腔室509卸載;移送腔室511,容納基板調動器513;及一系列串接的處理腔室506,該等處理腔室506裝設在移送腔室511上。
每一串接處理腔室506包括兩個處理區域以處理基板。兩個處理區域共用共通的氣體供應器、共通的壓力控制、及共通的處理氣體排氣/泵送系統。系統的模組化設計實現從任一種組裝方式快速轉換到任何其他組裝方式。腔室的佈置與組合可為了執行特定製程步驟而更改。根據下文所述之本案揭露內容之態樣,串接處理腔室506之任一者可包括蓋,該等態樣包括一或多個腔室組裝方式,如上文參考第4圖所繪之處理腔室400所述。應注意處理腔室400可裝設成根據需求執行沉積製程、蝕刻製程、固化製程、或加熱/退火製程。一個實施例中,處理腔室400(圖中顯示為所設計的單一腔室)可併入半導體處理系統500中。
一個實施方式中,處理系統432可適應串接處理腔室之一或多者,該處理腔室具有已知支援腔室硬體,以容納各種其他已知製程,諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、固化、或加熱/退火、及類似製程。
控制器540包括中央處理單元(CPU)544、記憶體542、與支援電路546,該控制器540耦接半導體處理系統500之各種部件,以助於控制本案揭露內容之製程。儲存於記憶體542中的軟體常式或一系列的程式指令在由CPU 544執行時,會執行該等串接處理腔室506。
從而,提供多種形成奈米線結構之方法,該奈米線結構用於水平環繞式閘極(hGAA)結構且有減少的寄生電容及最小的元件漏損。該等方法利用沉積製程以在來自堆疊的某些類型的材料上選擇性形成氣隙,而形成界面處寄生電容減少且元件漏損最小的奈米線結構,該奈米線結構稍後可用於形成水平環繞式閘極(hGAA)結構。從而,尤其是針對水平環繞式閘極場效電晶體(hGAA FET)的應用,可獲得有期望類型的材料及元件電效能的水平環繞式閘極(hGAA)結構。
雖然前述內容涉及本案揭露內容之實施例,但可不背離本案揭露內容之基本範疇而設計本案揭露內容之其他與進一步實施例,本案揭露內容之範疇由下文的申請專利範圍所決定。
100 方法 102-112 操作 200 水平環繞式閘極半導體元件 202 基板 204 堆疊 206 材料層 208 假閘極 210 閘極間隔物 212 第一層 214 第二層 216 第一側 218 第二側 220 凹部 222 寬度 224 介電層 226 介電材料 228 應力源層 230 氣隙 232 金屬閘極 300 水平環繞式閘極結構 302 基板 304 堆疊 306 材料層 308 閘極 310 閘極間隔物 312 第一層 314 第二層 316 第一側 318 第二側 330 氣隙 332 源極 334 汲極 340 圓圈 400 腔室 401 壁 402 真空泵 406 電源供應器 410 控制器 412 中央處理單元 414 支援電路 416 記憶體 418 訊號匯流排 420 噴頭 422 底壁 424 頂部 426 內部空間 428 孔 430 氣體分配板 432 處理系統 438 匹配網路 440 射頻電源 450 支撐底座 452 WVG系統 470 加熱器元件 472 溫度感測器 490 基板 491 表面 500 處理系統 502 前台 506 處理腔室 509 裝載閘腔室 511 移送腔室 513 基板調動器 514 FOUP 518 基板匣 540 控制器 542 記憶體 544 中央處理單元 546 支援電路
透過參考實施例(其中一些實施例繪示於附圖中),可得到上文簡要總結的本案揭露內容之更詳細之敘述,如此可詳細地瞭解本案揭露內容之上文所記載之特徵。然而,應注意附圖所說明的僅為本案揭露內容之典型實施例,因此不應被視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
第1圖描繪製造奈米線結構之方法的流程圖,該奈米線結構形成於基板上。
第2A、2B1、2C1、2D1、2E1、2F1圖描繪第1圖之製造程序期間以期望材料形成奈米線結構的序列的一個範例的剖面視圖。
第2A、2B2、2C2、2D2、2E2、2F2圖描繪第1圖之製造程序期間以期望材料形成奈米線結構的序列的另一範例的剖面視圖。
第3A圖至第3C圖描繪水平環繞式閘極(hGAA)結構的範例的示意圖。
第4圖描繪電漿處理腔室,該電漿處理腔室可用於在基板上執行沉積製程。
第5圖描繪處理系統,該處理系統可包括第4圖之電漿處理腔室,該電漿處理腔室併入該處理系統中。
為了助於瞭解,如可能則已使用相同的元件符號指定各圖共通的相同元件。應考量一個實施例中揭露的元件及特徵可有利地併入其他實施例中而無需贅述。
然而,應注意,附圖所說明的僅為本案揭露內容之示範性實施例,因此不應被視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
300 水平環繞式閘極結構 302 基板 304 堆疊 306 材料層 308 閘極 310 閘極間隔物 312 第一層 314 第二層 316 第一側 318 第二側 330 氣隙 332 源極 334 汲極

Claims (20)

  1. 一種製造一水平環繞式閘極(hGAA)元件之方法,包含以下步驟: 從設置於一基板上的一材料層上的一堆疊選擇性蝕刻材料,以在該堆疊的一第一側及該堆疊的一第二側上產生一或多個凹部,該第二側與該第一側相對,且其中該堆疊包括重複的多對的一第一層與一第二層;  將一介電材料沉積在該堆疊的該第一側及該第二側上且在該堆疊的該一或多個凹部內,以裱襯(line)該堆疊的該第一側及該第二側上之該一或多個凹部的內表面,同時維持該一或多個凹部之每一者內之一氣室(air pocket);  從該堆疊之該第一側及該堆疊之該第二側移除該介電材料,其中該介電材料保留在該第一側和該第二側的該一或多個凹部中;及  在該第一側與該第二側的未受該介電材料保護的區域上選擇性沉積一應力源層(stressor layer),以形成一或多個隙縫,該等隙縫位在該應力源層與保留在該堆疊的該第一側及該第二側的該一或多個凹部中的該介電材料之間,且其中該等隙縫之每一者具有約1的介電常數值。
  2. 如請求項1所述之方法,其中該一或多個凹部產生在每一第二層中。
  3. 如請求項1所述之方法,其中該材料層包含氧化矽、氮化矽或氮氧化矽。
  4. 如請求項1所述之方法,其中該介電材料是藉由一ALD製程沉積,且其中該介電材料包含氮化矽、氧化矽、氮氧化矽、碳氧化矽、氮碳化矽、氮碳氧化矽、具摻雜劑的矽材料、氮化物、氮氧化物或上述者之混合物。
  5. 如請求項1所述之方法,其中該一或多個隙縫之各者含有氧、氮及以下至少一者:氫、氦或其混合物。
  6. 如請求項1所述之方法,其中該堆疊之每一第一層是一矽層,且該堆疊之每一第二層包含矽和鍺。
  7. 如請求項1所述之方法,進一步包含以下步驟: 在該堆疊之頂部上形成一閘極結構。
  8. 如請求項1所述之方法,其中該堆疊包括重複的至少四對。
  9. 如請求項1所述之方法,其中該電漿進一步包含氧離子與自由基。
  10. 如請求項1所述之方法,其中該電漿進一步包含氮離子與自由基。
  11. 如請求項1所述之方法,其中該介電材料包含厚度為約5埃至約50埃之一氮氧化矽層。
  12. 一種製造一水平環繞式閘極(hGAA)元件之方法,包含以下步驟: 在一處理腔室中將一堆疊沉積在位於一基板上的一材料層上,其中該堆疊包括重複的多對的一第一層與一第二層;  以包含氟的一電漿從該堆疊選擇性蝕刻材料,以在該堆疊之一第一側及該堆疊之一第二側之各者上產生一或多個凹部,該第二側與該第一側相對;  將一介電材料沉積在該第一側上、該第二側上及該一或多個凹部內,以裱襯(line)該堆疊的該第一側及該第二側上之該一或多個凹部的內表面,同時維持該一或多個凹部之每一者內之一氣室(air pocket);  從該堆疊之該第一側及該堆疊之該第二側之每一者移除該介電材料,其中該介電材料保留在該堆疊之該第一側和該堆疊之該第二側的該一或多個凹部內;及  在該第一側與該第二側的未受該介電材料保護的區域上選擇性沉積一應力源層(stressor layer),以形成一或多個隙縫,該等隙縫位在該應力源層與保留在該堆疊的該第一側及該第二側的該一或多個凹部中的該介電材料之間。
  13. 如請求項12所述之方法,其中該基板包含矽。
  14. 如請求項12所述之方法,其中該一或多個凹部產生在該第二層處。
  15. 如請求項12所述之方法,其中該介電材料包括氮化矽、氧化矽、氮氧化矽、碳氧化矽、氮碳化矽、氮碳氧化矽、具摻雜劑的矽材料、氮化物、氮氧化物或上述者之混合物。
  16. 如請求項12所述之方法,其中該一或多個隙縫之每一者含有氧、氮及以下至少一者:氫、氬、氦或其混合物。
  17. 如請求項12所述之方法,其中該堆疊之每一第一層是一矽層,且該堆疊之每一第二層包含矽和鍺。
  18. 如請求項12所述之方法,其中該堆疊包括重複的至少四對。
  19. 如請求項12所述之方法,其中該電漿進一步包含氧離子與自由基。
  20. 如請求項12所述之方法,其中該電漿進一步包含氮離子與自由基。
TW111123997A 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成 TWI795317B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662327142P 2016-04-25 2016-04-25
US62/327,142 2016-04-25
US201662344859P 2016-06-02 2016-06-02
US62/344,859 2016-06-02

Publications (2)

Publication Number Publication Date
TW202245138A TW202245138A (zh) 2022-11-16
TWI795317B true TWI795317B (zh) 2023-03-01

Family

ID=60090425

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111105917A TWI773634B (zh) 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成
TW106111325A TWI758282B (zh) 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成
TW111123997A TWI795317B (zh) 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW111105917A TWI773634B (zh) 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成
TW106111325A TWI758282B (zh) 2016-04-25 2017-04-05 水平環繞式閘極元件的奈米線氣隙間隔之形成

Country Status (7)

Country Link
US (3) US10777650B2 (zh)
EP (1) EP3449506A4 (zh)
JP (2) JP6780015B2 (zh)
KR (3) KR102272315B1 (zh)
CN (2) CN109564934B (zh)
TW (3) TWI773634B (zh)
WO (1) WO2017189123A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109564934B (zh) * 2016-04-25 2023-02-21 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成
KR102527382B1 (ko) * 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
KR102564325B1 (ko) * 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
KR102318560B1 (ko) * 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10163493B2 (en) 2017-05-08 2018-12-25 International Business Machines Corporation SRAM margin recovery during burn-in
US10361278B2 (en) * 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102017124637A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US10269914B2 (en) * 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10566438B2 (en) 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
JP7348442B2 (ja) * 2018-06-22 2023-09-21 東京エレクトロン株式会社 ナノワイヤデバイスを形成する方法
US10679906B2 (en) * 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
US10937862B2 (en) 2018-07-31 2021-03-02 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy via airgap
US10734523B2 (en) 2018-08-13 2020-08-04 International Business Machines Corporation Nanosheet substrate to source/drain isolation
TWI705565B (zh) * 2018-12-26 2020-09-21 新唐科技股份有限公司 半導體元件
CN109742025A (zh) * 2019-01-21 2019-05-10 中国科学院微电子研究所 一种环栅纳米线器件的制造方法
US10903331B2 (en) 2019-03-25 2021-01-26 International Business Machines Corporation Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10910470B1 (en) 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
JP6950096B2 (ja) * 2019-09-13 2021-10-13 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
TWI805947B (zh) * 2019-10-21 2023-06-21 美商應用材料股份有限公司 水平gaa奈米線及奈米平板電晶體
US11824116B2 (en) * 2019-12-18 2023-11-21 Intel Corporation Gate-all-around integrated circuit structures having devices with channel-to-substrate electrical contact
US11164792B2 (en) 2020-01-08 2021-11-02 International Business Machines Corporation Complementary field-effect transistors
US11069684B1 (en) 2020-03-04 2021-07-20 International Business Machines Corporation Stacked field effect transistors with reduced coupling effect
US11164952B2 (en) * 2020-03-07 2021-11-02 Qualcomm Incorporated Transistor with insulator
JP7414593B2 (ja) 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11164793B2 (en) 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
KR102367140B1 (ko) 2020-07-08 2022-02-25 한국원자력연구원 반도체 디바이스 및 그 제조방법
WO2022032488A1 (zh) * 2020-08-11 2022-02-17 华为技术有限公司 场效应晶体管及其制造方法
CN116250087A (zh) * 2020-11-27 2023-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11843033B2 (en) * 2021-01-28 2023-12-12 Applied Materials, Inc. Selective low temperature epitaxial deposition process
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214596A (zh) * 2011-05-26 2011-10-12 北京大学 一种以空气为侧墙的围栅硅纳米线晶体管的制备方法
US20140264276A1 (en) * 2013-03-12 2014-09-18 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
TW201535488A (zh) * 2014-03-12 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 鰭狀場效電晶體裝置與其形成方法
TW201604929A (zh) * 2014-06-24 2016-02-01 應用材料股份有限公司 形成iii-v族通道的方法
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (ja) 1996-03-07 2003-06-09 日本電気株式会社 半導体装置及びその製造方法
US6693335B2 (en) 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7132342B1 (en) 2004-12-03 2006-11-07 National Semiconductor Corporation Method of reducing fringing capacitance in a MOSFET
CN100593845C (zh) * 2007-05-10 2010-03-10 上海交通大学 全局互连铜镂空结构的制造方法
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
FR2945891B1 (fr) 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
CN102117828B (zh) * 2009-12-30 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8455940B2 (en) * 2010-05-24 2013-06-04 Samsung Electronics Co., Ltd. Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8389416B2 (en) * 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8445347B2 (en) * 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US8658499B2 (en) * 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
JP2014036215A (ja) * 2012-08-10 2014-02-24 Sharp Corp 半導体装置およびその製造方法
US8890264B2 (en) * 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140151639A1 (en) 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9224811B2 (en) * 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
WO2015190852A1 (en) 2014-06-11 2015-12-17 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
US9647071B2 (en) * 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
CN109564934B (zh) * 2016-04-25 2023-02-21 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214596A (zh) * 2011-05-26 2011-10-12 北京大学 一种以空气为侧墙的围栅硅纳米线晶体管的制备方法
US20140264276A1 (en) * 2013-03-12 2014-09-18 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
TW201535488A (zh) * 2014-03-12 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 鰭狀場效電晶體裝置與其形成方法
TW201604929A (zh) * 2014-06-24 2016-02-01 應用材料股份有限公司 形成iii-v族通道的方法
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors

Also Published As

Publication number Publication date
TW202226452A (zh) 2022-07-01
EP3449506A4 (en) 2019-12-25
EP3449506A1 (en) 2019-03-06
CN109564934B (zh) 2023-02-21
TWI758282B (zh) 2022-03-21
KR102384818B1 (ko) 2022-04-08
WO2017189123A1 (en) 2017-11-02
TW201739001A (zh) 2017-11-01
US11848369B2 (en) 2023-12-19
JP6780015B2 (ja) 2020-11-04
KR102272315B1 (ko) 2021-07-01
US11282936B2 (en) 2022-03-22
KR20180128986A (ko) 2018-12-04
CN116110941A (zh) 2023-05-12
JP2021036590A (ja) 2021-03-04
TW202245138A (zh) 2022-11-16
KR102294932B1 (ko) 2021-09-17
KR20200102548A (ko) 2020-08-31
US20200411656A1 (en) 2020-12-31
US20170309719A1 (en) 2017-10-26
CN109564934A (zh) 2019-04-02
TWI773634B (zh) 2022-08-01
JP2019515494A (ja) 2019-06-06
US20220173220A1 (en) 2022-06-02
US10777650B2 (en) 2020-09-15
KR20210082555A (ko) 2021-07-05

Similar Documents

Publication Publication Date Title
TWI795317B (zh) 水平環繞式閘極元件的奈米線氣隙間隔之形成
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
US9484406B1 (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
CN108475695B (zh) 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法
KR102554853B1 (ko) 수평 게이트 올 어라운드 디바이스들을 위한 접합부들 및 스페이서들을 제조하기 위한 방법
US11264460B2 (en) Vertical transistor fabrication for memory applications
TW202221764A (zh) 集成可流動低k間隙填充及電漿處理
TWI716441B (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
US20210280451A1 (en) Low temperature steam free oxide gapfill
TW202336868A (zh) 半導體裝置及其製造方法
US9355820B2 (en) Methods for removing carbon containing films