TW201907568A - 自我對準接點(五) - Google Patents

自我對準接點(五) Download PDF

Info

Publication number
TW201907568A
TW201907568A TW107127791A TW107127791A TW201907568A TW 201907568 A TW201907568 A TW 201907568A TW 107127791 A TW107127791 A TW 107127791A TW 107127791 A TW107127791 A TW 107127791A TW 201907568 A TW201907568 A TW 201907568A
Authority
TW
Taiwan
Prior art keywords
gate
metal
dielectric
layer
dielectric layer
Prior art date
Application number
TW107127791A
Other languages
English (en)
Other versions
TWI666772B (zh
Inventor
T. 鮑爾馬克
迦尼泰希爾
M. 雷海爾-歐拉比納迪亞
M. 喬斯沙布哈許
M. 史泰格華德喬瑟夫
W. 克勞斯傑森
黃傑克
麥凱維席萊恩
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW201907568A publication Critical patent/TW201907568A/zh
Application granted granted Critical
Publication of TWI666772B publication Critical patent/TWI666772B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一電晶體包含一基體、位於該基體上的一對間隔物、位於該基體上且介於該對間隔物之間的一閘極介電層、位於該閘極介電層上且介於該對間隔物之間的一閘極電極層、位於該閘極電極層上且介於該對間隔物之間的一絕緣帽層、及鄰近該對間隔物的一對擴散區。該絕緣帽層形成一蝕刻中止結構,該蝕刻中止結構自我對準於閘極且防止接點蝕刻造成閘極電極暴露,從而防止閘極與接點之短路。絕緣體帽層可實現自我對準接點,使對圖案化限制更為堅固的較寬接點能夠初始圖案化。

Description

自我對準接點(五) 發明領域
本發明係有關於自我對準接點。
發明背景
金屬氧化物半導體(MOS)電晶體,諸如MOS場效電晶體(MOSFET),用於製造積體電路。MOS電晶體包括若干組件,諸如閘極、閘極介電層、間隔物及諸如源極區及汲極區的擴散區。一層間介電層(ILD)典型地形成於MOS電晶體上且覆蓋擴散區。
MOS電晶體藉由典型地以一諸如鎢的金屬形成的接點插塞達成電氣連接。該等接點插塞是藉由首先圖案化ILD層來形成下達擴散區的通孔而被製成。圖案化製程通常為一光蝕刻製程。接下來,金屬沈積於通孔中以形成接點插塞。一下達閘極的單獨接點插塞使用同一製程或一類似製程而形成。
在一接點插塞製造期間可能出現的一問題為形成一接點與閘極短路。一接點與閘極短路為在接點插塞未對準而與閘極電氣接觸時發生的短路。防止接點與閘極短 路的一種習知的方法係藉由控制對齊及臨界尺寸(CD)。遺憾的是,對具有等於或低於100奈米(nm)之閘極間距(閘極長度+間隔)的電晶體而言,對閘極及接點尺寸的CD控制必須小於10nm且閘極層與接點層之間的對齊控制也必須小於10nm以產生一可製造的製程範圍。因此,一接點與一閘極短路之可能性非常高。隨著電晶體閘極間距尺寸由於臨界尺寸變得更小而進一步按比例縮小尺寸,此問題變得更加普遍。
發明概要
依據本發明之一實施例,係特地提出一種積體電路結構,其包含:一基板,其包含矽;一閘極結構,其在該基板上方,該閘極結構包含一閘極介電質以及一閘極電極;一第一介電閘極間隔物,其鄰接該閘極結構之一第一側;一第二介電閘極間隔物,其鄰接該閘極結構之一第二側;一第一源極或汲極區,其在該閘極結構之該第一側;一第二源極或汲極區,其在該閘極結構之該第二側;一導電接觸結構,其在該第一源極或汲極區上;一第一介電層,其在該閘極結構之一部分上,該第一介電層具有在該導電接觸結構之一部分上之一開口;一第一介電接觸間隔物,其沿該第一介電層之該開口之一第一側壁延伸;一第二介電接觸間隔物,其沿該第一介電層之該開口之一第二側壁延伸;一金屬結構,其在該第一介電接觸間隔物與該第二介電接觸間隔物之間,該金屬結構與該導電接觸結構之該 部分接觸;以及一第二介電層,其在該第一介電層上。
100‧‧‧基體
101‧‧‧MOS電晶體
102‧‧‧閘極/金屬閘極/閘極層/下方金屬閘極/金屬閘極層
102a、102b‧‧‧金屬層
102a‧‧‧保形金屬閘極層/初始金屬閘極層/金屬閘極/金屬層
102b‧‧‧第二金屬層第二金屬閘極/層/第二金屬閘極層/金屬閘極/金屬層
104‧‧‧閘極介電層/高k閘極介電層/「U」形閘極介電層/保形介電層
106‧‧‧擴散區
108‧‧‧側壁間隔物/閘極間隔物/凹入之間隔物
110a、110b、110、902、1006‧‧‧ILD層
110a‧‧‧ILD層/第一ILD層/ILD
110b‧‧‧ILD層/附加ILD層
200‧‧‧未對準的溝槽接點
300‧‧‧絕緣體帽層/絕緣體帽/絕緣帽層
500‧‧‧虛擬閘極
502‧‧‧虛擬閘極介電層/虛擬閘極介電質
503a、503b、600、1002‧‧‧溝槽
504、602、700‧‧‧絕緣帽層/絕緣體帽層
800‧‧‧接觸溝槽開口
802‧‧‧矽化物層
804‧‧‧接點側壁間隔物
900‧‧‧金屬帽
904‧‧‧空隙
906‧‧‧自我對準絕緣帽層
1000‧‧‧間隔物
1004‧‧‧自我對準金屬銷
第1A圖繪示一基體及二習知的MOS電晶體以及一正確對準的溝槽接點。
第1B圖繪示一形成至MOS電晶體之一擴散區的未對準溝槽接點,其導致一接點與閘極短路。
第2A圖繪示依據本發明之一實施態樣的一基體及兩個具有各自在其金屬閘極頂上的絕緣體帽層的MOS電晶體。
第2B圖繪示一形成於具有絕緣體帽層的本發明之二MOS電晶體之間的正確對準的溝槽接點。
第2C圖繪示一形成於具有絕緣體帽層的本發明之二MOS電晶體之間的未對準的溝槽接點,其中該未對準並不導致一接點與閘極短路。
第3A至3C圖繪示一依據本發明之一實施態樣,在一替換金屬閘極製程之後形成的絕緣體帽層。
第4A至4C圖繪示一依據本發明之另一實施態樣,在一替換金屬閘極製程之後形成的絕緣體帽層。
第5A至5I圖繪示一關於依據本發明之一實施態樣,一在MOS電晶體之間隔物上延伸的絕緣體帽層之製造程序。
第6A至6F圖繪示一關於依據本發明之一實施態樣,具有一階狀輪廓的一金屬閘極之製造程序。
第7A至7C圖繪示依據本發明之一實施態樣,二 閘極具有階狀輪廓且在間隔物上具有延伸之絕緣體帽層的MOS電晶體。
第8A至8F圖繪示依據本發明之一實施態樣的接點側壁間隔物。
第9A至9D圖繪示一依據本發明之一實施態樣,用以在一金屬閘極頂上形成一絕緣帽的製程。
第10A至10G圖繪示一依據本發明之一實施態樣,用以在一溝槽接點頂上形成一金屬銷及絕緣間隔物的製程。
較佳實施例之詳細說明
本文描述在金屬氧化物半導體(MOS)電晶體製造期間減小接點與閘極短路之可能性之系統及方法。在以下說明中,說明性實施態樣之各種不同層面將使用熟於此技者所常用的用詞而被描述以將其作用本質傳達給其他熟於此技者。然而,熟於此技者將清楚理解的是本發明可僅利用某些描述層面而被實施。為了實現說明的目的,特定數字、材料及組態被提及以提供對該等說明性實施態樣之深入理解。然而,熟於此技者將清楚理解的是本發明在毋需特定細節之下也可被實施。在其他例子中,習知的特徵被忽略或簡化以免模糊該等說明性實施態樣。
各種不同的操作進而將以一最有助於理解本發明的方式被描述成多個分離操作,然而,說明順序不應被理解為暗示這些操作必然是與順序相關的。詳言之,這些 操作不一定以所描述順序執行。
第1A圖繪示一基體100及二MOS電晶體101。MOS電晶體101包括閘極102、閘極介電層104及間隔物108。擴散區106形成於基體100中。層間介電層(ILD),諸如ILD層110a及110b,係被沈積於二MOS電晶體101之間及它們周圍的區域中。
第1A圖還繪示一穿過ILD層110a/b被形成下達至擴散區106的溝槽接點200。溝槽接點200典型地使用以一金屬沈積製程接隨一光蝕刻圖案化製程被成型。光蝕刻圖案化製程及金屬沈積製程是業界所習知的。光蝕刻圖案化製程穿過ILD層110a/b蝕刻一下達至擴散區106的溝槽開口。金屬沈積製程,諸如電鍍、無電鍍敷、化學氣相沈積、物理氣相沈積、濺鍍或原子層沈積,以一諸如鎢或銅的金屬來填充該溝槽開口。一金屬內襯通常先於金屬而被沈積,諸如鉭或氮化鉭內襯。一平坦化製程,諸如化學機械研磨(CMP),用以移除所有過量金屬並完成溝槽接點200之製造。
應指出的是在本發明之替代實施態樣中,通孔接點可被使用來代替溝槽接點。因此,該接觸開口可以是一溝槽形或一通孔形,視所使用的圖案化製程或一特定的積體電路製程之需求而定。本文所描述的本發明之實施態樣將涉及接觸溝槽開口及溝槽接點,但是應指出的是通孔開口及通孔接點(也稱為接點插塞或通孔插塞)可在這些實施態樣之任一實施態樣中被使用來代替接觸溝槽開口及溝槽接點。
隨著積體電路技術的推進,電晶體閘極距離逐漸按比例縮小。此閘極距離之按比例縮減已經導致一些新的棘手問題,其中之一為一方面溝槽接點200與擴散區106且另一方面與閘極102之間相當緊密的間距所造成之增加寄生電容(由第1A圖中的「C」來表示)。間隔物108有助於在溝槽接點200/擴散區106與閘極102之間提供大間距。習知的間隔物材料,諸如氮化矽,對減小此寄生電容作用甚微。遺憾的是,寄生電容使電晶體性能降級且使晶片功率增加。
因閘極距離按比例縮減所造成之另一棘手問題為接點與閘極(CTG)短路之形成。溝槽接點200之製程被設計成防止溝槽接點200與金屬閘極102實體接觸。當此一接觸發生時,一CTG短路產生,實際上破壞MOS電晶體。隨著電晶體閘極距離按比例縮小至100奈米(nm)以下,CTG短路已經成為一主要的良率限制因素。
用以減少CTG短路的現行方法包括控制對齊及圖案化具有較小臨界尺寸的接點。然而,隨著閘極距離按比例縮小,對齊要求變得難以滿足現存技術。例如,具有等於或少於100nm閘極距離的電晶體需要小於10nm的CD控制及層對齊控制以提供一可製造的製程範圍。因此,一接點與一閘極短路之可能性非常高。
第1B圖繪示當溝槽接點200未對準時的情形。相同的光蝕刻製程被使用,但是如圖所示者,溝槽接點200在一不完全位於二間隔物108之間之區域內的位置被形成。未對準導致溝槽接點200與閘極102中一閘極102實體接觸,從 而產生一接點與閘極短路。
依據本發明之實施態樣,一絕緣體帽式閘極可用以使接點與閘極短路之可能性減少到最低限度。在一實施態樣中,絕緣體帽層形成於閘極102頂上及MOS電晶體101之間隔物108內。在本發明之某些實施態樣中,絕緣體帽可佔間隔物之間存在之體積的很大一部分。例如,絕緣體帽可佔間隔物之間存在之體積的10%至80%,但是通常將佔此體積的20%至50%。閘極及閘極介電層佔剩餘體積的大部分。可用以形成絕緣體帽的材料將在下文中描述。
第2A圖繪示一依據本發明之一實施態樣的絕緣體帽式金屬閘極。一基體100在第2A圖中繪示,MOS電晶體101形成於其上。基體100可以是一使用一大矽基體或一絕緣體上覆矽底部構造而形成的晶態半導體基體。在其他實施態樣中,半導體基體可使用替換之材料被形成,該等材料可以或可以不與矽組合,包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、碲化鎵或其他III-V族材料。儘管可形成基體的材料之一些範例在此處被描述,任一種可用作製造一半導體裝置之基礎的材料落入本發明之精神及範圍內。
每一MOS電晶體101可以是一平面電晶體,如第2A圖中所示者,或可以是一非平面電晶體,諸如雙閘極或三閘極電晶體。儘管本文所描述之實施態樣例示平面電晶體,但本發明並不限於平面電晶體。本發明之實施態樣還可用在非平面電晶體上,包括但不限於鰭式場效電晶體 (FinFET)或三閘極電晶體。每一MOS電晶體101包括由三層:一閘極介電層104、一閘極層102及一絕緣體帽層300所形成的一閘極堆疊。閘極介電層104可由一諸如二氧化矽的材料或一高k材料形成。可用在閘極介電層104中的高k材料之範例包括但不限於氧化鉿、矽酸鉿、氧化鑭、鋁酸鑭、氧化鋯、矽酸鋯、氧化鉭、氧化鈦、鈦酸鍶鋇、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉭酸鈧鉛及鈮鋅酸鉛。在某些實施例中,閘極介電層104可具有大約1埃(Å)至大約50埃(Å)的厚度。在其他實施例中,附加的加工製程可在閘極介電層104上執行,諸如當一高k材料被使用時一退火製程用來改進其品質。
閘極層102形成於閘極介電層104上且可由至少一P型功函數金屬或一N型功函數金屬組成,視電晶體為一PMOS還是一NMOS電晶體而定。在某些實施態樣中,閘極層102可由二或更多個金屬層組成,其中至少一金屬層為一功函數金屬層且至少一金屬層為一填充金屬層。
對一PMOS電晶體而言,可用於閘極的金屬包括但不限於釕、鈀、鉑、鈷、鎳及導電金屬氧化物,例如氧化釕。一P型金屬層將使一具有大約4.9eV與大約5.2eV之間的一功函數的PMOS閘極能夠形成。對一NMOS電晶體而言,可用於閘極的金屬包括但不限於鉿、鋯、鈦、鉭、鋁、此類金屬之合金,及此類金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭及碳化鋁。一N型金屬層將使一具有大約3.9eV與大約4.2eV之間的一功函數的NMOS閘極能夠 形成。
絕緣體帽層300形成於閘極層102上且可由以下材料形成,該等材料包括但不限於氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、氮氧化矽、其他氮化物材料、其他碳化物材料、氧化鋁、其他氧化物材料、其他金屬氧化物、氮化硼、碳化硼及其他低k介電材料或摻雜有碳、氮及氫中一或多者的低k介電材料。絕緣體帽層300將在下文更加詳細地描述。
一對間隔物108將閘極堆疊托住。間隔物108可由一種諸如氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽及氮氧化矽的材料形成。用以形成間隔物的製程是業界所習知的且通常包括沈積及蝕刻製程步驟。
擴散區106形成於基體100內且鄰近MOS電晶體101之閘極堆疊。對每一MOS電晶體101而言,一相鄰擴散區106作用為一源極區且另一相鄰擴散區106作用為一汲極區。
擴散區106可使用業界所習知的方法或製程而形成。在一實施態樣中,諸如硼、鋁、銻、磷或砷的摻雜物可植入基體100以形成擴散區106。在另一實施態樣中,基體100可首先被蝕刻以在擴散區106之位置形成凹槽。一磊晶沈積製程可接著被實施以利用一諸如鍺化矽或碳化矽的矽合金來填充該等凹槽,從而形成擴散區106。在某些實施態樣中,以磊晶方式沈積的矽合金可與諸如硼、砷或磷的摻雜物原位摻雜。在其他實施態樣中,替代材料可沈積到該等 凹槽中以形成擴散區106。
一或更多個ILD層110a/b沈積於MOS電晶體101上。ILD層110a/b可使用已知適用於積體電路結構中的介電材料,諸如低k介電材料而形成。可使用的介電材料之範例包括但不限於二氧化矽(SiO2)、碳摻雜氧化物(CDO)、氮化矽、有機聚合物,諸如全氟環丁烷或聚四氟乙烯、氟矽玻璃(FSG),以及有機矽酸鹽,諸如矽倍半氧烷、矽氧烷或有機矽酸鹽玻璃。ILD層110a/b可包括用以進一步減小它們的介電常數的孔或其他空隙。
一溝槽接點200之製造,也稱為接點圖案化,涉及至少一光蝕刻製程及一蝕刻製程。光蝕刻製程形成一界定溝槽接點200之位置的光阻硬遮罩。該製程藉由在ILD層110b上沈積一光阻材料而開始。所沈積的光阻層透過一圖案化的光罩被暴露於紫外線輻射下,其中圖案界定溝槽接點200。該光阻層接著顯影以產生一包括一形成溝槽接點200的開口的光阻硬遮罩層。應指出的是光蝕刻製程是業界所習知的且此說明僅為一典型的光蝕刻製程之一簡要概述。許多中間步驟,諸如加溫烘烤及對準步驟,已被省略。
一旦光阻硬遮罩在適當的位置界定溝槽接點200,一蝕刻製程即可被實施。蝕刻劑蝕刻由光阻硬遮罩上的開口被暴露的ILD層110a/b之一部分,諸如溝槽接點200之開口。該蝕刻劑因而蝕刻出一下達擴散區106的溝槽開口。所使用的蝕刻製程可以是一習知的化學濕式蝕刻製程或一電漿乾式蝕刻製程。該蝕刻製程在一由T ETCH夾表示、 足以將ILD層110一直蝕刻到擴散區106的時段上實施。蝕刻出的溝槽開口接著被填充上述之一或更多金屬以形成溝槽接點200。
依據本發明之實施態樣,絕緣體帽層300具有一厚度,若接觸溝槽開口與絕緣體帽層對準,該厚度在溝槽接點200製造期間足以防止金屬閘極102暴露。此外,絕緣體帽層300具有足以在溝槽接點200形成之後使金屬閘極102與溝槽接點200電氣隔離的一厚度。在本發明之一實施態樣中,此厚度可從5nm變化到50nm。在另一實施態樣中,絕緣體帽層之高度可占閘極堆疊之總高度的20%到80%。用以形成接觸溝槽開口的蝕刻製程對絕緣體帽層300是選擇性地進行。這意味著濕式或乾式蝕刻化學品將蝕刻ILD層110a/b之材料但是將選擇性地中止且自我對準至絕緣體帽層300及側壁間隔物108。
依據本發明之實施態樣,絕緣體帽層300也具有一足以在整個T ETCH上耐受蝕刻製程而不使下方金屬閘極102暴露的厚度。以不同方式表述,絕緣體帽層300具有一起始厚度,該起始厚度足以在一將ILD層110a/b一直蝕刻到擴散區106,而絕緣體帽層300之任一部分未被減少至一容許金屬閘極102與後續形成的溝槽接點200之間具有導電性的厚度所需的時段上耐受蝕刻製程。在蝕刻製程之後,絕緣體帽層300與間隔物108之組合使金屬閘極102與溝槽接點200電氣隔離,從而消除CTG短路。
有若干不同方式來形成本發明之絕緣體帽層 300。在本發明之一實施態樣中,在閘極102使用一前閘極製程而形成的情況下,一毯覆介電層最初沈積在一基體上。接下來,一毯覆電極層沈積於該介電層頂上。最終,一毯覆絕緣層形成於該電極層頂上。用以沈積該介電層、該電極層及該絕緣層的沈積製程是業界所習知的且可包括但不限於諸如電鍍、無電鍍敷、化學氣相沈積、原子層沈積、物理氣相沈積及濺鍍的製程。該等三層接著使用諸如光蝕刻製程的習知圖案化製程而被蝕刻,以形成一由一閘極介電層104、一閘極層102及一絕緣體帽層300組成的閘極堆疊。間隔物108及擴散區106接著被形成於該閘極堆疊之兩側上。一ILD層110a沈積於該閘極堆疊、間隔物108及擴散區110上。一溝槽接點200可接著如上所述而形成。
在一前閘極製程之一替代實施態樣中,一毯覆介電層及一毯覆電極層可被沈積及圖案化以形成一由閘極介電層104及閘極102組成的閘極堆疊。一對間隔物108及擴散區106可形成於該閘極堆疊之任一側上。接下來,一蝕刻製程可被實施以在間隔物108內使金屬閘極102凹入,從而減小金屬閘極102之厚度。金屬閘極102之凹入導致間隔物108之間形成一溝槽,其中該溝槽之底面相當於凹入的金屬閘極102之頂面。金屬蝕刻製程後隨以一絕緣材料沈積製程,該製程沈積一絕緣材料毯覆層且填充間隔物108之間的溝槽。一研磨製程,諸如化學機械平坦化製程,用以研磨該絕緣材料層且實質上移除間隔物108外部的所有絕緣材料。移除此過量絕緣材料產生一實質上被容納在間隔物108 內的絕緣體帽層300。
在本發明之另一實施態樣中,一後閘極製程,諸如替換金屬閘極製程,是被用以形成閘極。在此實施態樣中,一毯覆介電層及一毯覆虛擬電極層最初可被沈積及圖案化以形成一由閘極介電層104及一虛擬閘極(圖未示)組成的閘極堆疊。應指出的是「虛擬」一詞用以表示此層是犧牲性的。用在虛擬層中的材料可以是或不是與用在非虛擬層中者相同的材料。例如,虛擬電極層可由用在實際的閘極中的多晶矽組成。一對間隔物108及擴散區106可形成於閘極堆疊之任一側上。接下來,虛擬閘極可被蝕刻掉以在間隔物108之間及閘極介電層104頂上形成一溝槽。一電極金屬層可接著被沈積以填充該溝槽。該電極金屬層可被研磨以移除間隔物108外部的金屬且將電極金屬限制到介於間隔物108之間的溝槽,從而形成一金屬閘極102。
如上所述者,一蝕刻製程被實施以使金屬閘極102在間隔物108內凹入。金屬閘極102之凹入導致間隔物108之間形成一溝槽。一絕緣材料沈積製程填充該溝槽且一研磨製程用以研磨該絕緣材料層且實質上移除間隔物108外部的所有絕緣材料。這產生一實質上被容納在間隔物108內的絕緣體帽層300。
第2B圖繪示一在兩個具有絕緣體帽層300的MOS電晶體之間被正確對準的溝槽接點200。在此例中,絕緣體帽300未被使用。
第2C圖繪示一形成於兩個具有絕緣體帽層300 的MOS電晶體之間的未對準溝槽接點200。如圖所示者,一部分的未對準溝槽接點200位於閘極102正上方。然而,不同於第1B圖中所示之先前技術電晶體,由於使用了絕緣體帽層300,故一CTG短路被避免。絕緣體帽層300使金屬閘極102與未對準溝槽接點200電氣隔離,從而使溝槽接點200「自我對準」成為可能。
第3A至3C圖繪示第2A圖之電晶體之略微變化。在第3A圖中,一替換金屬閘極製程之一不同的實施態樣用以形成電晶體。在此實施態樣中,一毯覆虛擬介電層及一毯覆虛擬電極層沈積於一基體上。此處,該虛擬電極層可由多晶矽組成且該虛擬介電層可由二氧化矽組成,此二者皆用在實際的閘極及實際的閘極介電層中。此二虛擬層被蝕刻以形成一由一虛擬閘極介電層及一虛擬閘極層組成的閘極堆疊。間隔物108及擴散區106接著形成於閘極堆疊之兩側上。一ILD層110a沈積於該閘極堆疊、間隔物108及擴散區106上。ILD層110a被平坦化以使該虛擬電極層暴露。
接下來,該虛擬電極層及該虛擬閘極介電層使用一或更多個蝕刻製程而被移除。移除虛擬層使間隔物108之間產生一溝槽。基體100形成該溝槽之一底面。一新的高k閘極介電層104使用一化學氣相沈積製程或一原子層沈積製程而被沈積到該溝槽中。該高k閘極介電層104沿該溝槽之底部及側壁而沈積,從而形成一「U」形閘極介電層104,如第3A圖中所示者。接下來,一金屬閘極層102沈積於高k閘極介電層104上。用以形成金屬閘極102的製程是業界所 習知的。
依據本發明之實施態樣,最終金屬閘極102不完全填充溝槽。在一實施態樣中,金屬閘極102最初可完全填充該溝槽,但是一後續的蝕刻製程可使金屬閘極102凹入。在另一實施態樣中,金屬閘極沈積製程僅以金屬閘極102部分地填充溝槽。在此二實施態樣中,一溝槽仍在間隔物108之間存在於最終金屬閘極102上方。
最終,一絕緣材料沈積製程被用以沈積一絕緣材料毯覆層以填充間隔物108之間的溝槽。接著,一研磨製程,諸如化學機械平坦化製程,用以研磨該絕緣材料層且實質上移除間隔物108外部的任何絕緣材料。此一過量絕緣體之移除產生一實質上被限制在間隔物108內的絕緣體帽層300。如第3A圖中所示者,絕緣體帽300也被限制在閘極介電層104之側壁部分內。
第3B圖繪示一在兩個具有絕緣體帽層300的MOS電晶體之間正確地被對準的溝槽接點200。第3C圖繪示一形成於兩個具有絕緣體帽層300的MOS電晶體之間的未對準溝槽接點200。同樣,未對準溝槽接點200之一部分位於閘極102正上方。由於使用了使金屬閘極102與未對準溝槽接點200電氣隔離的絕緣體帽層300,故避免了CTG短路。
第4A至4C圖繪示第3A圖之電晶體之一略微變化。在第4A圖中,一替換閘極製程被再次用以形成具有一「U」形閘極介電層104的電晶體。閘極層102及閘極介電層104最初使用上文針對第3A圖而詳述的相同製程而形成。不 同於第3A圖,在此實施態樣中,「U」形閘極介電層104與金屬閘極102二者皆在絕緣體帽層300製成之前被凹入。一或更多蝕刻製程可用以使此二結構凹入。絕緣體帽300接著使用上文針對第3A圖而描述的相同製程而形成且既位於閘極102之頂上也位於一部分的閘極介電層104之頂上,如第4A圖中所示者。第4B圖繪示一正確地對準在兩個具有絕緣體帽層300的MOS電晶體之間的溝槽接點200。第4C圖繪示一形成於兩個具有絕緣體帽層300的MOS電晶體之間的未對準溝槽接點200。同樣,一部分之未對準溝槽接點200位於閘極102正上方。由於使用了使金屬閘極102與未對準溝槽接點200電氣隔離的絕緣體帽層300,故避免了CTG短路。
第5A至5F圖繪示一可與一MOS電晶體一起使用的替代絕緣體帽層之製造。最初,第5A圖繪示包括一虛擬閘極500及一虛擬閘極介電層502的二MOS電晶體。還繪示有通常由氮化矽形成的一對間隔物108。
依據本發明之實施態樣,一或多個蝕刻製程被實施以使虛擬閘極層500與間隔物108二者部分地凹入。此雙凹槽在第5B圖中繪示。用以使虛擬閘極500凹入的蝕刻化學品可能與用以使間隔物108凹入的蝕刻化學品不同。所使用的蝕刻製程可能是濕式蝕刻、乾式蝕刻或一組合。當虛擬閘極500及間隔物108已被凹入時,一溝槽503a形成於ILD層110a內,其中虛擬閘極500及間隔物108之頂面形成該溝槽之底部。
轉而參照第5C圖,一或更多蝕刻製程被實施以 完全地移除虛擬閘極500以及虛擬閘極介電質502。用以完全地移除虛擬閘極500及虛擬閘極介電質的蝕刻製程是業界所習知的。同樣,這些蝕刻可以是濕式蝕刻、乾式蝕刻或一組合。如第5C圖中所示者,溝槽503a現在更加深且具有一頂部相對較寬且底部相對較窄的截面。虛擬閘極500及虛擬閘極介電質502被整體移除,從而暴露基體100之頂部。
在第5D圖中,一閘極介電層104及一金屬閘極層102沈積於溝槽503a中。一保形沈積製程,諸如CVD或ALD製程,通常用以沈積閘極介電層104,產生一覆蓋在溝槽503a之側壁及底面上的保形介電層104。金屬閘極層102填充溝槽503a之剩餘部分。在本發明之某些實施態樣中,金屬閘極層102可由二或更多金屬層組成,例如一功函數金屬層及一填充金屬層。
在一替換金屬閘極流程中,利用金屬閘極材料,尤其是利用具有等於或少於22nm閘極寬度的電晶體來填充窄閘極溝槽非常困難。第5A至5D圖中所描述之流程藉由加寬溝槽開口頂部而不影響溝槽底部的狹窄寬度而改善了本質填充特性。因此,頂部開口相對較寬的溝槽503a之截面導致一具有更少空隙或其他缺陷的改良金屬閘極沈積。
接下來,金屬閘極層102及閘極介電層104如第5E圖中所示般凹入,形成一溝槽503b。同樣,一或更多蝕刻製程,即濕式或乾式蝕刻製程,可用以使閘極層102及閘極介電層104二者凹入。所使用的蝕刻製程必須對ILD層110a是選擇性地進行。金屬閘極102被凹入直到其頂面與間隔物 108齊平於或低於間隔物108之頂面為止。儘管在第5D圖中部分的金屬閘極102位於間隔物108之頂部上,重要的是在第5E圖中,金屬閘極102被凹入之後,金屬閘極102並無餘留在間隔物108頂部上的部分。這是因為任何餘留在間隔物108頂上的金屬閘極102部分最終可能與一未對準溝槽接點形成一CTG短路。
轉而參照第5F圖,一絕緣材料沈積製程填充溝槽503b且一研磨製程用以研磨該絕緣材料層並實質上移除溝槽503b外部的所有絕緣材料。這產生一實質上容納在溝槽503b內的絕緣體帽層504。由於絕緣體帽層504在間隔物108上橫向延伸而具有一蘑菇頂部外觀。絕緣體帽層504藉由在閘極間隔物108上延伸而改進接點與閘極邊緣。絕緣體帽層504可由包括但不限於下列的材料形成:氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、氮氧化矽、其他氮化物材料、其他碳化物材料、氧化鋁、其他氧化物材料、其他金屬氧化物及低k介電材料。
第5G圖繪示覆蓋絕緣體帽層504且位於第一ILD層110a頂上的一附加ILD層110b之沈積。第5H圖繪示一已製作成穿過ILD層110a及110b下達至擴散區106的溝槽接點200。第5H圖之溝槽接點200已正確地被對準在相鄰電晶體之間隔物108之間。
第5I圖繪示一未對準的溝槽接點200。如圖所示者,即使溝槽接點200位於金屬閘極102之頂部上,絕緣帽層504也藉由使金屬閘極102與未對準溝槽接點200電氣隔 離而保護金屬閘極102且防止形成CTG短路。
由絕緣帽層504提供的另一優勢解決與第1A圖相關地在上文中討論的寄生電容問題。寄生電容問題由一側的溝槽接點200及擴散區106與另一側的閘極102之間的相對較緊密的間距所致。間隔物108有助於在溝槽接點200/擴散區106與閘極102之間提供大間距,但是習知的間隔物材料,諸如氮化矽,對減小此寄生電容作用甚微。然而,因為產生一溝槽接點200之接觸溝槽開口的蝕刻製程對氮化矽是選擇性地進行,故氮化矽仍被使用。
依據本發明之此一實施態樣,除了氮化矽以外的材料可使用在間隔物108中。此處,在用以製造溝槽接點200的蝕刻製程期間,橫向延伸的絕緣帽層504保護下方間隔物108。此類蝕刻製程通常為各向異性製程,因此,蝕刻化學品僅需要對絕緣帽層504選擇性地進行。絕緣帽層504可接著掩蓋下方間隔物108。故利用一各向異性製程,使用絕緣帽層504意味著蝕刻化學品不一定需要對間隔物108所使用的材料是選擇性的。這解除了間隔物材料選擇上的任何限制條件而能使用對電容而言最佳化的材料。舉例而言,諸如氮氧化矽(SiON)、碳摻雜的氮氧化矽(SiOCN)或低k介電材料的材料可用在間隔物108中以減少關於寄生電容的問題。
第6A至6F圖繪示一依據本發明之一實施態樣結合一絕緣帽層的階狀金屬閘極之形成。最初,第6A圖繪示包括一虛擬閘極500及一虛擬閘極介電層502的二MOS電晶 體。轉而參照第6B圖,一或更多蝕刻製程被實施以完全地移除虛擬閘極500以及虛擬閘極介電質502。用以完全地移除虛擬閘極500及虛擬閘極介電質的蝕刻製程是業界習知的。虛擬閘極500及虛擬閘極介電質502被整體移除,從而曝露基體100之頂部。
第6C圖繪示雙金屬閘極層:一保形金屬閘極層102a及一可以是或不是保形層的第二金屬層102b之沈積。初始金屬閘極層102a可使用一諸如化學氣相沈積或原子層沈積的保形沈積製程而沈積。諸如物理氣相沈積或濺鍍的其他製程也可被使用。由於層102b不必為一保形層,故第二金屬閘極102b使用一諸如化學氣相沈積、原子層沈積、物理氣相沈積、濺鍍的習知沈積製程、或甚至諸如電鍍或無電鍍敷的製程而沈積。
初始金屬閘極層102a典型地為一功函數金屬層且可使用上述任一種功函數金屬而形成。第二金屬閘極層102b可以是一第二功函數金屬層或其可以是一低電阻填充金屬層,諸如鋁、鎢或銅。依據本發明之實施態樣,用在金屬閘極102a中的金屬與用在金屬閘極102b中的金屬具有不同的蝕刻特性。
轉而參照第6D圖,雙金屬閘極層102a及102b被蝕刻且被製作凹槽以形成溝槽600,絕緣帽層可在其中被製作。依據本發明之一實施態樣,蝕刻製程移除金屬層102a的部分比移除金屬層102b的部分大。這對金屬閘極102產生一階狀或插塞形輪廓,如第6D圖中所示者。整個金屬閘極 102之一中間部分相對厚於整個金屬閘極102之外緣部分。以不同方式表述,金屬閘極102之一中間部分具有一比金屬閘極102之側部相對更大的高度。此一金屬閘極102之階狀輪廓提供如下文在第6F圖中所說明之優勢。
在一實施態樣中,一單一的蝕刻製程被使用,其以一比對金屬閘極層102b更快的速率蝕刻金屬閘極層102a。換言之,蝕刻化學品對金屬閘極102b更具有選擇性。在另一實施態樣中,二蝕刻製程可被使用,一個製程用於金屬層102a且另一製程用於金屬層102b。若二蝕刻製程被使用,金屬層102a必然相對於金屬層102b被移除一較大部分。因此,在一實施態樣中,該等二蝕刻製程中之第一製程可對金屬層102b具有選擇性且該等二蝕刻製程中之第二製程可對金屬層102a具有選擇性。所使用的蝕刻製程可以是濕式蝕刻、乾式蝕刻或其一組合。熟於此技者將瞭解的是對用在金屬層102a及102b中的幾乎所有任意的成對金屬而言,可以找到在二金屬之間區別作用的一濕式或乾式化學蝕刻。
如第6E圖中所示者,一絕緣材料沈積製程填充溝槽600且一研磨製程用以研磨該絕緣材料層且實質上移除溝槽600外部的所有絕緣材料。這產生一實質上容納在溝槽600內的絕緣體帽層602。由於金屬閘極102之階狀輪廓,絕緣體帽層602之外緣相對較厚且其中間部分相對較薄。絕緣體帽層602可由包括但不限於下列的材料形成:氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、氮氧化矽、其他氮化 物材料、其他碳化物材料、氧化鋁、其他氧化物材料、其他金屬氧化物及低k介電材料。
第6F圖繪示一未對準的溝槽接點200。如圖所示者,即使溝槽接點200位於金屬閘極102之頂部上,絕緣體帽層602也藉由使金屬閘極102與未對準的溝槽接點200電氣隔離而保護金屬閘極102且防止CTG短路形成。金屬閘極102之階狀輪廓提供至少二優勢。首先,該階狀輪廓使絕緣體帽層602之厚部位於金屬閘極102與溝槽接點200之間,從而提供強大電氣隔離。其次,該階狀輪廓容許金屬閘極102之中間部分仍然保持是厚的,從而藉由增加金屬閘極102之金屬含量而減小其電阻。在本發明之各種不同的實施態樣中,階狀輪廓可藉由試圖使金屬閘極102之中間部分之體積或寬度最大化,同時維持與未對準的溝槽接點200電氣隔離而被最佳化。在某些實施態樣中,這可藉由增加金屬閘極102b之大小或厚度而實現。在其他實例中,這可藉由使用多於二金屬閘極層以更精細地製作階狀輪廓而實現。
依據本發明之另一實施態樣,第7A至7C圖繪示一將第5F圖之寬絕緣體帽層504與第6D至6F圖之階狀輪廓金屬閘極102組合的MOS電晶體之製造。從第5C圖中所示之結構開始,雙金屬閘極層如第7A圖中所示般沈積。一層為一保形金屬閘極層102a且另一層為一可以是或不是保形層的第二金屬層102b。初始金屬閘極層102a典型地為一功函數金屬層且第二金屬閘極層102b可以是一第二功函數金屬層或其可以是一填充金屬層。依據本發明之實施態樣,用在 金屬閘極102a中的金屬與用在金屬閘極102b中的金屬具有不同的蝕刻特性。
轉而參照第7B圖,雙金屬閘極層102a及102b以及閘極介電層104被蝕刻且被凹入。蝕刻製程對金屬閘極102b選擇性地進行。這使金屬閘極102產生一階狀輪廓,如第7B圖中所示者。整個金屬閘極102之一中間部分相對厚於整個金屬閘極102之外緣部分。
一絕緣材料接著被沈積且平坦化以在每一金屬閘極102頂上形成絕緣體帽層700。這在第7C圖中被繪示。同時有一未對準的溝槽接點200繪示於圖中。金屬閘極102之階狀輪廓容許絕緣體帽層700之厚部使金屬閘極102與溝槽接點200電氣隔離。該階狀輪廓還容許金屬閘極102之一中間部分仍然是厚的,從而減小電阻。在此實施態樣中,絕緣帽層700延伸在凹入的間隔物108上方,從而在溝槽接點200蝕刻製程期間保護間隔物並容許對於減小溝槽接點200與金屬閘極102之間的寄生電容最佳化的一材料被利用在間隔物108中。
第8A至8F圖繪示本發明之另一實施態樣,其中接點側壁間隔物用以減少CTG短路且改善寄生電容問題。第8A圖繪示一已蝕刻穿過ILD層110a及110b下達擴散區106的接觸溝槽開口800。如上文所說明者,光蝕刻圖案化及蝕刻製程用以形成接觸溝槽開口800。
第8A圖中還繪示有一已在接觸溝槽開口800之底部形成的矽化物層802。為了製作矽化物層802,一習知 的金屬沈積製程,諸如濺鍍沈積製程或ALD製程,可用以沿至少接觸溝槽開口800之底部形成一保形金屬層。通常金屬也將沈積在接觸溝槽開口800之側壁上。金屬可包括鎳、鈷、鉭、鈦、鎢、鉑、鈀、鋁、釔、鉺、鐿或作為矽化物之良好候選者的任一種其他金屬。一退火製程可接著被實施以使金屬與擴散區106發生反應且形成一矽化物層802。任何未發生反應的金屬可使用習知製程被選擇性地移除。矽化物層802減小後來形成的溝槽接點200與擴散區106之間的電阻。
第8B圖繪示依據本發明之一實施態樣沿接觸溝槽開口800之側壁而形成的一對接點側壁間隔物804。接點側壁間隔物804可使用類似於閘極間隔物108之製作的沈積及蝕刻製程而形成。例如,一絕緣材料之一保形層可沈積在接觸溝槽開口800內,導致該絕緣材料沿接觸溝槽開口800之側壁及底面而沈積。該絕緣材料可以是氧化矽、氮化矽、氮氧化矽(SiON)、碳摻雜的氮氧化矽(SiOCN)、任一種其他氧化物、任一種其他氮化物或任一種低k介電材料。接下來,一各向異性蝕刻製程用以從接觸溝槽開口800之底部上以及從諸如ILD層110b之表面的其他區域上移除該絕緣材料。這產生第8B圖中所示之接點側壁間隔物804。
熟於此技者將瞭解的是,一單獨的圖案化製程可用以形成下達金屬閘極102的通孔以形成閘極接點。此單獨的圖案化製程將典型地涉及利用一犧牲性光定義抗蝕層來塗佈晶圓,蝕刻閘極接點,且接著利用一濕式或乾式清洗 製程或其某一組合來移除該光阻劑。此單獨的圖案化製程通常在接觸溝槽開口800已形成之後實施,這意味著首先塗佈抗蝕劑且接著濕式或乾式清洗化學品進入接觸溝槽開口800且可使矽化物層802降級。因此,依據本發明之一實施態樣,用以形成間隔物804的絕緣材料保形層在閘極接點的圖案化製程之前沈積。該保形層維持在適當的位置以保護矽化物層802直到閘極接點已被圖案化為止。接著上文所述之各向異性蝕刻可被實施以蝕刻該保形層且形成間隔物804。
應指出的是矽化物層802在接點側壁間隔物804製成之前,即當接觸溝槽開口800處於其最大寬度時形成。藉由在接點側壁間隔物804形成之前形成矽化物層802,一相對較寬的矽化物層802可被形成以提供較佳的電阻特性,諸如較低的本質接觸電阻。若接點側壁間隔物804先形成,則較少的擴散區106將在矽化物製程中暴露,產生一相對較短的矽化物層。
一金屬沈積製程接著被實施以填充接觸溝槽開口800且形成溝槽接點200,如第8C圖中所示者。如上文所提到者,金屬沈積製程可以是任一種金屬沈積製程,諸如電鍍、無電鍍敷、化學氣相沈積、物理氣相沈積、濺鍍或原子層沈積。所使用的金屬可以是提供適合的接觸特性的任一種金屬,諸如鎢或銅。一金屬內襯,諸如鉭或氮化鉭內襯,通常先於金屬而被沈積。一CMP製程用以移除任一種過量金屬且完成溝槽接點200之製作。
接點側壁間隔物804在閘極102與溝槽接點200之間提供一附加保護層。最終溝槽接點200具有一比使用習知製程而形成的溝槽接點200相對較窄的寬度,從而減小CTG短路之可能性。且閘極102與溝槽接點200之間的附加絕緣層減小寄生電容。
第8D至8F圖繪示當接點未對準時接點側壁間隔物804之製作。第8D圖繪示已蝕刻穿過ILD層110a及110b下達至擴散區106的一未對準接觸溝槽開口800。依據本發明之一實施態樣,絕緣帽層300防止金屬閘極102在此蝕刻製程期間暴露。第8D圖中還繪示有一已在接觸溝槽開口800之底部形成的矽化物層802。用於矽化物層802的製程已在上文提供。
第8E圖繪示依據本發明之一實施態樣沿接觸溝槽開口800之側壁而形成的一對接點側壁間隔物804。接點側壁間隔物804可藉由沈積及蝕刻一絕緣材料之一保形層而形成,如上文所說明者。
一金屬沈積製程接著被實施以填充接觸溝槽開口800且形成溝槽接點200,如第8F圖中所示者。同樣,接點側壁間隔物804在閘極102與溝槽接點200之間提供一附加保護層。接點側壁間隔物804在最終溝槽接點200與金屬閘極102之間提供更大間距,從而減小CTG短路之可能性。且閘極102與溝槽接點200之間的附加絕緣層減小寄生電容。
第9A至9D圖繪示用以形成一依據本發明之一實 施態樣的絕緣體帽層的另一製程。第9A圖繪示兩個具有金屬閘極102及閘極介電層104的MOS電晶體。閘極層102可包括兩層或更多層(圖未示),諸如功函數金屬層及填充金屬層。儘管所示之閘極介電層104對應於一替換金屬閘極製程,以下製程也可與使用一前閘極方法而形成的電晶體配合使用。
一金屬帽900形成於金屬閘極102頂上,如第9A圖中所示者。依據本發明之實施態樣,金屬帽900使用一選擇性的沈積製程而形成。某些選擇性沈積製程包括但不限於無電鍍敷及化學氣相沈積。可被選擇性沈積的金屬包括但不限於鈷、鎳、鉑、銅、多晶矽、鎢、鈀、銀、金及其他貴金屬。熟於此技者將瞭解的是選擇使用一無電製程還是一CVD製程將依金屬閘極102之組成與用在金屬帽900中的特定金屬而定。在一範例中,若金屬閘極102之頂部由銅金屬組成,則鈷金屬可以無電方式沈積於銅上。在另一範例中,鎢或多晶矽可藉由CVD而沈積在用在金屬閘極102中的幾乎任一種金屬上。在另一範例中,若金屬閘極102之頂部由一貴金屬組成,則大多數金屬可使用一無電製程而沈積在貴金屬上。熟於此技者將瞭解的是,一般來說,無電製程需要基體金屬及欲被沈積金屬兩者皆為貴金屬。因此,諸如鈷、鎳、銅、鉑、鈀、金及銀的金屬之組合是可能的。
轉而參照第9B圖,一ILD層902毯覆沈積於ILD110a及金屬帽900上。一CMP製程接著用以使ILD層902及金 屬帽900平坦化且使它們的頂面實質上是平坦的。這是為了在ILD沈積之後暴露金屬帽900之頂面。
接下來,如第9C圖中所示者,一蝕刻製程用以從ILD層902內移除金屬帽900。在一實施態樣中,一濕式蝕刻化學品可被應用以移除金屬帽900。依據本發明之實施態樣,所使用的蝕刻化學品必須對ILD層902及金屬閘極102具選擇性。這使金屬帽900在對ILD層902及金屬閘極102影響最小之下被移除。移除金屬帽900使ILD層902內產生空隙904。
轉而參照第9D圖,一絕緣層,諸如氮化矽層,可被沈積及平坦化以填入空隙904,從而形成自我對準絕緣帽層906。此絕緣層通常沈積為填充空隙904且覆蓋ILD層902的一毯覆層。一平坦化製程接著用以移除空隙904外部的所有過量材料。這將絕緣材料局限至空隙904,從而形成絕緣帽層906。絕緣體帽層906可由包括但不限於下列的材料形成:氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、氮氧化矽、其他氮化物材料、其他碳化物材料、氧化鋁、其他氧化物材料、其他金屬氧化物及低k介電材料。唯一的限制條件為用在絕緣體帽層906中的材料不同於用在ILD層902中的金屬。
第10A至10G圖繪示一依據本發明之一實施態樣,在溝槽接點200頂上形成一自我對準金屬銷、且在溝槽接點200頂上形成進一步使金屬銷與金屬閘極102絕緣的一對絕緣間隔物的製程。第10A圖繪示兩個具有金屬閘極102 及閘極介電層104的MOS電晶體。一溝槽接點200形成於二MOS電晶體之間。
一金屬帽900形成於溝槽接點200頂上,如第10A圖中所示者。依據本發明之實施態樣,金屬帽900使用一選擇性沈積製程而形成。如上文所提到者,選擇性沈積製程包括但不限於無電鍍敷及化學氣相沈積。上文所述與金屬閘極102一起使用之相同的金屬及製程也可在此與溝槽接點200一起使用。所使用的選擇性沈積製程及用在金屬帽900中的金屬將依用在溝槽接點200中的金屬而定。
依據本發明之實施態樣,一選擇性沈積製程被選擇,其將僅在溝槽接點200而不在金屬閘極102上沈積金屬。這可藉由在溝槽接點200及金屬閘極102中使用不同類型的金屬而完成。例如,若鋁用在金屬閘極102中且一貴金屬用在溝槽接點200中,則一選擇性沈積製程可用以僅在溝槽接點200中的貴金屬上沈積金屬帽900。上述貴金屬之相同組合在此也可行。在本發明之某些實施態樣中,當一種活性金屬,諸如鋁、鎢、鉬、鈦、鉭、氮化鈦或多晶矽使用在金屬閘極102中時,一種貴金屬,諸如鈷、鎳、銅、鉑、鈀、金及銀可用在溝槽接點200中。
轉而參照第10B圖,一ILD層902毯覆沈積在ILD110a及金屬帽900上。一CMP製程接著使ILD層902及金屬帽900二者平坦化且使它們的頂面實質上是平坦的。這是為了在ILD沈積之後暴露金屬帽900之頂面。
接下來,如第10C圖中所示者,一蝕刻製程用以 僅從ILD層902內移除金屬帽900。所使用的蝕刻化學品必須是對ILD層902與溝槽接點200皆具選擇性。這使金屬帽900在對ILD層902及溝槽接點200影響最小之下被移除。移除金屬帽900使ILD層902內產生一空隙904。
轉而參照第10D圖,一絕緣層906可毯覆沈積在ILD層902上方及空隙904內。絕緣層906可由包括但不限於下列的材料形成:氮化矽、氧化矽、碳化矽、摻雜有碳的氮化矽、氮氧化矽、其他氮化物材料、其他碳化物材料、氧化鋁、其他氧化物材料、其他金屬氧化物及低k介電材料,包括與用在ILD層902中的材料相同或類似之材料。
接下來,一蝕刻製程,諸如各向異性蝕刻製程,被應用以蝕刻絕緣層906且形成間隔物1000。這在第10E圖中繪示。該蝕刻製程也在二間隔物1000之間產生一溝槽1002。
轉而參照第10F圖,一金屬沈積製程用以在間隔物1000之間之溝槽1002中且在溝槽接點200頂上沈積一自我對準金屬銷1004。在某些實施態樣中,此金屬沈積製程可以是另一選擇性的沈積製程,而在其他實施態樣中,此金屬沈積製程不需要是一選擇性製程。最終,如第10G圖中所示者,一絕緣層可被沈積及平坦化以形成一ILD層1006。金屬銷1004之頂部也被平坦化以與ILD層1006齊平。依據本發明之實施態樣,自我對準金屬銷1004藉由間隔物1000防止與閘極之短路。
因此,此處描述的本發明實施態樣形成自我對準 至閘極的蝕刻中止結構,其防止接點蝕刻暴露閘極而造成閘極與接點短路。即使在接點圖案覆蓋在閘極上的情況下,一接點與閘極短路也不會發生。本發明之實施態樣還解決諸如溝槽接點與閘極之間之寄生電容、介質崩潰或接點與閘極之直接短路及閘極接點圖案化期間接點矽化物降級的問題。
因此,使用一絕緣體帽層可實現自我對準接點,這提供一穩健的可製造程序。本發明使對圖案化限制更為堅固的較寬接點之初始圖案化成為可能。較寬接點對一穿通接點矽化物流程也是理想的。這不僅消除了接點與閘極短路的一主要的良率限制因素,而且還減少了接點圖案化的主要限制條件且容許更多變化性。從一微影術的角度來看,使用一絕緣體帽層增大對準窗且容許更多的臨界尺寸變化性。從一蝕刻的觀點來看,使用一絕緣體帽層使MOS電晶體製程能夠更加容許不同輪廓、不同的臨界尺寸及溝槽接點形成期間ILD之過蝕刻。
本發明例示實施態樣的以上說明,包括摘要中之記述,並非詳盡無遺或將本發明限制為所揭露之精確形式。雖然本發明之特定實施態樣及範例在本文為了說明目的而被描述,在相關技藝中具有通常知識者將認識到的是在本發明範圍內做各種不同的等效修改是可能的。
本發明可根據上述詳細說明作此類修改。使用在以下申請專利範圍中的用詞不應被理解為將本發明限制到說明書及申請專利範圍中所揭露之特定實施態樣。反之, 本發明之範圍應完全由要依據已確立的申請專利範圍解釋原則解釋的以下申請專利範圍決定。

Claims (22)

  1. 一種積體電路結構,其包含:一基板,其包含矽;一閘極結構,其在該基板上方,該閘極結構包含一閘極介電質以及一閘極電極;一第一介電閘極間隔物,其鄰接該閘極結構之一第一側;一第二介電閘極間隔物,其鄰接該閘極結構之一第二側;一第一源極或汲極區,其在該閘極結構之該第一側;一第二源極或汲極區,其在該閘極結構之該第二側;一導電接觸結構,其在該第一源極或汲極區上;一第一介電層,其在該閘極結構之一部分上,該第一介電層具有在該導電接觸結構之一部分上之一開口;一第一介電接觸間隔物,其沿該第一介電層之該開口之一第一側壁延伸;一第二介電接觸間隔物,其沿該第一介電層之該開口之一第二側壁延伸;一金屬結構,其在該第一介電接觸間隔物與該第二介電接觸間隔物之間,該金屬結構與該導電接觸結構之該部分接觸;以及 一第二介電層,其在該第一介電層上。
  2. 如請求項1之積體電路結構,其中該金屬結構係穿過並且在該第一介電層上方。
  3. 如請求項2之積體電路結構,其中該第一介電層上方之該金屬結構之一部分具有大於與該導電接觸結構接觸之該金屬結構之一部分之一寬度的一寬度。
  4. 如請求項1之積體電路結構,其中該第二介電層具有與該金屬結構之一頂面共平面的一頂面。
  5. 如請求項1之積體電路結構,其中導電接觸結構具有與該閘極結構之該閘極電極之一頂面共平面的一頂面。
  6. 如請求項1之積體電路結構,其進一步包含橫向地在該第一介電閘極間隔物與該導電接觸結構之間的一介電材料。
  7. 如請求項6之積體電路結構,其中該第一介電層係在該閘極電極、該介電材料、以及該第一與第二介電閘極間隔物上。
  8. 一種積體電路結構,其包含:一基板,其包含矽;一閘極結構,其在該基板上方,該閘極結構包含一閘極介電質以及一閘極電極;一第一間隔物,其鄰接該閘極結構之一第一側;一第二間隔物,其鄰接該閘極結構之一第二側;一第一擴散區,其在該閘極結構之該第一側;一第二擴散區,其在該閘極結構之該第二側; 一導電接觸結構,其在該第一擴散區上;一第一介電層,其在該閘極結構之一部分上,該第一介電層具有在該導電接觸結構之一部分上之一開口;一第三間隔物,其沿著該第一介電層之該開口之一第一側壁延伸;一第四間隔物,其沿著該第一介電層之該開口之一第二側壁延伸;一金屬銷,其在該第三間隔物與該第四間隔物之間,該金屬銷係與該導電接觸結構之該部分接觸;以及一第二介電層,其在該第一介電層上。
  9. 如請求項8之積體電路結構,其中該金屬銷係穿過並且在該第一介電層上方。
  10. 如請求項9之積體電路結構,其中該第一介電層上方之該金屬銷之一部分具有大於與該導電接觸結構接觸之該金屬銷之一部分之一寬度的一寬度。
  11. 如請求項8之積體電路結構,其中該第二介電層具有與該金屬銷之一頂面共平面之一頂面。
  12. 如請求項8之積體電路結構,其中該導電接觸結構具有與該閘極結構之該閘極電極之一頂面共平面之一頂面。
  13. 如請求項8之積體電路結構,其進一步包含橫向地在該第一間隔物與該導電接觸結構之間的一介電材料。
  14. 如請求項13之積體電路結構,其中該第一介電層係在該閘極電極、該介電材料以及該第一與第二間隔物上。
  15. 一種製造一積體電路結構之方法,該方法包含: 一基板包含矽;於包含矽之基板上方形成一閘極結構,該閘極結構包含一閘極介電質以及一閘極電極;形成鄰接該閘極結構之一第一側之一第一介電閘極間隔物;形成鄰接該閘極結構之一第二側之一第二介電閘極間隔物;在該閘極結構之該第一側形成一第一源極或汲極區;在該閘極結構之該第二側形成一第二源極或汲極區;在該第一源極或汲極區上形成一導電接觸結構;在該閘極結構之一部分上形成一第一介電層;在該第一介電層中形成一開口,該開口在該導電接觸結構上;在該第一介電層上以及在該第一介電層中之該開口中形成一絕緣層;蝕刻該絕緣層,用以形成沿著該第一介電層之該開口之一第一側壁延伸之一第一介電接觸間隔物、用以形成沿著該第一介電層之該開口之一第二側壁延伸之一第二介電接觸間隔物、以及用以暴露該接觸結構之一部分;形成一金屬結構於該第一介電接觸間隔物與該第二介電接觸間隔物之間,該金屬結構與該導電接觸結構 之該部分接觸;以及在該第一介電層上形成一第二介電層。
  16. 如請求項15所述之方法,其中形成該金屬結構包含:形成一金屬於該第一介電接觸間隔物與該第二介電接觸間隔物之間,該金屬更進一步於該第一介電層之一部分上方並且覆蓋在該第一介電層之該部分上;以及平坦化該金屬以形成該金屬結構。
  17. 如請求項15所述之方法,其中形成該金屬結構以及該第二介電層包含:形成一金屬於該第一介電接觸間隔物與該第二介電接觸間隔物之間,該金屬更進一步於該第一介電層之一部分上方並且覆蓋在該第一介電層之該部分上;形成一第二絕緣層於該第一介電層上,該第二絕緣層具有一開口,該金屬係在該開口中;以及平坦化該第二絕緣層以及該金屬以形成該金屬結構以及該第二介電層。
  18. 如請求項15所述之方法,其中形成該金屬結構以及該第二介電層包含:形成一金屬於該第一介電接觸間隔物與該第二介電間隔物之間,該金屬更進一步於該第一介電層之一部分上方並且覆蓋在該第一介電層之該部分上;形成一第二絕緣層於該第一介電層以及該金屬之上;平坦化該第二絕緣層以及該金屬以形成該金屬結 構以及該第二介電層。
  19. 如請求項18所述之方法,其中該第二介電層具有與該金屬結構之一頂面共平面之一頂面。
  20. 如請求項15所述之方法,其中該金屬結構係穿過並且在該第一介電層上方。
  21. 如請求項20所述之方法,其中在該第一介電層上方之該金屬結構之一部分具有大於與該導電接觸結構接觸之該金屬結構之一部分之一寬度的一寬度。
  22. 如請求項15所述之方法,其中該導電接觸結構具有與該閘極結構之該閘極電極之一頂面共平面之一頂面。
TW107127791A 2009-12-30 2010-12-14 自我對準接點(五) TWI666772B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/655,408 2009-12-30
US12/655,408 US8436404B2 (en) 2009-12-30 2009-12-30 Self-aligned contacts

Publications (2)

Publication Number Publication Date
TW201907568A true TW201907568A (zh) 2019-02-16
TWI666772B TWI666772B (zh) 2019-07-21

Family

ID=44186364

Family Applications (5)

Application Number Title Priority Date Filing Date
TW106112596A TWI632680B (zh) 2009-12-30 2010-12-14 自我對準接點(三)
TW106134564A TWI641140B (zh) 2009-12-30 2010-12-14 自我對準接點(四)
TW104143854A TWI590453B (zh) 2009-12-30 2010-12-14 自我對準接點(二)
TW099143705A TWI518904B (zh) 2009-12-30 2010-12-14 自我對準接點
TW107127791A TWI666772B (zh) 2009-12-30 2010-12-14 自我對準接點(五)

Family Applications Before (4)

Application Number Title Priority Date Filing Date
TW106112596A TWI632680B (zh) 2009-12-30 2010-12-14 自我對準接點(三)
TW106134564A TWI641140B (zh) 2009-12-30 2010-12-14 自我對準接點(四)
TW104143854A TWI590453B (zh) 2009-12-30 2010-12-14 自我對準接點(二)
TW099143705A TWI518904B (zh) 2009-12-30 2010-12-14 自我對準接點

Country Status (8)

Country Link
US (12) US8436404B2 (zh)
EP (5) EP3096357B1 (zh)
JP (6) JP5539538B2 (zh)
KR (15) KR20200055148A (zh)
CN (2) CN104795444B (zh)
HK (1) HK1173851A1 (zh)
TW (5) TWI632680B (zh)
WO (1) WO2011090571A2 (zh)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
KR101675373B1 (ko) * 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101615654B1 (ko) * 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법
US8860107B2 (en) * 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8421077B2 (en) * 2010-06-08 2013-04-16 International Business Machines Corporation Replacement gate MOSFET with self-aligned diffusion contact
JP5569243B2 (ja) * 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
KR101692309B1 (ko) * 2010-08-25 2017-01-04 삼성전자 주식회사 반도체 장치의 제조방법
US8592266B2 (en) * 2010-10-27 2013-11-26 International Business Machines Corporation Replacement gate MOSFET with a high performance gate electrode
US8946006B2 (en) 2010-10-28 2015-02-03 International Business Machines Corporation Replacement gate MOSFET with raised source and drain
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
CN102779754B (zh) * 2011-05-12 2015-04-08 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US9190261B2 (en) 2011-08-25 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Layer alignment in FinFET fabrication
US8822283B2 (en) * 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US8877645B2 (en) * 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
WO2013048516A1 (en) * 2011-09-30 2013-04-04 Intel Corporation Capping dielectric structure for transistor gates
EP3174106A1 (en) * 2011-09-30 2017-05-31 Intel Corporation Tungsten gates for non-planar transistors
DE112011105702T5 (de) 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
DE112011105925B4 (de) 2011-12-06 2023-02-09 Tahoe Research, Ltd. Mikroelektronischer Transistor und Verfahren zum Herstellen desselben
KR101612657B1 (ko) 2011-12-22 2016-04-14 인텔 코포레이션 게이트 정렬 컨택트를 포함하는 반도체 구조 및 그 제조 방법
US20130175619A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Silicon-on-insulator transistor with self-aligned borderless source/drain contacts
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8927407B2 (en) 2012-01-20 2015-01-06 Globalfoundries Inc. Method of forming self-aligned contacts for a semiconductor device
US8580628B2 (en) * 2012-02-02 2013-11-12 GlobalFoundries, Inc. Integrated circuit contact structure and method
KR101853316B1 (ko) * 2012-03-29 2018-04-30 삼성전자주식회사 반도체 소자
US8946049B2 (en) 2012-04-11 2015-02-03 International Business Machines Corporation Replacement gate structures and methods of manufacturing
US9111783B2 (en) * 2012-04-13 2015-08-18 Renesas Electronics Corporation Semiconductor devices with self-aligned source drain contacts and methods for making the same
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US8779515B2 (en) * 2012-05-21 2014-07-15 International Business Machines Corporation Semiconductor structure containing an aluminum-containing replacement gate electrode
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
US8679909B2 (en) 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8883583B2 (en) * 2012-06-26 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, transistors, and methods of manufacture thereof
US8937006B2 (en) 2012-07-30 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9218975B2 (en) * 2012-08-17 2015-12-22 Globalfoundries Inc. Methods of forming a replacement gate structure having a gate electrode comprised of a deposited intermetallic compound material
US8877621B2 (en) * 2012-09-05 2014-11-04 Globalfoundries Inc. Low resistivity gate conductor
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US8753970B2 (en) * 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8765590B2 (en) 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device
US8928090B2 (en) * 2012-10-31 2015-01-06 International Business Machines Corporation Self-aligned contact structure for replacement metal gate
US8907427B2 (en) * 2012-11-05 2014-12-09 Stmicroelectronics, Inc. Semiconductor device including low-K dielectric cap layer for gate electrodes and related methods
US8890262B2 (en) * 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8785283B2 (en) * 2012-12-05 2014-07-22 United Microelectronics Corp. Method for forming semiconductor structure having metal connection
US8728927B1 (en) * 2012-12-10 2014-05-20 International Business Machines Corporation Borderless contacts for semiconductor transistors
CN103871857B (zh) * 2012-12-18 2017-09-26 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US8946075B2 (en) * 2013-03-05 2015-02-03 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8940633B2 (en) * 2013-03-05 2015-01-27 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8884344B2 (en) 2013-03-08 2014-11-11 International Business Machines Corporation Self-aligned contacts for replacement metal gate transistors
US9263275B2 (en) * 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9006072B2 (en) * 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US8981490B2 (en) * 2013-03-14 2015-03-17 Texas Instruments Incorporated Transistor with deep Nwell implanted through the gate
TWI581316B (zh) * 2013-03-15 2017-05-01 聯華電子股份有限公司 形成金屬矽化物層的方法
US20140264640A1 (en) * 2013-03-18 2014-09-18 Nanya Technology Corp. Semiconductor device and method for fabricating the same
CN104124173A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN104143515B (zh) * 2013-05-09 2017-12-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
KR102050779B1 (ko) * 2013-06-13 2019-12-02 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
KR20150000546A (ko) * 2013-06-24 2015-01-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9257348B2 (en) 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US9324709B2 (en) * 2013-08-19 2016-04-26 Globalfoundries Inc. Self-aligned gate contact structure
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
JP6120738B2 (ja) * 2013-09-17 2017-04-26 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9564332B2 (en) 2013-09-26 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
FR3011382B1 (fr) 2013-09-27 2019-03-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un circuit integre
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US20150111373A1 (en) * 2013-10-18 2015-04-23 GlobalFoundries, Inc. Reducing gate height variation in rmg process
US9059164B2 (en) 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9153483B2 (en) 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
CN104681488B (zh) * 2013-11-26 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
US9245894B2 (en) 2013-12-12 2016-01-26 Texas Instruments Incorporated Self aligned active trench contact
US9331072B2 (en) * 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
US9660035B2 (en) * 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9093467B1 (en) 2014-02-04 2015-07-28 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
KR20150091895A (ko) * 2014-02-04 2015-08-12 에스케이하이닉스 주식회사 반도체 장치 및 그 동작방법
US9252243B2 (en) 2014-02-07 2016-02-02 International Business Machines Corporation Gate structure integration scheme for fin field effect transistors
US20150228546A1 (en) * 2014-02-11 2015-08-13 United Microelectronics Corp. Semiconductor device and method of removing spacers on semiconductor device
US9524965B2 (en) * 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9293557B2 (en) * 2014-02-20 2016-03-22 International Business Machines Corporation Low temperature spacer for advanced semiconductor devices
US9236437B2 (en) 2014-02-20 2016-01-12 Globalfoundries Inc. Method for creating self-aligned transistor contacts
US9159822B2 (en) 2014-02-24 2015-10-13 International Business Machines Corporation III-V semiconductor device having self-aligned contacts
US9231063B2 (en) 2014-02-24 2016-01-05 International Business Machines Corporation Boron rich nitride cap for total ionizing dose mitigation in SOI devices
US9231067B2 (en) * 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9293576B2 (en) * 2014-03-05 2016-03-22 International Business Machines Corporation Semiconductor device with low-k gate cap and self-aligned contact
US9257529B2 (en) 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US9324830B2 (en) 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9711646B2 (en) 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
US9484205B2 (en) * 2014-04-07 2016-11-01 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US9236258B2 (en) * 2014-04-23 2016-01-12 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
US9570319B2 (en) * 2014-05-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US9385235B2 (en) 2014-05-30 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
TWI612563B (zh) 2014-07-07 2018-01-21 聯華電子股份有限公司 金屬閘極結構與其製作方法
CN105280486B (zh) 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
KR102276642B1 (ko) 2014-07-28 2021-07-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
KR102251363B1 (ko) 2014-08-08 2021-05-14 삼성전자주식회사 반도체 소자
US9812577B2 (en) * 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10134861B2 (en) 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20160126336A1 (en) * 2014-10-29 2016-05-05 Globalfoundries Inc. Method of improved ca/cb contact and device thereof
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9391204B1 (en) * 2015-03-12 2016-07-12 International Business Machines Corporation Asymmetric FET
US9892924B2 (en) * 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
US9698232B2 (en) 2015-03-18 2017-07-04 Qualcomm Incorporated Conductive cap for metal-gate transistor
US9685532B2 (en) 2015-03-24 2017-06-20 International Business Machines Corporation Replacement metal gate structures
TWI642188B (zh) * 2015-03-26 2018-11-21 聯華電子股份有限公司 半導體元件及其製作方法
US9799560B2 (en) * 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
TWI650833B (zh) 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
US9443853B1 (en) 2015-04-07 2016-09-13 International Business Machines Corporation Minimizing shorting between FinFET epitaxial regions
KR102290538B1 (ko) 2015-04-16 2021-08-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102342847B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9431235B1 (en) * 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
US9647091B2 (en) * 2015-05-01 2017-05-09 International Business Machines Corporation Annealed metal source drain overlapping the gate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10411113B2 (en) * 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9876074B2 (en) * 2015-05-22 2018-01-23 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US10062763B2 (en) 2015-05-27 2018-08-28 Qualcomm Incorporated Method and apparatus for selectively forming nitride caps on metal gate
US9722043B2 (en) 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
KR102396111B1 (ko) 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
KR102321373B1 (ko) 2015-08-19 2021-11-02 삼성전자주식회사 반도체 장치의 제조 방법
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9871114B2 (en) * 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9673101B2 (en) 2015-09-30 2017-06-06 International Business Machines Corporation Minimize middle-of-line contact line shorts
US9627484B1 (en) 2015-10-12 2017-04-18 International Business Machines Corporation Devices with multiple threshold voltages formed on a single wafer using strain in the high-K layer
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9570450B1 (en) 2015-11-19 2017-02-14 International Business Machines Corporation Hybrid logic and SRAM contacts
US9660050B1 (en) * 2015-11-25 2017-05-23 International Business Machines Corporation Replacement low-k spacer
US9627510B1 (en) 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
US20170162444A1 (en) * 2015-12-02 2017-06-08 International Business Machines Corporation Contact resistance reduction for advanced technology nodes
US9704971B2 (en) * 2015-12-09 2017-07-11 Globalfoundries Inc. Epi facet height uniformity improvement for FDSOI technologies
US9437714B1 (en) 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN106920750B (zh) * 2015-12-28 2019-11-05 中芯国际集成电路制造(上海)有限公司 金属栅晶体管源漏区接触塞的制作方法
CN106920771B (zh) * 2015-12-28 2020-03-10 中芯国际集成电路制造(北京)有限公司 金属栅晶体管源漏区接触塞的制作方法
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9450095B1 (en) * 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US9716093B1 (en) * 2016-03-07 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US9824920B2 (en) * 2016-04-04 2017-11-21 Globalfoundries Inc. Methods of forming self-aligned contact structures by work function material layer recessing and the resulting devices
CN107275214A (zh) * 2016-04-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10510599B2 (en) * 2016-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Company Limited FinFET switch
US9793267B1 (en) 2016-04-22 2017-10-17 United Microelectronics Corp. Semiconductor device having gate structure with reduced threshold voltage and method for manufacturing the same
KR102384818B1 (ko) 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
KR102514620B1 (ko) * 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9991361B2 (en) * 2016-05-26 2018-06-05 Globalfoundries Inc. Methods for performing a gate cut last scheme for FinFET semiconductor devices
US9837351B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Avoiding gate metal via shorting to source or drain contacts
US10164032B2 (en) * 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US11043492B2 (en) * 2016-07-01 2021-06-22 Intel Corporation Self-aligned gate edge trigate and finFET devices
CN107591366B (zh) * 2016-07-06 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9847398B1 (en) * 2016-07-13 2017-12-19 United Microelectronics Corp. Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US9929046B2 (en) * 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN107785259B (zh) * 2016-08-24 2020-09-15 中芯国际集成电路制造(北京)有限公司 一种半导体器件及制备方法、电子装置
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
US9704991B1 (en) 2016-10-31 2017-07-11 International Business Machines Corporation Gate height and spacer uniformity
US10522359B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10008416B2 (en) * 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US20180158821A1 (en) * 2016-12-06 2018-06-07 Globalfoundries Inc. Gate structures with low resistance
US10707316B2 (en) * 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10079290B2 (en) 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US11352692B2 (en) 2017-01-06 2022-06-07 Japan Science And Technology Agency Hexagonal boron nitride thin film and method for producing the same
US10242918B2 (en) 2017-02-08 2019-03-26 International Business Machines Corporation Shallow trench isolation structures and contact patterning
US10103237B2 (en) * 2017-02-28 2018-10-16 International Business Machines Corporation Inverted MOSFET with scaling advantage
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
TWI729128B (zh) 2017-05-10 2021-06-01 聯華電子股份有限公司 半導體結構及其製作方法
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
TWI730119B (zh) 2017-06-09 2021-06-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
US10304735B2 (en) 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
US10181421B1 (en) * 2017-07-12 2019-01-15 Globalfoundries Inc. Liner recess for fully aligned via
US10186599B1 (en) * 2017-07-20 2019-01-22 International Business Machines Corporation Forming self-aligned contact with spacer first
CN109411405A (zh) * 2017-08-17 2019-03-01 联华电子股份有限公司 半导体结构及其制作方法
US10014180B1 (en) 2017-08-21 2018-07-03 Globalfoundries Inc. Tungsten gate and method for forming
US10804148B2 (en) * 2017-08-25 2020-10-13 International Business Machines Corporation Buried contact to provide reduced VFET feature-to-feature tolerance requirements
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10504782B2 (en) * 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
US10236215B1 (en) * 2017-10-24 2019-03-19 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
US10651284B2 (en) 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
KR102291538B1 (ko) 2017-11-10 2021-08-18 삼성전자주식회사 반도체 장치
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US11881520B2 (en) * 2017-11-30 2024-01-23 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US10403547B2 (en) * 2017-12-12 2019-09-03 Varian Semiconductor Equipment Associates, Inc. Structure and method of forming self aligned contacts in semiconductor device
CN110246895A (zh) * 2018-03-09 2019-09-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10468409B2 (en) * 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
US11217456B2 (en) * 2018-03-26 2022-01-04 Intel Corporation Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
US10249533B1 (en) 2018-04-12 2019-04-02 International Business Machines Corporation Method and structure for forming a replacement contact
US10665505B2 (en) 2018-05-22 2020-05-26 International Business Machines Corporation Self-aligned gate contact isolation
US10685872B2 (en) 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10522644B1 (en) 2018-06-21 2019-12-31 Globalfoundries Inc. Different upper and lower spacers for contact
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10818557B2 (en) 2018-07-03 2020-10-27 Globalfoundries Inc. Integrated circuit structure to reduce soft-fail incidence and method of forming same
US10553486B1 (en) 2018-07-27 2020-02-04 Globalfoundries Inc. Field effect transistors with self-aligned metal plugs and methods
CN110875396B (zh) * 2018-08-31 2023-08-15 力智电子股份有限公司 沟槽式栅极金氧半场效晶体管及其制造方法
US11195753B2 (en) * 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor
US11195754B2 (en) 2018-10-09 2021-12-07 International Business Machines Corporation Transistor with reduced gate resistance and improved process margin of forming self-aligned contact
US10978571B2 (en) 2018-10-24 2021-04-13 International Business Machines Corporation Self-aligned contact with metal-insulator transition materials
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10943990B2 (en) 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US11062946B2 (en) 2018-11-08 2021-07-13 International Business Machines Corporation Self-aligned contact on a semiconductor device
KR102664157B1 (ko) * 2018-12-03 2024-05-07 엘지디스플레이 주식회사 투명표시장치
US11152307B2 (en) * 2018-12-18 2021-10-19 International Business Machines Corporation Buried local interconnect
US10770562B1 (en) 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
US10892164B2 (en) 2019-04-16 2021-01-12 International Business Machines Corporation Dual hard mask replacement gate
US11139306B2 (en) * 2019-05-28 2021-10-05 Winbond Electronics Corp. Memory device and method for fabricating the same
US10818548B1 (en) * 2019-05-30 2020-10-27 International Business Machines Corporation Method and structure for cost effective enhanced self-aligned contacts
CN112103249B (zh) * 2019-06-18 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10832964B1 (en) 2019-07-15 2020-11-10 International Business Machines Corporatior Replacement contact formation for gate contact over active region with selective metal growth
CN112309861B (zh) * 2019-07-30 2023-10-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、晶体管
KR20210024384A (ko) * 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11328990B2 (en) * 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20210104260A (ko) 2020-02-17 2021-08-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN113327980B (zh) * 2020-02-28 2023-03-28 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
US11211462B2 (en) * 2020-03-05 2021-12-28 International Business Machines Corporation Using selectively formed cap layers to form self-aligned contacts to source/drain regions
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11264481B2 (en) 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts
TW202236453A (zh) * 2021-03-10 2022-09-16 新加坡商發明與合作實驗室有限公司 內連線結構及其製造方法
US11935929B2 (en) * 2021-10-21 2024-03-19 International Business Machines Corporation High aspect ratio shared contacts

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5210435A (en) * 1990-10-12 1993-05-11 Motorola, Inc. ITLDD transistor having a variable work function
JP3104193B2 (ja) * 1991-06-28 2000-10-30 ソニー株式会社 半導体装置の接続構造形成方法
US5381302A (en) * 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5392189A (en) 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5385866A (en) * 1994-06-22 1995-01-31 International Business Machines Corporation Polish planarizing using oxidized boron nitride as a polish stop
JPH0936319A (ja) * 1995-07-18 1997-02-07 Toshiba Corp 半導体装置の製造方法
JP3703885B2 (ja) 1995-09-29 2005-10-05 株式会社東芝 半導体記憶装置とその製造方法
US5792703A (en) 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
JP4064496B2 (ja) * 1996-07-12 2008-03-19 株式会社東芝 半導体装置及びその製造方法
JP3520697B2 (ja) * 1996-11-07 2004-04-19 富士通株式会社 半導体装置及びその製造方法
JPH10144781A (ja) 1996-11-12 1998-05-29 Fujitsu Ltd 半導体装置の製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3232043B2 (ja) * 1997-06-30 2001-11-26 株式会社東芝 半導体装置の製造方法
JP2008153687A (ja) * 1997-06-30 2008-07-03 Toshiba Corp 半導体装置の製造方法
JP4160167B2 (ja) * 1997-06-30 2008-10-01 株式会社東芝 半導体装置の製造方法
US5807779A (en) * 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Method of making tungsten local interconnect using a silicon nitride capped self-aligned contact process
KR100245271B1 (ko) * 1997-10-01 2000-02-15 윤종용 반도체 장치 및 그의 제조 방법
JPH11135745A (ja) 1997-10-29 1999-05-21 Toshiba Corp 半導体装置及びその製造方法
US5907781A (en) 1998-03-27 1999-05-25 Advanced Micro Devices, Inc. Process for fabricating an integrated circuit with a self-aligned contact
JPH11307473A (ja) * 1998-04-24 1999-11-05 Sony Corp 半導体装置およびその製造方法
JP3025478B2 (ja) * 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
JP3380172B2 (ja) 1998-07-29 2003-02-24 松下電器産業株式会社 半導体装置の製造方法
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6184129B1 (en) * 1998-09-29 2001-02-06 Texas Instruments Incorporated Low resistivity poly-silicon gate produced by selective metal growth
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP3439135B2 (ja) * 1998-10-05 2003-08-25 沖電気工業株式会社 半導体装置の製造方法及び半導体装置
US6207514B1 (en) * 1999-01-04 2001-03-27 International Business Machines Corporation Method for forming borderless gate structures and apparatus formed thereby
TW404009B (en) * 1999-01-27 2000-09-01 United Microelectronics Corp The method of manufacturing self-aligned contact (SAC)
JP2000223703A (ja) * 1999-01-29 2000-08-11 Toshiba Corp 半導体装置及びその製造方法
US6235593B1 (en) * 1999-02-18 2001-05-22 Taiwan Semiconductor Manufacturing Company Self aligned contact using spacers on the ILD layer sidewalls
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6274426B1 (en) * 1999-02-25 2001-08-14 Taiwan Semiconductor Manufacturing Company Self-aligned contact process for a crown shaped dynamic random access memory capacitor structure
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6573132B1 (en) * 1999-03-25 2003-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having contacts self-aligned with a gate electrode thereof
KR100397153B1 (ko) 1999-07-27 2003-09-06 한국전기초자 주식회사 유리튜브절단용 버너
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6265319B1 (en) 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
US6294449B1 (en) * 1999-11-23 2001-09-25 International Business Machines Corporation Self-aligned contact for closely spaced transistors
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
TW506079B (en) * 2000-02-17 2002-10-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6607950B2 (en) * 2000-03-30 2003-08-19 Interuniversitair Microelektronic Centrum (Imec) MIS transistors with a metal gate and high-k dielectric and method of forming
JP2001284467A (ja) * 2000-03-30 2001-10-12 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001308323A (ja) * 2000-04-26 2001-11-02 Hitachi Ltd 半導体装置の製造方法
JP2001345443A (ja) * 2000-06-01 2001-12-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
FR2810157B1 (fr) * 2000-06-09 2002-08-16 Commissariat Energie Atomique Procede de realisation d'un composant electronique a source, drain et grille auto-allignes, en architecture damascene
KR100333372B1 (ko) 2000-06-21 2002-04-19 박종섭 금속 게이트 모스팻 소자의 제조방법
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
JP2002110966A (ja) * 2000-09-26 2002-04-12 Seiko Epson Corp 半導体装置の製造方法および半導体装置
US6306713B1 (en) * 2000-10-10 2001-10-23 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects for salicided gates using a secondary spacer
JP2002141420A (ja) 2000-10-31 2002-05-17 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
JP2002170821A (ja) * 2000-11-30 2002-06-14 Sony Corp 膜の形成方法
KR100649821B1 (ko) * 2000-12-26 2006-11-24 주식회사 하이닉스반도체 반도체소자의 트랜지스터 제조방법
KR100363701B1 (ko) * 2000-12-29 2002-12-05 주식회사 하이닉스반도체 반도체 소자의 비트 라인 콘택 형성 방법
KR100365414B1 (en) * 2001-04-30 2002-12-18 Hynix Semiconductor Inc Method for forming ultra-shallow junction using laser annealing process
JP2003007850A (ja) * 2001-06-18 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100394524B1 (ko) * 2001-12-21 2003-08-14 동부전자 주식회사 반도체소자의 제조방법
KR100434505B1 (ko) * 2002-06-19 2004-06-05 삼성전자주식회사 다마신 배선을 이용한 반도체 소자의 제조방법
JP2004071959A (ja) * 2002-08-08 2004-03-04 Renesas Technology Corp 半導体装置
US7902029B2 (en) * 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US6624024B1 (en) * 2002-08-29 2003-09-23 Micron Technology, Inc. Method and apparatus for a flash memory device comprising a source local interconnect
US6909152B2 (en) * 2002-11-14 2005-06-21 Infineon Technologies, Ag High density DRAM with reduced peripheral device area and method of manufacture
KR20040060335A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 자기정렬적인 콘택 형성방법
KR100503519B1 (ko) * 2003-01-22 2005-07-22 삼성전자주식회사 반도체 장치 및 그 제조방법
KR100505062B1 (ko) * 2003-02-22 2005-07-29 삼성전자주식회사 반도체 소자의 제조방법
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
TWI304633B (en) * 2003-08-25 2008-12-21 Promos Technologies Inc Semiconductor device and fabricating method thereof
KR20050024667A (ko) * 2003-09-01 2005-03-11 삼성전자주식회사 반도체소자의 버팅컨택 형성방법
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US20050085072A1 (en) 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
TWI227917B (en) * 2004-01-29 2005-02-11 Nanya Technology Corp A word line structure with single-sided partially recessed gate and method for forming the same
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US6884715B1 (en) * 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US7148548B2 (en) * 2004-07-20 2006-12-12 Intel Corporation Semiconductor device with a high-k gate dielectric and a metal gate electrode
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
US6979622B1 (en) 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100611776B1 (ko) * 2004-10-06 2006-08-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7037774B1 (en) * 2004-10-21 2006-05-02 Integrated Device Technology, Inc. Self-aligned contact structure and process for forming self-aligned contact structure
US7230296B2 (en) * 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7138308B2 (en) * 2004-12-14 2006-11-21 International Business Machines Corporation Replacement gate with TERA cap
KR100719342B1 (ko) 2005-02-01 2007-05-17 삼성전자주식회사 듀얼 게이트 전극을 갖는 반도체 소자 및 그 형성 방법
KR100585178B1 (ko) * 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
US7294890B2 (en) * 2005-03-03 2007-11-13 Agency For Science, Technology And Research Fully salicided (FUSA) MOSFET structure
JP2006253461A (ja) * 2005-03-11 2006-09-21 Toshiba Corp 半導体集積回路装置およびその製造方法
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7354854B2 (en) 2005-05-24 2008-04-08 Texas Instruments Incorporated Nickel silicide method and structure
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
KR100618908B1 (ko) * 2005-08-12 2006-09-05 삼성전자주식회사 게이트 저항을 개선한 반도체 소자 및 제조 방법
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
JP2007103694A (ja) 2005-10-05 2007-04-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
TWI298175B (en) * 2005-11-09 2008-06-21 Promos Technologies Inc Gate structure and fabricating method thereof
US7183613B1 (en) 2005-11-15 2007-02-27 International Business Machines Corporation Method and structure for enhancing both NMOSFET and PMOSFET performance with a stressed film
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
JP2007220701A (ja) * 2006-02-14 2007-08-30 Elpida Memory Inc 半導体装置の製造方法、半導体記憶装置の製造方法
US20070241411A1 (en) * 2006-04-12 2007-10-18 International Business Machines Corporation Structures and methods for forming sram cells with self-aligned contacts
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
JP4920310B2 (ja) 2006-05-30 2012-04-18 株式会社東芝 半導体装置およびその製造方法
US7544594B2 (en) * 2006-06-28 2009-06-09 Intel Corporation Method of forming a transistor having gate protection and transistor formed according to the method
US7541239B2 (en) * 2006-06-30 2009-06-02 Intel Corporation Selective spacer formation on transistors of different classes on the same device
CN101523609B (zh) * 2006-09-29 2012-03-28 富士通半导体股份有限公司 半导体器件及其制造方法
KR100760926B1 (ko) * 2006-10-11 2007-09-21 동부일렉트로닉스 주식회사 다중 비트셀을 구현하는 비휘발성 반도체 메모리 장치 및그 제조방법
JP4501965B2 (ja) * 2006-10-16 2010-07-14 ソニー株式会社 半導体装置の製造方法
US8304342B2 (en) * 2006-10-31 2012-11-06 Texas Instruments Incorporated Sacrificial CMP etch stop layer
JP2008130797A (ja) 2006-11-21 2008-06-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008130979A (ja) 2006-11-24 2008-06-05 Takenaka Komuten Co Ltd 電磁波シールド構造体
US8120114B2 (en) * 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
US7682891B2 (en) 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US7432167B2 (en) 2007-01-10 2008-10-07 United Microelectronics Corp. Method of fabricating a strained silicon channel metal oxide semiconductor transistor
JP4367523B2 (ja) 2007-02-06 2009-11-18 ソニー株式会社 絶縁ゲート電界効果トランジスタ及びその製造方法
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
US7566651B2 (en) * 2007-03-28 2009-07-28 International Business Machines Corporation Low contact resistance metal contact
US20080272410A1 (en) * 2007-05-02 2008-11-06 Chung-Te Lin Self-Aligned Spacer Contact
JP2008282901A (ja) * 2007-05-09 2008-11-20 Sony Corp 半導体装置および半導体装置の製造方法
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
KR101244456B1 (ko) * 2007-07-10 2013-03-18 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체의 형성 방법 및이를 이용한 반도체 소자의 제조 방법
US7927989B2 (en) 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
US7659171B2 (en) * 2007-09-05 2010-02-09 International Business Machines Corporation Methods and structure for forming self-aligned borderless contacts for strain engineered logic devices
KR20090025778A (ko) * 2007-09-07 2009-03-11 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US20090085131A1 (en) * 2007-09-28 2009-04-02 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
KR20090038972A (ko) * 2007-10-17 2009-04-22 삼성전자주식회사 콘택홀 형성방법 및 그를 이용한 반도체 메모리소자의제조방법
US20090108359A1 (en) * 2007-10-31 2009-04-30 Agere Systems Inc. A semiconductor device and method of manufacture therefor
US8354347B2 (en) * 2007-12-11 2013-01-15 Globalfoundries Singapore Pte. Ltd. Method of forming high-k dielectric stop layer for contact hole opening
JP2009158591A (ja) 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
KR101374323B1 (ko) * 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
JP2009231592A (ja) * 2008-03-24 2009-10-08 Nec Electronics Corp 半導体装置の製造方法
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
US20090275182A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Method for fabricating a metal high dielectric constant transistor with reverse-t gate
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
US7838913B2 (en) * 2008-05-28 2010-11-23 International Business Machines Corporation Hybrid FET incorporating a finFET and a planar FET
KR101479997B1 (ko) * 2008-06-20 2015-01-07 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
JP2010010218A (ja) 2008-06-24 2010-01-14 Fujitsu Microelectronics Ltd 半導体装置とその製造方法
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US8227867B2 (en) * 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8421077B2 (en) * 2010-06-08 2013-04-16 International Business Machines Corporation Replacement gate MOSFET with self-aligned diffusion contact
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
KR20120057818A (ko) * 2010-11-29 2012-06-07 삼성전자주식회사 반도체 장치 제조 방법
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US20140179093A1 (en) * 2012-12-20 2014-06-26 GlobalFoundries, Inc. Gate structure formation processes
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9728505B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature

Also Published As

Publication number Publication date
KR102302712B1 (ko) 2021-09-15
JP6746664B2 (ja) 2020-08-26
US20180096891A1 (en) 2018-04-05
TW201742251A (zh) 2017-12-01
JP2017118134A (ja) 2017-06-29
US20140151817A1 (en) 2014-06-05
WO2011090571A2 (en) 2011-07-28
KR20180136571A (ko) 2018-12-24
KR20150080635A (ko) 2015-07-09
TWI666772B (zh) 2019-07-21
KR20140119201A (ko) 2014-10-08
KR101459198B1 (ko) 2014-11-07
CN102640291A (zh) 2012-08-15
EP3506366A1 (en) 2019-07-03
KR102432086B1 (ko) 2022-08-11
US20110156107A1 (en) 2011-06-30
US9054178B2 (en) 2015-06-09
KR102254439B1 (ko) 2021-05-20
KR20160022936A (ko) 2016-03-02
TW201131771A (en) 2011-09-16
EP2519975A4 (en) 2013-09-11
EP4033543A1 (en) 2022-07-27
KR101625811B1 (ko) 2016-05-30
KR20130140231A (ko) 2013-12-23
KR101510034B1 (ko) 2015-04-08
US10629483B2 (en) 2020-04-21
US10930557B2 (en) 2021-02-23
US20210134673A1 (en) 2021-05-06
HK1173851A1 (zh) 2013-05-24
JP6109781B2 (ja) 2017-04-05
US10141226B2 (en) 2018-11-27
KR20120089357A (ko) 2012-08-09
KR101685886B1 (ko) 2016-12-12
CN104795444B (zh) 2018-05-22
JP2014158050A (ja) 2014-08-28
KR20210116684A (ko) 2021-09-27
TWI632680B (zh) 2018-08-11
US20230154793A1 (en) 2023-05-18
CN102640291B (zh) 2015-05-06
US20150270216A1 (en) 2015-09-24
KR101510032B1 (ko) 2015-04-08
US20240030067A1 (en) 2024-01-25
WO2011090571A3 (en) 2011-11-17
JP5539538B2 (ja) 2014-07-02
US11887891B2 (en) 2024-01-30
JP2013516083A (ja) 2013-05-09
KR20130112962A (ko) 2013-10-14
EP3312888A2 (en) 2018-04-25
US9508821B2 (en) 2016-11-29
KR20200055148A (ko) 2020-05-20
JP6306231B2 (ja) 2018-04-04
KR101987928B1 (ko) 2019-06-11
US9093513B2 (en) 2015-07-28
US11600524B2 (en) 2023-03-07
TW201633544A (zh) 2016-09-16
KR20170105645A (ko) 2017-09-19
EP3312888A3 (en) 2018-07-04
US8436404B2 (en) 2013-05-07
KR20160138584A (ko) 2016-12-05
KR102033275B1 (ko) 2019-10-16
US20200251387A1 (en) 2020-08-06
EP2519975A2 (en) 2012-11-07
TWI590453B (zh) 2017-07-01
US20130178033A1 (en) 2013-07-11
TW201804618A (zh) 2018-02-01
US9892967B2 (en) 2018-02-13
JP2019050415A (ja) 2019-03-28
KR20210059019A (ko) 2021-05-24
US20170040218A1 (en) 2017-02-09
JP2018006779A (ja) 2018-01-11
KR20190065488A (ko) 2019-06-11
EP3096357A1 (en) 2016-11-23
KR20180108872A (ko) 2018-10-04
TWI641140B (zh) 2018-11-11
US20190051558A1 (en) 2019-02-14
JP6605554B2 (ja) 2019-11-13
CN104795444A (zh) 2015-07-22
EP3096357B1 (en) 2024-03-13
TWI518904B (zh) 2016-01-21
JP2016028462A (ja) 2016-02-25
KR102115127B1 (ko) 2020-05-25
US9466565B2 (en) 2016-10-11
KR20210000325A (ko) 2021-01-04
KR101778717B1 (ko) 2017-09-14
EP2519975B1 (en) 2018-05-09
US20160155815A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
US11887891B2 (en) Self-aligned contacts