CN112470270B - Tsv上的偏移焊盘 - Google Patents

Tsv上的偏移焊盘 Download PDF

Info

Publication number
CN112470270B
CN112470270B CN201980048835.5A CN201980048835A CN112470270B CN 112470270 B CN112470270 B CN 112470270B CN 201980048835 A CN201980048835 A CN 201980048835A CN 112470270 B CN112470270 B CN 112470270B
Authority
CN
China
Prior art keywords
bonding surface
substrate
bonding
recess
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980048835.5A
Other languages
English (en)
Other versions
CN112470270A (zh
Inventor
B·李
G·高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Insulation Semiconductor Bonding Technology Co
Original Assignee
Evanss Adhesive Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Evanss Adhesive Technologies filed Critical Evanss Adhesive Technologies
Priority to CN202210538162.5A priority Critical patent/CN114899166A/zh
Publication of CN112470270A publication Critical patent/CN112470270A/zh
Application granted granted Critical
Publication of CN112470270B publication Critical patent/CN112470270B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0901Structure
    • H01L2224/0903Bonding areas having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80345Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80359Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

可以采用包括过程步骤的代表性技术和设备来减轻由于键合界面处的金属膨胀而导致的经键合微电子衬底的分层的可能性。例如,金属焊盘可以设置在微电子衬底中的至少一个微电子衬底的键合表面处,其中接触焊盘相对于衬底中的TSV偏移地定位并且电耦合到TSV。

Description

TSV上的偏移焊盘
优先权和相关申请的交叉引用
本申请要求根据35U.S.C.§119(e)(1)要求于2019年6月13日提交的美国非临时申请号16/440,633和于2018年6月13日提交的美国临时申请号62/684,505的权益,其全部内容通过引用并入本文。
技术领域
以下描述涉及集成电路(“IC”)。更具体地,以下描述涉及制造IC管芯和晶片。
背景技术
微电子元件通常包括由半导体材料(诸如硅或砷化镓之类)形成的薄平板(通常被称为半导体晶片)。晶片可以被形成为包括位于晶片的表面上和/或部分嵌入晶片内的多个集成芯片或管芯。与晶片分开的管芯通常作为单独、预先封装单元提供。在一些封装设计中,管芯被安装到衬底或芯片载体,而衬底或芯片载体又被安装在诸如印刷电路板(PCB)之类的电路面板上。例如,许多管芯提供在适于表面安装的封装中。
封装半导体管芯还能够以“堆叠”布置提供,其中一个封装被设置在例如电路板或其他载体上,而另一封装被安装在第一封装的顶部上。这些布置可以允许若干个不同的管芯或器件被安装在电路板上的单个覆盖区中,并且可以通过在封装之间提供短互连来进一步促进高速操作。通常,该互连距离只能稍微大于管芯本身的厚度。为了在管芯封装的堆叠内实现互连,可以在每个管芯封装(除了最顶部封装之外)的两侧(例如,多个面)上提供用于机械连接和电连接的互连结构。
附加地,管芯或晶片可以以三维布置堆叠,以作为各种微电子封装方案的一部分。这可以包括将一个或多个管芯、器件和/或晶片的层堆叠在较大的基部管芯、器件、晶片、衬底等上;以垂直布置或水平布置堆叠多个管芯或晶片;以及两者的各种组合。
管芯或晶片可以使用各种键合技术在堆叠布置中被键合,这些键合技术包括直接电介质键合、非粘合技术(诸如
Figure BDA0002909414900000021
)或混合键合技术(诸如
Figure BDA0002909414900000022
),两者均可从Invensas Bonding Technologies,Inc.(以前Ziptronix,Inc.)、Xperi公司获得。键合包括自发过程,当两个已准备好的表面放在一起时,在周围条件下发生该自发过程(参见,例如,美国专利号6,864,585和7,485,968,其全部内容通过引用并入本文)。
键合的管芯或晶片的相应配合表面通常包括嵌入式导电互连结构(其可以是金属)等。在一些示例中,键合表面被布置和对准,使得来自相应表面的导电互连结构在键合期间被接合。接合的互连结构在堆叠管芯或晶片之间形成连续导电互连(用于信号、功率等)。
实现堆叠管芯和晶片布置可能存在多种挑战。当使用直接键合技术或混合键合技术来键合堆叠的管芯时,通常期望要键合的管芯的表面极其平坦、光滑且干净。比如,通常,这些表面在表面拓扑上应当具有非常低的变化(即,纳米级变化),以使这些表面可以紧密配合以形成持久键合。
可以形成和制备双面管芯以用于堆叠和键合,其中管芯的两侧将被键合到其他衬底或管芯,诸如在多个管芯到管芯的应用或管芯到晶片的应用的情况下。制备管芯的两侧包括修整两个表面以满足电介质粗糙度规格和金属层(例如,铜等)凹部规格。比如,可以使键合表面处的导电互连结构稍微凹陷,刚好在键合表面的绝缘材料下方。键合表面下方的凹陷量可以由设备或应用的尺寸容差、规格、或物理限制确定。可以制备混合表面以使用化学机械抛光(CMP)工艺等与另一管芯、晶片或其他衬底键合。
通常,当包含电介质层和一个或多个金属特征(例如,嵌入式导电互连结构)的组合的直接键合表面被键合在一起时,电介质表面首先在较低温度下键合,之后由于金属在退火期间被加热,所以特征的金属膨胀。金属的膨胀会导致来自两个键合表面的金属接合成统一的导电结构(金属到金属键合)。虽然在退火期间同时加热衬底和金属,但相对于衬底的热膨胀系数(CTE),金属的CTE通常指示金属在特定温度(例如,
Figure BDA0002909414900000031
300℃)下的膨胀比衬底大得多。比如,铜的CTE为16.7,而熔融二氧化硅的CTE为0.55,而硅的CTE为2.56。
在一些情况下,对于直接键合而堆叠的管芯或晶片,金属相对于衬底的更大膨胀可能是个问题。如果金属焊盘位于穿硅过孔(through silicon via,TSV)上方,则TSV金属的膨胀会有助于焊盘金属的膨胀。在一些情况下,在膨胀金属上升到键合表面上方时,组合的金属膨胀会引起键合表面的局部分层。比如,膨胀金属可以将堆叠管芯的键合电介质表面分开。
附图说明
参考附图对具体实施方式进行阐述。在附图中,附图标记的一个或多个最左边数字标识该附图标记首次出现的附图。在不同附图中使用相同附图标记指示相似项或相同项。
为了进行讨论,图中所示的设备和系统被示为具有多个部件。如本文中所描述的,设备和/或系统的各种实现方式可以包括较少部件,并且仍然在本公开的范围内。可替代地,设备和/或系统的其他实现方式可以包括附加部件或所描述的部件的各种组合,并且仍在本公开的范围内。
图1A示出了具有键合焊盘和TSV的示例衬底的横截面。
图1B示出了图1A的示例衬底的俯视图。
图2示出了带有键合焊盘和TSV的两个示例经键合衬底的横截面、以及示例结果分层。
图3示出了根据一个实施例的带有相对于TSV偏移定位的键合焊盘的示例衬底的横截面。
图4示出了根据一个实施例的带有相对于TSV偏移定位的键合焊盘的示例衬底的横截面,该键合焊盘具有不平坦表面。
图5示出了根据一个实施例的带有相对于TSV偏移定位的键合焊盘和TSV上方设置的凹部的示例衬底的横截面。
图6至图14示出了根据一个实施例的带有相对于TSV偏移定位的键合焊盘的示例衬底的横截面,其图示了衬底的示例背侧过程。
图15示出了根据一个实施例的带有TSV和偏移键合焊盘的前到背键合的两个示例经键合衬底的横截面。
图16示出了根据一个实施例的带有示例TSV、偏移键合焊盘和应力凹部的前到背键合的两个示例经键合衬底的横截面。
图17示出了根据一个实施例的带有TSV、多个偏移键合焊盘和应力凹部的前到背键合的两个示例经键合衬底的横截面。
图18示出了根据一个实施例的带有TSV、偏移键合焊盘和应力凹部的背到背键合的两个示例键合衬底的横截面。
图19示出了根据一个实施例的带有TSV、偏移键合焊盘和应力凹部的前到前键合的两个示例键合衬底的横截面。
图20示出了根据各个实施例的用于对管芯进行热管理的示例TSV的图。
图21是示出了根据一个实施例的形成微电子组件,以减少或消除键合衬底的分层的示例过程的文本流程图。
发明内容
公开了代表性技术和设备,其包括用于制备各种微电子设备以进行键合(诸如无需粘合剂的直接键合)的过程步骤。在各种实施例中,可以采用技术来减轻由于金属膨胀而引起的分层的可能性,特别是当一个或两个要键合的器件的键合表面处存在TSV或TSV上方的键合焊盘时。例如,在一个实施例中,TSV可以部分地延伸通过器件的衬底,并且金属接触焊盘可以在键合表面处设置为相对于TSV偏移。比如,接触焊盘被设置为以使其不与TSV交叠。接触焊盘可以使用一个或多个导电迹线等电耦合到TSV。
在接触焊盘相对于TSV偏移定位的实施例中,焊盘的偏移避免了TSV的金属膨胀与焊盘的金属膨胀组合,从而可以减少或消除否则可能发生的分层。
在各种实现方式中,一种示例过程包括:将第一穿硅过孔(TSV)嵌入到具有第一键合表面的第一衬底中,其中第一TSV部分地延伸通过第一衬底,与第一键合表面正交并且不在第一键合表面处暴露。第一金属接触焊盘设置在第一键合表面处,相对于第一TSV偏移,不与第一TSV交叠,并且在第一键合表面下方部分地延伸到第一衬底中。第一金属接触焊盘使用一个或多个嵌入式导电迹线电耦合到第一TSV。
在各个示例中,基于第一金属接触焊盘的直径或表面积或用于第一金属接触焊盘的预测凹部,可以选择或形成接触焊盘。比如,在一个实施例中,该过程包括:基于估计来确定第一金属接触焊盘相对于第一键合表面的期望凹部,以允许第一金属接触焊盘的材料膨胀,并且当对第一金属接触焊盘进行平坦化时,选择或形成第一金属接触焊盘以具有可能导致期望凹部的周边形状。这可以包括:预测由于平坦化而可能在第一金属接触焊盘的表面中发生的凹陷量。在另一实施例中,该过程包括:基于预测来在第一金属接触焊盘的表面中形成期望凹部(在键合之前)。
在各个实施例中,该过程包括:通过选择第一金属接触焊盘并且使第一接触焊盘相对于TSV偏移来减少或消除经键合微电子部件的分层。
附加地或可替代地,可以对第一衬底的背侧进行处理以供键合。可以在第一衬底的背侧上沉积一个或多个预选材料绝缘层,以利于TSV的适当露出和平坦化,并且当要直接键合第一衬底的背侧时,形成电介质表面以供键合。
进一步地,第一TSV以及第一衬底内的其他TSV可以用于在第一衬底内和/或远离第一衬底引导或传递热量。在一些实现方式中,热传递TSV可以部分或全部延伸通过第一衬底的厚度,并且可以包括导热阻挡层。在这样的示例中,TSV周围正常使用的趋于隔热的阻挡层反而可以使用导热层替换。在各个实现方式中,一些TSV可以用于信号传递和热传递。
在一个实施例中,一种微电子组件包括第一衬底,该第一衬底包括带有具有第一预先确定的最大表面变化的平坦化形貌的第一键合表面。第一硅穿孔(TSV)嵌入在第一衬底中并且部分地延伸通过第一衬底。第一TSV与第一键合表面正交延伸并且不在第一键合表面处暴露。
第一金属接触焊盘设置在第一键合表面处并且电耦合到第一TSV。第一金属接触焊盘相对于第一TSV偏移设置,不与第一TSV交叠,并且在第一键合表面下方部分地延伸到第一衬底中。一个或多个嵌入式导电迹线将第一TSV电耦合到第一金属接触焊盘。
参考电气部件和电子部件以及各种载体对各种实现方式和布置进行了讨论。虽然提及了特定部件(即,管芯、晶片、集成电路(IC)芯片管芯、衬底等),但这并不旨在是限制性的,并且为了便于讨论和说明方便。参考晶片、管芯、衬底等所讨论的技术和设备可应用于任何类型或数目的电子部件、电路(例如,集成电路(IC)、混合电路、ASICS、存储器设备、处理器等)、部件组、封装部件、结构(例如,晶片、面板、板、PCB等)等,其可以被耦合以彼此进行接口连接、并且与外部电路、系统、载体等进行接口连接。这些不同部件、电路、组、封装、结构等中的每个部件、电路、组、封装、结构等都可以统称为“微电子部件”。为了简单起见,除非另有说明,否则键合到另一部件的部件本文中被称为“管芯”。
该发明内容并不旨在给出完整描述。下文使用多个示例对实现方式进行更详细的解释。尽管本文中和下文对各种实现方式和示例进行了讨论,但是通过组合各个实现方式和示例的特征和元件,其他实现方式和示例是可能的。
具体实施方式
概述
参考图1A(其示出了横截面轮廓视图)和图1B(其示出了俯视图),图案化的金属和氧化物层经常作为混合键合、或
Figure BDA0002909414900000071
表面层而被设置在管芯、晶片或其他衬底(以下称为“管芯102”)上。可以使用各种技术来形成代表性器件管芯102,以包括基部衬底104和一个或多个绝缘或电介质层106。基部衬底104可以由硅、锗、玻璃、石英、电介质表面、直接间隙半导体材料或层、或间接间隙半导体材料或层或其他合适材料组成。绝缘层106被沉积或形成在衬底104上方,并且可以由诸如氧化物、氮化物、氧氮化物、碳氧化物、碳化物、碳氮化物、金刚石、类金刚石材料、玻璃、陶瓷、玻璃-陶瓷等之类的无机电介质材料层组成。
器件晶片102的键合表面108可以包括导电特征,诸如接触焊盘110、迹线112、以及其他互连结构,这些导电特征例如被嵌入绝缘层106中,并且被布置为使如果期望,则来自相面对的器件的相应键合表面108的导电特征110可以在键合期间被配合和接合。接合的导电特征110可以在堆叠器件之间形成连续导电互连(用于信号、功率等)。
镶嵌工艺(damascene process)(或类似工艺)可以用于在绝缘层106中形成嵌入式导电特征110。导电特征110可以由金属(例如,铜等)或其他导电材料或材料的组合组成,并且包括结构、迹线、焊盘、图案等。在一些示例中,在沉积导电特征110的材料之前,可以在用于导电特征110的空腔中沉积阻挡层,使得阻挡层设置在导电特征110与绝缘层106之间。阻挡层可以由例如钽或另一导电材料组成,以防止或减少导电特征110的材料扩散到绝缘层106中。在形成导电特征110之后,可以对器件晶片102的包括绝缘层106和导电特征110在内的暴露表面进行平坦化(例如,经由CMP),以形成平坦键合表面108。
形成键合表面108包括:修整表面108以满足电介质粗糙度规格和金属层(例如,铜等)凹部规格,以制备表面108以供直接键合。换句话说,键合表面108被形成为尽可能平坦光滑,其中表面拓扑变化非常小。诸如化学机械抛光(CMP)、干法蚀刻或湿法蚀刻等之类的各种传统工艺可以用于实现低表面粗糙度。这些工艺提供了导致可靠键合的平坦光滑表面108。
在双面管芯102的情况下,可以在管芯102的两侧上提供具有制备好的键合表面108的图案化金属和绝缘层106。绝缘层106通常高度平坦(粗糙度通常达到纳米级),其中金属层(例如,嵌入式导电特征110)位于键合表面108处或正好凹陷到键合表面108下面。通常,绝缘层106的表面108下面的凹陷量由尺寸公差、规格或物理限制确定。键合表面108通常使用化学机械抛光(CMP)步骤和/或其他制备步骤来制备,以与另一管芯、晶片或其他衬底直接键合。
一些嵌入式导电特征或互连结构可以包括金属焊盘110或导电迹线112,其在所制备的表面108下方部分地延伸到电介质衬底106中。比如,一些图案化金属(例如,铜)特征110或112可以是约0.5微米至2微米厚。这些特征110或112的金属可以在退火期间随着金属的加热而膨胀。其他导电互连结构可以包括金属(例如,铜)穿硅过孔(TSV)114等,其在与键合表面108正交的情况下部分地延伸通过或完全延伸通过衬底102、并且包括大量金属。比如,依据衬底102的厚度,TSV 114可以延伸约50微米。TSV 114的金属当被加热时还可以膨胀。焊盘110和/或迹线112可以或不可以电耦合到TSV 114,如图1A所示。
参考图2,可以在不使用粘合剂的情况下,将管芯102直接键合到具有金属焊盘110、迹线112和/或TSV 114的其他管芯102。如果金属焊盘110位于TSV 114上方(与TSV 114交叠并且物理和电性地耦合到该TSV 114),则TSV 114金属的膨胀可以有助于焊盘110金属的膨胀。在一些情况下,随着膨胀金属上升到键合表面108上方,组合的金属膨胀会在TSV114(或TSV 114/焊盘110的组合)的地点处导致键合表面的局部分层202。比如,经膨胀金属可以使堆叠管芯102的键合的电介质表面108分开。
示例实施例
参考图3至图5,在各种实施例中,可以采用技术来减轻由于金属膨胀而引起的分层的可能性。例如,在一个实施例中,接触焊盘110可以设置在键合表面108上,相对于TSV114偏移,并且不与TSV 114交叠。接触焊盘110可以嵌入在电介质层106中,部分地延伸到键合表面108下方的电介质层106中,并且使用迹线112等电耦合到TSV114。在一些实施例中,金属焊盘110的尺寸可以基于焊盘110的材料、其厚度以及CMP处理期间的预期凹部来选择。
在各个实现方式中,当对管芯102进行热退火并且TSV 114的金属和接触焊盘110膨胀时,将接触焊盘110相对于TSV 114偏移设置(例如,接触焊盘110未设置在TSV 114上方或不与TSV 114交叠)减小或消除了键合的管芯102的分层。在各个实现方式中,TSV 114不会(或不太可能)将其膨胀金属贡献给经偏移的焊盘110的膨胀金属。因而,焊盘110中的预先确定的凹部可以足以为焊盘110的材料膨胀提供空间。
在一个实施例中,通过如下的方式来选择或形成接触焊盘110的尺寸:基于接触焊盘110的材料的体积和接触焊盘110的材料的热膨胀系数(CTE)来估计接触焊盘110的材料当被加热到预选温度(~300°)时将膨胀的量、并且预测接触焊盘110的材料当被加热到预选温度时将膨胀的量。接触焊盘110与电介质层106的键合表面108一起被平坦化,其包括基于估计和预测接触焊盘110的材料在预先确定的温度下的膨胀,使接触焊盘110凹陷以相对于键合表面108具有预先确定的凹陷深度(或量)。
在一个实施例中,可以(经由酸蚀刻、等离子体氧化等)选择性地蚀刻接触焊盘110以提供期望凹陷深度(以容纳所预测的金属膨胀)。在另一示例中,如图4所示,可以选择、形成或处理焊盘110或对应TSV 114,以使其具有非均匀顶部表面而作为膨胀缓冲器。例如,参考图4,焊盘110的顶部表面可以被形成或选择性地蚀刻为圆化、半球形、凸形、凹形、不规则形、或其他不平坦形状,以允许用于材料膨胀的附加空间402。
基于对接触焊盘110的材料当被加热时将膨胀的量的预测,可以确定并形成附加空间402。在各个实现方式中,接触焊盘110的顶部表面可以在沉积期间被形成为非均匀,或在形成接触焊盘110之后,该接触焊盘110可以被蚀刻、研磨、抛光、或以其他方式使其非均匀。在一些情况下,在键合表面108的CMP期间,可以使焊盘110的顶部表面非均匀。
附加地或可替代地,金属焊盘110周围的电介质106可以被形成或成形,为允许焊盘110的金属膨胀留出空间。在一个示例中,CMP工艺可以用于对金属焊盘110周围的电介质106的表面108进行成形,或在其他示例中,可以使用其他工艺,以使焊盘110周围的电介质106包括凹部或其他间隙,该凹部或其他间隙为金属膨胀提供了空间。在一个实施例中,可以在制备键合表面108的同时,使电介质106凹陷(例如,使用CMP)。在实施例中,金属焊盘110和电介质106可以同时凹陷(但是以不同速率)。比如,该工艺可以在使金属焊盘110凹陷的同时,在金属焊盘110的边缘周围的电介质106中形成腐蚀。
在各个实施例中,焊盘110和/或TSV 114由铜、铜合金等构成。在另一实施例中,可以使焊盘110和/或TSV 114的材料不同,以控制金属膨胀和可能形成的分层。比如,在一些实施例中,焊盘110和/或TSV 114可以由不同的导电材料组成,这些材料的CTE可能较低。在一些实施例中,TSV 114可以由与接触焊盘110不同的导电材料(具有较低的CTE)组成。例如,TSV 114可以由钨、合金等组成。
在其他实施例中,可以使TSV 114的材料的体积发生变化,以控制金属膨胀和可能形成的分层。比如,在一些实施例中,当这在设计规格内允许时,可以使用具有预选的材料体积(例如,材料体积的较小)的TSV 114。TSV 114的体积的预先选择可以基于TSV 114的预测材料膨胀。
可替代地,TSV 114的顶部表面可以被布置为在键合表面108处暴露并且用作接触焊盘。这种布置可以避免金属焊盘110的膨胀与TSV 114的膨胀进行组合,从而最小化或消除分层。
在另一实现方式中,如图5所示,凹部502设置在键合表面108中并且通过绝缘层106的一部分,以为TSV 114在z方向上的材料膨胀提供应力消除。比如,可以通过蚀刻电介质层106来形成凹部502。在实现方式中,凹部502的至少一部分设置在TSV 114上方(例如,与之交叠)。例如,基于TSV 114的特定金属的体积,使用对TSV 114的膨胀的预测,凹部502可以例如根据TSV 114的体积而被调整。在一些情况下,凹部502的直径或面积大于TSV 114的直径或横截面积。
凹部502可以暴露或不暴露TSV 114。凹部502的深度可以延伸到TSV 114或迹线112的顶部(比如,如果期望与TSV 114或迹线112接触),但是凹部502的深度通常更浅,并且TSV 114和/或迹线112仍然由绝缘层106的一部分覆盖。凹部502可以保持敞开或可以填充有诸如柔顺材料之类的材料。
在制备键合表面108之后(例如,通过CMP),比如,在无需粘合剂的情况下,管芯102可以直接键合到具有金属焊盘110、迹线112和/或TSV 114的其他管芯102。在相面对的管芯102的配合接触焊盘110键合以形成单个导电互连时,TSV 114的材料和焊盘110的材料在加热退火期间膨胀。然而,由于TSV 114的膨胀金属不与接触焊盘110的膨胀金属结合(因为接触焊盘110偏离TSV 114),所以金属膨胀不会引起键合表面的分层。
进一步地,如果接触焊盘110充分凹陷,则接触焊盘110的膨胀金属不会使堆叠管芯102的经键合电介质表面108分开(参见图15至图19)。当使用诸如CMP之类的表面制备工艺来制备管芯102的键合表面108时,由于接触焊盘110(比如,其可以包括铜)相对于电介质106(例如,其可以包括氧化物)的柔软性,所以键合表面108上的金属焊盘110可能相对于电介质106凹陷(有意地或无意地)。
在各个实施例中,基于所使用的表面制备技术(例如,所使用的化学组合、抛光装备的速度等)、电介质层106和金属焊盘110的材料、金属焊盘110的间隔或密度、以及金属焊盘110的尺寸(例如,面积或直径),可以预测金属焊盘110的凹陷量。在实施例中,基于金属焊盘110的凹部预测和预期金属膨胀,可以选择金属焊盘110(例如,针对特定金属厚度)的面积或直径,以避免经键合的管芯102的分层。
在各个实施例中,基于金属焊盘110的凹陷预测和预期金属膨胀,可以定制或选择被定位为偏离TSV 114的接触焊盘110的形状和尺寸,以避免分层。
附加实施例
图6至图14图示了根据各个实施例的背侧管芯102处理的示例。在一些实现方式中,在管芯102被堆叠并且被直接键合而无需粘合剂的情况下,当制备背侧602以用于直接键合时,管芯102的背侧602可以接收与顶部侧键合表面108不同的制备。代替在管芯102的背侧602上形成电介质层106,可以以不同方式制备背侧602以减少过程步骤,降低制造成本或其他原因。
在一个实现方式中,制备背侧602,以使TSV 114暴露,以用作用于键合到导电焊盘、互连或其他导电键合表面的接触表面。该制备可以包括:沉积一个或多个绝缘材料层并且对(例如,经由CMP)绝缘材料进行平坦化以露出TSV 114。然而,在一些情况下,TSV 114的材料在加热退火期间的膨胀会导致绝缘材料和/或衬底104被损坏。
在一个实施例中,如图6至图14所示,可以在背侧602上沉积具有不同残余应力特点的一个或多个无机电介质材料层,以平衡管芯102的器件侧上的应力并且使单片化之后的管芯翘曲最小。可以对绝缘材料层进行平坦化,或者以其他方式被制备为管芯102的背侧602上的键合表面。
如图6所示,TSV 114被设置在管芯102内,横向于管芯102的键合表面108。电介质衬里和扩散阻挡604包围TSV 114,以防止TSV 114的金属(例如,铜)扩散到基部衬底104的材料(例如,硅)中。减薄并选择性地蚀刻基部衬底104以暴露TSV 114的底部端,其中衬里和扩散阻挡层604保持完整。在一个实施例中,如图6所示,另一扩散阻挡层606沉积在管芯102的背侧602的表面上。在一个示例中,扩散阻挡606包括诸如氮化物等之类的电介质。
在各个实施例中,具有不同残余应力特点的一个或多个电介质层然后沉积到管芯102的背侧602上,以防止当TSV 114的材料膨胀时,对管芯102的损坏。例如,包括诸如氧化物之类的第一低温电介质的第一层608可以沉积在背侧602上方,其包括沉积在扩散层606上方。图7示出了前侧键合表面108上形成有接触焊盘110的这种场景。
如图8所示,对背侧602(该背侧602包括一个或多个电介质层608)进行平坦化(例如,经由CMP),以形成用于直接键合的平坦光滑键合表面。剩余电介质层608可以基于电介质层608的残余应力特点来辅助翘曲控制。
在一个实施例中,如图9至图10所示,接触焊盘1004(或其他导电结构)可以在管芯102的背侧602上耦合到TSV 114。如图9所示,在沉积第一低温氧化物应力层608(其在一些实现方式中还包括键合层)之后,可以在第一层608上方沉积第二电介质层902(其可以包括低温氧化物)。在两个氧化物层(608和902)之间不需要阻挡层或粘合层。在各个实现方式中,第一层608和第二层902由相似或相同的材料(厚度不同)组成。在其他实现方式中,第一层608和第二层902由不同的材料组成。在备选实现方式中,附加电介质层还可以沉积在第一层608和第二层902上方。
背侧602被图案化并敞开(例如,蚀刻等),以用于沉积导电焊盘1004。如图9所示,氧化物层608和902中的开口904的形状可以与TSV 114的形状不同。(用于RDL层的开口最可能是线,而非圆)。
在一个实施例中,用于导电焊盘1004的开口904延伸通过第二层902并且部分(10nm至1000nm)延伸到第一层608中。阻挡层/粘合层1002(包括钛/氮化钛、钽/氮化钽等)可以沉积到开口904中(并且可以覆盖开口904的整个表面),如图10所示。铜(或类似物)沉积/镀覆(例如,镶嵌工艺)填充开口904,该开口904被平坦化(例如,经由CMP)以移除多余铜、并且将所得导电焊盘1004的凹部设置为指定深度。此时,可以制备背侧602表面以用于键合。可替代地,根据需要,双镶嵌工艺可以用于形成一互连,诸如导电结构1004。
在另一实施例中,如图11所示,可以在背侧602的表面上方(例如,第二层902和导电焊盘1004上方)沉积可以包括氮化硅等的薄(大约10nm至500nm)粘合层1102,随后沉积第三电介质层1104(例如,氧化物)作为背侧602的键合层(例如,DBI层)。可以对第三电介质层1104(顶部层)的厚度和导电焊盘1004的厚度进行调整,以使薄管芯翘曲最小并且实现期望退火温度。在各个实现方式中,第一层608、第二层902和第三层1104由相似或相同的材料(厚度不同)组成。在其他实现方式中,第一层608、第二层902和/或第三层1104中的一个或多个层由不同的材料组成。在备选实现方式中,还可以在第一层608、第二层610和第三层1104上方沉积附加电介质层,以平衡器件侧上的应力并且使晶片翘曲最小。
如图12所示,可以对第三层1104进行图案化和蚀刻,以用于焊盘1204的沉积。在第三层1104中蚀刻开口之后,可以沉积扩散层/粘合层1202(例如,Ti/TiN),以对开口覆盖衬里,之后使用导电材料(例如,铜)(例如,经由镶嵌工艺)填充开口,以形成焊盘1204。对焊盘1204和第三层1104进行平坦化(例如,使用CMP),以制备用于直接键合的背侧602、并且使焊盘1204凹陷以满足规格。在一个备选实施例中,如图13所示,双镶嵌工艺可以用于添加焊盘1204作为过孔层1302的一部分。
在一个实现方式中,如图14所示,可以在TSV 114上方的背侧602上蚀刻凹部1402,作为在退火期间用于金属膨胀的应力消除部。凹部1402设置在第三层1104(或背侧602的另一最上层(other top-last layer))的表面中、并且贯穿第三层1104的一部分,以为TSV114在z方向上的材料膨胀提供应力消除部。在该实现方式中,凹部1402的至少一部分被设置在TSV 114上方(例如,与之交叠)。基于TSV114的特定金属的体积,使用TSV 114的材料膨胀的预测,可以例如根据TSV 114的体积来调节凹部1402。在一些情况下,凹部1402的直径或面积大于TSV 114的直径或横截面积。凹部1402可以保持敞开或可以填充有诸如柔顺材料之类的材料。
在其他实施例中,备选技术可以用于减少或消除由于金属特征膨胀而引起的分层,并且仍在本公开的范围内。
图15至图19示出了参考图6至图14而形成的、具有前侧108和背侧602的互连性的管芯102(以及类似结构)的示例堆叠布置。例如,图15示出了示例“前到背”管芯102的堆叠布置。这将第一管芯102的前侧的键合表面108键合到第二管芯102的背侧602的键合表面,其包括将第一管芯102的接触焊盘110键合到第二管芯102的接触焊盘1204。在一个示例中,如上文所讨论的,第一管芯102和第二管芯102的导电结构1004穿透到第一管芯102和第二管芯102的相应键合表面602下方的第二电介质层902和第一电介质层608(而不穿过第一电介质层608)中。
图16示出了另一示例“前到背”管芯102堆叠布置。在图16所示的实施例中,每个管芯102包括凹部1402,该凹部位于管芯102的背侧602处并且通过最顶部层(在该示例中,第三层1104)。如上文所讨论的,凹部1402在加热退火期间提供来自的TSV 114的膨胀材料的应力消除。在一个实现方式中,凹部1402可以填充有柔顺材料。在一个示例中,如上文所讨论的,第一管芯102和第二管芯102的导电结构1004穿透到第一管芯102和第二管芯102的相应键合表面602下方的第二电介质层902和第一电介质层608(而不穿过第一电介质层608)。
图17示出了另一示例“前到背”管芯102堆叠布置。在图17所示的实施例中,每个管芯102包括多个接触焊盘110(其可以通过一个或多个迹线112等而被耦合到相应TSV 114)、和多个接触焊盘1204(其可以通过导电结构1004等耦合到相应TSV 114)。第一管芯102和第二管芯102被堆叠,以使第一管芯102的多个接触焊盘110被键合到第二管芯102的多个接触焊盘1204。
在各种实现方式中,管芯102可以包括凹部1402,该凹部1402设置在相应TSV 114上方的背侧602上(如图17所示),以在加热退火期间提供来自TSV 114的膨胀材料的应力消除。在一个实现方式中,凹部1402可以填充有柔顺材料。在一个示例中,如上文所讨论的,第一管芯102和第二管芯102的导电结构1004穿透到第一管芯102和第二管芯102的相应键合表面602下方的第二电介质层902和第一电介质层608(而不穿过第一电介质层608)。
图18示出了示例“背到背”管芯102堆叠布置。这将第一管芯102的背侧602的键合表面键合到第二管芯102的背侧602的键合表面,其包括将第一管芯102的接触焊盘1204键合到第二管芯102的接触焊盘1204。在一个示例中,第一管芯102和第二管芯102的导电结构1004穿透到第一管芯102和第二管芯102的相应键合表面602下方的第二电介质层902和第一电介质层608(而不穿过第一电介质层608)。
图19示出了示例“前到前”管芯102的堆叠布置。这将第一管芯102的前侧键合表面108键合到第二管芯102的前侧键合表面108,其包括将第一管芯102的一个或多个接触焊盘110键合到第二管芯102的一个或多个接触焊盘110。在所示的示例中,接触焊盘110通过一个或多个迹线112等电耦合到相应管芯102的TSV 114。在一个示例中,如上文所讨论的,第一管芯102和第二管芯102的导电结构1004穿透到第一管芯102和第二管芯102的相应键合表面602下方的第二电介质层902和第一电介质层608(而不穿过第一电介质层608)。
在各个实施例中,如图20所图示的,除了电信号之外或代替电信号,一组堆叠管芯102的TSV 114中的一个或多个TSV 114可以用于传导热。例如,在一些情况下,将散热器(或其他传热设备)附接到一组堆叠管芯102的管芯102上以减轻管芯102所生成的热量可能不切实际或不太可能。可以根据期望寻找其他技术以传递热量。
在各个实施例中,如图20所示,可以采用包括TSV 114的各种配置,该TSV 114部分地或全部地延伸通过管芯102的TSV,以将热量传导离开管芯102(或远离管芯102的发热部分)。一个管芯102的TSV 114可以与第二管芯102的TSV 114、接触焊盘110、迹线112等结合使用,以完成从一个管芯102到另一管芯102的热传递等。第一管芯102的TSV 114可以直接键合(例如,DBI)到第二管芯102的TSV 114、接触焊盘110、迹线112等,以实现高性能的导热性。
在一个实现方式中,TSV 114、接触焊盘110、迹线112等中的一些TSV 114、接触焊盘110、迹线112等是电浮置结果或“虚拟”结构,其可以用于热传递。这些结构可以根据需要将热量传导远离高功率芯片102,或传导到另一芯片102或衬底。虚拟接触焊盘110可以经由最后热TSV或中间热TSV 114而被耦合以用于热传导。
在各个实施例中,扩散阻挡层604(该扩散阻挡层604包围TSV114并且可以是热约束阻挡或热阻挡)可以被由具有一定导热性的不同材料制成的扩散阻挡(诸如金属阻挡或合金阻挡等)替换。
示例过程
图21图示了代表性过程2100,其用于制备各种微电子部件(例如,诸如管芯102),这些部件用于诸如在无需粘合剂的情况下直接键合之类的键合,同时减少或消除由于嵌入式结构在键合表面处的金属膨胀而引起的分层的可能性。比如,由于TSV和接触焊盘的材料在加热退火期间会膨胀,所以键合表面处的穿硅过孔(TSV)可能导致分层,尤其是当耦合到接触焊盘时。该过程参考图1至图20。
描述过程的次序不旨在被解释为限制性的,并且过程中的任何数目的所描述的过程框可以以任何次序组合以实现该过程或备选过程。附加地,在没有背离本文中所描述的主题的精神和范围的情况下,可以从过程中删除各个框。更进一步地,可以在没有背离本文中所描述的主题的范围的情况下,以任何合适硬件、软件、固件或其组合来实现该过程。在备选实现方式中,其他技术可以各种组合包括在该过程中,并且仍在本公开的范围内。
在一个实现方式中,使用各种技术形成管芯、晶片或其他衬底(“衬底”),以包括基部衬底和一个或多个电介质层。在该实现方式中,在框2102处,该过程2100包括:将第一穿硅过孔(TSV)(例如,诸如TSV 114)嵌入具有第一键合表面(例如,诸如键合表面108)的第一衬底中,第一TSV部分地延伸通过第一衬底,与第一键合表面正交并且未在第一键合表面处暴露。
在实现方式中,在框2104处,该过程包括:在第一键合表面处设置第一金属接触焊盘(例如,接触焊盘110),该第一金属接触焊盘相对于第一TSV偏移、不与第一TSV交叠并且在第一键合表面下方部分地延伸到第一衬底中。在一个实现方式中,该过程包括:基于第一金属接触焊盘的材料的体积和第一金属接触焊盘的材料的CTE,预测第一金属接触焊盘的材料当被加热到预选温度时将膨胀的量;以及基于预测来选择第一金属接触焊盘。在一个示例中,选择包括:选择第一金属接触焊盘的直径或表面积。
在另一示例中,该过程包括:确定第一金属接触焊盘相对于第一键合表面的期望凹部,以允许第一金属接触焊盘的材料膨胀;以及,选择第一金属接触焊盘,以当第一金属接触焊盘被平坦化时具有可能导致期望凹部的周边形状。在一个实施例中,该过程包括:基于第一金属接触焊盘的直径或面积,预测由于平坦化而可能在第一金属接触焊盘的表面中发生的凹陷量;以及基于预测来选择第一金属接触焊盘。
在一个实现方式中,该过程包括:确定第一金属接触焊盘相对于第一键合表面的期望凹部,以允许第一金属接触焊盘的材料膨胀;在第一金属接触焊盘的表面中形成期望凹部。在一个示例中,该过程包括:将第一金属接触焊盘的表面形成为具有半球形拓扑或非均匀拓扑。
在框2106处,该过程包括:使用一个或多个嵌入式导电迹线(例如,诸如导电迹线112),将第一金属接触焊盘电耦合到第一TSV。
在一个实现方式中,该过程包括:对第一键合表面进行平坦化,以具有用于直接键合的预先确定的最大表面变化;并且对第一金属接触焊盘进行平坦化,以相对于第一键合表面具有预先确定的凹部。
在一个实现方式中,该过程包括:在第一TSV上方的第一键合表面中形成凹部(例如,诸如凹部502)。在一个示例中,该过程包括:基于第一TSV的材料的体积和第一TSV的材料的热膨胀系数(CTE),估计第一TSV的材料当被加热到预选温度时将膨胀的量;并且基于第一TSV的材料的体积和第一TSV的材料的热膨胀系数(CTE),确定第一键合表面中的凹部的深度和面积。比如,该过程可以包括:在第一键合表面中形成凹部,以使其直径比第一TSV的直径大预先确定的量。
在一个实现方式中,该过程包括:在第一衬底的与绝缘层相对的第二表面上沉积一个或多个绝缘应力消除层,以及对一个或多个应力消除层进行平坦化,以形成具有第二预先确定的最大表面变化的第二键合表面。在一个示例中,该过程包括:在第一衬底的第二表面上沉积第一低温绝缘层,在第一低温绝缘层上方沉积第二低温绝缘层,以及在第二低温绝缘层上方沉积第三绝缘层,以形成第二键合表面。
在一个实现方式中,该过程包括:对第二低温绝缘层进行图案化;在第一TSV上方蚀刻开口,该开口延伸通过第二低温绝缘层并且部分通过第一低温绝缘层;在开口内沉积导电材料以形成导电焊盘,该导电焊盘电耦合到第一TSV;并且在第二低温绝缘层和导电焊盘上方沉积第三绝缘层。在一个示例中,该过程包括:在将导电材料沉积在开口内之前,将阻挡层沉积到开口的被暴露表面上。
在另一实现方式中,该过程包括:对第三绝缘层进行图案化;在导电焊盘上方蚀刻第二开口,该第二开口延伸通过第三绝缘层并且暴露导电焊盘;以及在第二开口内沉积导电材料以形成电耦合到导电焊盘的第二接触焊盘。
在一个实现方式中,该过程包括:在第一衬底的第二键合表面处或在第一衬底的第一键合表面处,使用直接电介质到电介质非粘合剂键合技术将第一衬底直接键合到第二衬底。
在一个备选实现方式中,该过程包括:经由第一TSV以及嵌入到第二衬底内并暴露在第二衬底的键合表面处的一个或多个导电结构,将热量从第一衬底传递到第二衬底。
在各种实施例中,与本文中所描述的过程步骤相比较,可以修改或消除一些过程步骤。
本文中所描述的技术、部件和设备不限于图1至图21的图示,并且在没有背离本公开的范围的情况下,可以应用于其他设计、类型、布置、以及构造,其包括与其他电气部件一起应用在内。在一些情况下,附加或备选部件、技术、序列或过程可以用于实现本文中所描述的技术。进一步地,可以以各种组合布置和/或组合部件和/或技术,同时产生的结果相似或近似相同。
结论
尽管已经以特定于结构特征和/或方法动作的语言对本公开的实现方式进行了描述,但是应当理解,实现方式不必限于所描述的特定特征或动作。相反,特定特征和动作被公开为实现示例设备和技术的代表性形式。

Claims (13)

1.一种形成微电子组件的方法,包括:
将第一穿硅过孔提供到具有第一键合表面的第一衬底中,所述第一穿硅过孔在与所述第一键合表面正交的方向上延伸通过所述第一衬底的至少一部分,而不在所述第一键合表面处暴露;
在所述第一键合表面中提供凹部,所述凹部在与所述第一键合表面正交的方向上与所述第一穿硅过孔对准并且与所述第一穿硅过孔交叠;
在所述第一键合表面处提供第一金属接触焊盘,所述第一金属接触焊盘在与所述第一键合表面正交的所述方向上相对于所述第一穿硅过孔偏移并且不与所述第一穿硅过孔交叠,所述第一金属接触焊盘使用一个或多个嵌入式导电迹线而被电耦合到所述第一穿硅过孔;以及
将所述第一衬底键合到第二衬底,所述凹部被设置在所述第一衬底和所述第二衬底之间的键合界面处。
2.根据权利要求1所述的形成微电子组件的方法,其中所述一个或多个嵌入式导电迹线被提供为重分布层的一部分,并且所述重分布层的至少一部分被设置在所述第一穿硅过孔与所述凹部之间。
3.根据权利要求1所述的形成微电子组件的方法,还包括:在所述第一键合表面中提供所述凹部,以使所述凹部的直径比所述第一穿硅过孔的直径大预先确定的量。
4.根据权利要求1所述的形成微电子组件的方法,其中所述凹部被配置为在键合过程期间补偿所述第一穿硅过孔的膨胀。
5.根据权利要求1所述的形成微电子组件的方法,还包括:从所述第一衬底移除材料,以在与所述第一键合表面相对的一侧处暴露所述第一穿硅过孔。
6.根据权利要求1所述的形成微电子组件的方法,还包括:使所述第一金属接触焊盘的暴露表面成形。
7.一种形成微电子组件的方法,包括:
形成第一衬底以具有基部层以及所述基部层上的绝缘层,所述绝缘层具有第一键合表面,第一穿硅过孔在与所述第一键合表面正交的方向上至少部分地延伸通过所述第一衬底的所述基部层,而不在所述第一键合表面处暴露;
在所述第一键合表面中形成与所述第一穿硅过孔交叠的凹部,所述凹部被配置为在键合步骤期间补偿所述第一穿硅过孔的热膨胀;
在所述第一键合表面处设置第一金属接触焊盘,所述第一金属接触焊盘相对于所述第一穿硅过孔的位置偏移;
使用一个或多个嵌入式导电迹线将所述第一金属接触焊盘电耦合到所述第一穿硅过孔;以及
在所述第一衬底的所述第一键合表面处,使用直接电介质到电介质、非粘合剂键合技术将所述第一衬底直接键合到第二衬底。
8.根据权利要求7所述的形成微电子组件的方法,还包括:对所述第一键合表面进行平坦化,以具有用于直接键合的预先确定的最大表面变化,并且对所述第一金属接触焊盘进行平坦化,以相对于所述第一键合表面具有预先确定的凹部。
9.根据权利要求7所述的形成微电子组件的方法,还包括:在所述第一衬底的与所述绝缘层相对的第二表面上沉积一个或多个无机电介质层,并且对所述一个或多个无机电介质层进行平坦化,以形成具有第二预先确定的最大表面变化的第二键合表面。
10.根据权利要求9所述的形成微电子组件的方法,还包括:在所述第一衬底的所述第二键合表面处,使用直接电介质到电介质、非粘合剂键合技术将所述第一衬底直接键合到第二衬底。
11.根据权利要求9所述的形成微电子组件的方法,其中所述沉积包括:在所述第一衬底的所述第二表面处沉积第一低温绝缘层,在所述第一低温绝缘层上沉积第二低温绝缘层,以及在所述第二低温绝缘层上沉积第三绝缘层,以形成所述第二键合表面。
12.根据权利要求11所述的形成微电子组件的方法,还包括:
对所述第二低温绝缘层进行图案化;
在所述第一穿硅过孔上蚀刻开口,所述开口延伸通过所述第二低温绝缘层并且部分地延伸通过所述第一低温绝缘层;
在所述开口内沉积导电材料以形成导电焊盘,所述导电焊盘被电耦合到所述第一穿硅过孔;以及
相对于所述第二键合表面在所述导电材料中或在所述导电材料上方形成凹部。
13.根据权利要求12所述的形成微电子组件的方法,还包括:在将所述导电材料沉积在所述开口内之前,将粘合层或阻挡层沉积到所述开口的暴露表面上。
CN201980048835.5A 2018-06-13 2019-06-13 Tsv上的偏移焊盘 Active CN112470270B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210538162.5A CN114899166A (zh) 2018-06-13 2019-06-13 Tsv上的偏移焊盘

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684505P 2018-06-13 2018-06-13
US62/684,505 2018-06-13
PCT/US2019/037055 WO2019241561A1 (en) 2018-06-13 2019-06-13 Offset pads over tsv

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210538162.5A Division CN114899166A (zh) 2018-06-13 2019-06-13 Tsv上的偏移焊盘

Publications (2)

Publication Number Publication Date
CN112470270A CN112470270A (zh) 2021-03-09
CN112470270B true CN112470270B (zh) 2022-06-03

Family

ID=68840253

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201980051599.2A Pending CN112585740A (zh) 2018-06-13 2019-06-12 作为焊盘的tsv
CN201980048835.5A Active CN112470270B (zh) 2018-06-13 2019-06-13 Tsv上的偏移焊盘
CN202210538162.5A Pending CN114899166A (zh) 2018-06-13 2019-06-13 Tsv上的偏移焊盘

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201980051599.2A Pending CN112585740A (zh) 2018-06-13 2019-06-12 作为焊盘的tsv

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210538162.5A Pending CN114899166A (zh) 2018-06-13 2019-06-13 Tsv上的偏移焊盘

Country Status (6)

Country Link
US (5) US11749645B2 (zh)
EP (1) EP3807927A4 (zh)
KR (2) KR20210009426A (zh)
CN (3) CN112585740A (zh)
TW (1) TW202002111A (zh)
WO (2) WO2019241417A1 (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10867963B2 (en) * 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210320075A1 (en) * 2019-07-26 2021-10-14 Sandisk Technologies Llc Bonded assembly containing bonding pads spaced apart by polymer material, and methods of forming the same
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) * 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210265253A1 (en) 2020-02-25 2021-08-26 Tokyo Electron Limited Split substrate interposer with integrated passive device
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11569134B2 (en) * 2020-04-14 2023-01-31 International Business Machines Corporation Wafer backside engineering for wafer stress control
US20210335660A1 (en) 2020-04-24 2021-10-28 Nanya Technology Corporation Semiconductor structure having void between bonded wafers and manufacturing method tehreof
US11735523B2 (en) * 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
KR20210155696A (ko) 2020-06-16 2021-12-23 삼성전자주식회사 인터포저 및 이를 포함하는 반도체 패키지
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230125309A (ko) * 2020-12-28 2023-08-29 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 기판-관통 비아를 가지는 구조체 및 이를 형성하기위한 방법
CN114743942A (zh) * 2021-01-07 2022-07-12 联华电子股份有限公司 混合式接合结构及其制作方法
US20220301981A1 (en) * 2021-03-18 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including through substrate via barrier structure and methods for forming the same
US20230011840A1 (en) * 2021-07-09 2023-01-12 Changxin Memory Technologies, Inc. Chip bonding method and semiconductor chip structure
CN117751436A (zh) * 2021-08-02 2024-03-22 华为技术有限公司 芯片堆叠结构及其制作方法、芯片封装结构、电子设备
CN113471083B (zh) * 2021-09-03 2021-11-02 南通汇丰电子科技有限公司 一种半导体堆叠封装结构及其制备方法
US20230136631A1 (en) * 2021-11-04 2023-05-04 Airoha Technology Corp. Semiconductor package using hybrid-type adhesive
TWI780985B (zh) * 2021-11-16 2022-10-11 力晶積成電子製造股份有限公司 半導體結構及其製造方法
WO2023211789A1 (en) * 2022-04-25 2023-11-02 Adeia Semiconductor Bonding Technologies Inc. Expansion controlled structure for direct bonding and method of forming same
US20240120312A1 (en) * 2022-10-05 2024-04-11 Tokyo Electron Limited Shifted multi-via connection for hybrid bonding

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8378357B2 (en) * 2007-08-29 2013-02-19 Sp3, Inc. Multilayered structures and methods of making multilayered structures
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief

Family Cites Families (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
JP2560625B2 (ja) 1993-10-29 1996-12-04 日本電気株式会社 半導体装置およびその製造方法
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
US5872051A (en) 1995-08-02 1999-02-16 International Business Machines Corporation Process for transferring material to semiconductor chip conductive pads using a transfer substrate
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
EP0808815B1 (de) 1996-05-14 2001-08-16 Degussa AG Verfahren zur Herstellung von Trimethylhydrochinon
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
EP0951064A4 (en) 1996-12-24 2005-02-23 Nitto Denko Corp PREPARATION OF A SEMICONDUCTOR DEVICE
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6147000A (en) 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6123825A (en) 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6348709B1 (en) 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP2000311982A (ja) 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
JP3619395B2 (ja) 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6333120B1 (en) 1999-10-27 2001-12-25 International Business Machines Corporation Method for controlling the texture and microstructure of plated copper and plated structure
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
WO2001084617A1 (en) 2000-04-27 2001-11-08 Nu Tool Inc. Conductive structure for use in multi-level metallization and process
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
TW515223B (en) 2000-07-24 2002-12-21 Tdk Corp Light emitting device
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
US6583460B1 (en) 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP3981026B2 (ja) 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US8026128B2 (en) 2004-11-10 2011-09-27 Stats Chippac, Ltd. Semiconductor device and method of self-confinement of conductive bump material during reflow without solder mask
US6927498B2 (en) 2003-11-19 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad for flip chip package
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
KR100618855B1 (ko) 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7998335B2 (en) 2005-06-13 2011-08-16 Cabot Microelectronics Corporation Controlled electrochemical polishing method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US20070145367A1 (en) 2005-12-27 2007-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure
US7348648B2 (en) 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7385283B2 (en) * 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100825648B1 (ko) 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US9343330B2 (en) 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US7812459B2 (en) 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8134235B2 (en) 2007-04-23 2012-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional semiconductor device
KR101494591B1 (ko) 2007-10-30 2015-02-23 삼성전자주식회사 칩 적층 패키지
US8435421B2 (en) 2007-11-27 2013-05-07 Cabot Microelectronics Corporation Metal-passivating CMP compositions and methods
DE102008007001B4 (de) 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US8349721B2 (en) 2008-03-19 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer on conductive traces for electrical isolation in fine pitch bonding
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
IT1392793B1 (it) 2008-12-30 2012-03-23 St Microelectronics Srl Condensatore integrato con piatto a spessore non-uniforme
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
KR101049083B1 (ko) 2009-04-10 2011-07-15 (주)실리콘화일 3차원 구조를 갖는 이미지 센서의 단위 화소 및 그 제조방법
WO2010138480A2 (en) 2009-05-26 2010-12-02 Rambus Inc. Stacked semiconductor device assembly
US8101517B2 (en) 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US9217192B2 (en) 2010-03-01 2015-12-22 Osaka University Semiconductor device and bonding material for semiconductor device
US9018768B2 (en) 2010-06-28 2015-04-28 Samsung Electronics Co., Ltd. Integrated circuit having through silicon via structure with minimized deterioration
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
WO2012013162A1 (zh) 2010-07-30 2012-02-02 昆山智拓达电子科技有限公司 一种硅通孔互连结构及其制造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8637968B2 (en) * 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US20120168935A1 (en) 2011-01-03 2012-07-05 Nanya Technology Corp. Integrated circuit device and method for preparing the same
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
KR101780423B1 (ko) 2011-03-18 2017-09-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI467695B (zh) 2011-03-24 2015-01-01 Sony Corp 半導體裝置及其製造方法
WO2012133760A1 (ja) 2011-03-30 2012-10-04 ボンドテック株式会社 電子部品実装方法、電子部品実装システムおよび基板
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR101952976B1 (ko) 2011-05-24 2019-02-27 소니 주식회사 반도체 장치
JP6031765B2 (ja) 2011-07-05 2016-11-24 ソニー株式会社 半導体装置、電子機器、及び、半導体装置の製造方法
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8692246B2 (en) 2011-09-15 2014-04-08 International Business Machines Corporation Leakage measurement structure having through silicon vias
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US20130256913A1 (en) 2012-03-30 2013-10-03 Bryan Black Die stacking with coupled electrical interconnects to align proximity interconnects
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
US9412725B2 (en) 2012-04-27 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8772946B2 (en) * 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140175614A1 (en) 2012-12-20 2014-06-26 Industrial Technology Research Institute Wafer stacking structure and method of manufacturing the same
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US9368438B2 (en) 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US9082644B2 (en) 2013-01-18 2015-07-14 Infineon Technologies Ag Method of manufacturing and testing a chip package
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
WO2014131152A1 (en) 2013-02-26 2014-09-04 Sandisk Information Technology (Shanghai) Co., Ltd. Semiconductor device including alternating stepped semiconductor die stacks
US9331032B2 (en) 2013-03-06 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding and apparatus for performing the same
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9040385B2 (en) 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
JP6330151B2 (ja) 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
FR3011679B1 (fr) 2013-10-03 2017-01-27 Commissariat Energie Atomique Procede ameliore d'assemblage par collage direct entre deux elements, chaque element comprenant des portions de metal et de materiaux dielectriques
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR102104061B1 (ko) 2013-11-15 2020-04-23 삼성전자 주식회사 금속 패턴 및 압전 패턴을 포함하는 반도체 소자
US9059333B1 (en) 2013-12-04 2015-06-16 International Business Machines Corporation Facilitating chip dicing for metal-metal bonding and hybrid wafer bonding
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
TWI538156B (zh) 2014-01-07 2016-06-11 甯樹樑 晶片間無微接觸點之晶圓級晶片堆疊結構及其製造方法
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9391109B2 (en) 2014-03-28 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform-size bonding patterns
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9343369B2 (en) 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US10355039B2 (en) 2015-05-18 2019-07-16 Sony Corporation Semiconductor device and imaging device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
CN105140144A (zh) 2015-09-02 2015-12-09 武汉新芯集成电路制造有限公司 一种介质加压热退火混合键合方法
KR102468773B1 (ko) * 2015-10-19 2022-11-22 삼성전자주식회사 반도체 소자
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9893028B2 (en) 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
WO2017155002A1 (ja) 2016-03-11 2017-09-14 ボンドテック株式会社 基板接合方法
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10354975B2 (en) * 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
JP2018064758A (ja) 2016-10-19 2018-04-26 ソニーセミコンダクタソリューションズ株式会社 半導体装置、製造方法、および電子機器
CN106571334B (zh) 2016-10-26 2020-11-10 上海集成电路研发中心有限公司 一种硅片间的混合键合方法
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN117878055A (zh) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
CN106653720A (zh) 2016-12-30 2017-05-10 武汉新芯集成电路制造有限公司 一种混合键合结构及混合键合方法
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
CN106920797B (zh) 2017-03-08 2018-10-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
CN106920795B (zh) 2017-03-08 2019-03-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
JP2018163970A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10312275B2 (en) 2017-04-25 2019-06-04 Semiconductor Components Industries, Llc Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
CN107665829B (zh) 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
CN107731668B (zh) 2017-08-31 2018-11-13 长江存储科技有限责任公司 3d nand混合键合工艺中补偿晶圆应力的方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107993927A (zh) 2017-11-20 2018-05-04 长江存储科技有限责任公司 提高晶圆混合键合强度的方法
CN107993928B (zh) 2017-11-20 2020-05-12 长江存储科技有限责任公司 一种抑制晶圆混合键合中铜电迁移的方法
US11152417B2 (en) 2017-11-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Anchor structures and methods for uniform wafer planarization and bonding
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
JP6967980B2 (ja) 2018-01-23 2021-11-17 東京エレクトロン株式会社 接合方法、および接合装置
TWI782169B (zh) 2018-01-23 2022-11-01 日商東京威力科創股份有限公司 接合系統及接合方法
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10937755B2 (en) 2018-06-29 2021-03-02 Advanced Micro Devices, Inc. Bond pads for low temperature hybrid bonding
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
WO2020034063A1 (en) 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
WO2020051737A1 (en) 2018-09-10 2020-03-19 Yangtze Memory Technologies Co., Ltd. Memory device using comb-like routing structure for reduced metal line loading
CN111211133B (zh) 2018-09-10 2021-03-30 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN111415941B (zh) 2018-09-20 2021-07-30 长江存储科技有限责任公司 多堆叠层三维存储器件
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102482697B1 (ko) 2018-11-30 2022-12-28 양쯔 메모리 테크놀로지스 씨오., 엘티디. 본딩된 메모리 장치 및 그 제조 방법
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN109844915A (zh) 2019-01-02 2019-06-04 长江存储科技有限责任公司 用于晶圆键合的等离子体活化处理
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230097121A (ko) 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
WO2022094579A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
TW202243181A (zh) 2020-12-28 2022-11-01 美商英帆薩斯邦德科技有限公司 具有直通基板穿孔的結構以及形成此結構的方法
KR20230125309A (ko) 2020-12-28 2023-08-29 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 기판-관통 비아를 가지는 구조체 및 이를 형성하기위한 방법
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
EP4272249A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same
EP4302325A1 (en) 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
EP4315398A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier
KR20230164716A (ko) 2021-03-31 2023-12-04 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합 방법 및 구조
KR20230163554A (ko) 2021-03-31 2023-11-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 캐리어의 직접 결합 및 분리
KR20240028356A (ko) 2021-06-30 2024-03-05 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 결합층에서 라우팅 구조체를 갖는 소자
WO2023288021A1 (en) 2021-07-16 2023-01-19 Invensas Bonding Technologies, Inc. Optically obstructive protective element for bonded structures
WO2023014616A1 (en) 2021-08-02 2023-02-09 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
US20230100032A1 (en) 2021-09-24 2023-03-30 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with active interposer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8378357B2 (en) * 2007-08-29 2013-02-19 Sp3, Inc. Multilayered structures and methods of making multilayered structures
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief

Also Published As

Publication number Publication date
US20190385935A1 (en) 2019-12-19
WO2019241417A1 (en) 2019-12-19
US20240088101A1 (en) 2024-03-14
CN114899166A (zh) 2022-08-12
CN112585740A (zh) 2021-03-30
EP3807927A1 (en) 2021-04-21
CN112470270A (zh) 2021-03-09
US20190385982A1 (en) 2019-12-19
KR20210009426A (ko) 2021-01-26
US11749645B2 (en) 2023-09-05
TW202002111A (zh) 2020-01-01
US11728313B2 (en) 2023-08-15
EP3807927A4 (en) 2022-02-23
US20210257341A1 (en) 2021-08-19
US10998292B2 (en) 2021-05-04
WO2019241561A1 (en) 2019-12-19
KR20210008918A (ko) 2021-01-25
KR102552215B1 (ko) 2023-07-05
US20240006383A1 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
CN112470270B (zh) Tsv上的偏移焊盘
US11955445B2 (en) Metal pads over TSV
US11735523B2 (en) Laterally unconfined structure
US11837596B2 (en) Stacked dies and methods for forming bonded structures
WO2019241367A1 (en) Interlayer connection of stacked microelectronic components
CN112514059B (zh) 堆叠微电子部件的层间连接
JPWO2010119570A1 (ja) 積層半導体装置及び積層半導体装置の製造方法
TW202410298A (zh) 具有金屬平面層的基板穿孔以及製造其之方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: Insulation Semiconductor Bonding Technology Co.

Address before: California, USA

Patentee before: Evanss Adhesive Technologies