KR20230163554A - 캐리어의 직접 결합 및 분리 - Google Patents

캐리어의 직접 결합 및 분리 Download PDF

Info

Publication number
KR20230163554A
KR20230163554A KR1020237037564A KR20237037564A KR20230163554A KR 20230163554 A KR20230163554 A KR 20230163554A KR 1020237037564 A KR1020237037564 A KR 1020237037564A KR 20237037564 A KR20237037564 A KR 20237037564A KR 20230163554 A KR20230163554 A KR 20230163554A
Authority
KR
South Korea
Prior art keywords
bonding
carrier
clause
lth
layer
Prior art date
Application number
KR1020237037564A
Other languages
English (en)
Inventor
도미니크 수위토
가이우스 길맨 주니어. 파운틴
귈리언 가오
Original Assignee
아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 filed Critical 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드
Publication of KR20230163554A publication Critical patent/KR20230163554A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

결합 방법이 개시된다. 방법은 반도체 소자의 제1 비전도성 결합 재료를 접착제의 개재 없이 캐리어의 제2 비전도성 결합 재료에 직접 결합하는 단계를 포함할 수 있다. 제1 비전도성 결합 재료는 반도체 소자의 디바이스 부분 상에 배치된다. 제2 비전도성 결합 재료는 캐리어의 벌크 부분 상에 배치된다. 증착된 유전체층은 디바이스 부분과 벌크 부분 사이에 배치된다. 방법은 열에너지를 유전체층에 전달하여 유전체층 밖으로의 가스의 확산을 유도함으로써 반도체 소자로부터 캐리어를 제거하는 단계를 포함할 수 있다.

Description

캐리어의 직접 결합 및 분리
관련 출원에 대한 상호 참조
본 출원은 2021년 3월 31일에 출원된 "캐리어의 직접 결합 및 분리"라는 명칭의 미국 가출원번호 제63/168,946호에 기초한 우선권을 주장하며, 이 가출원의 전체 내용은 본 명세서에 참조로 도입된다.
분야
분야는 반도체 소자를 캐리어에 직접 결합하는 것과 직접 결합한 후 캐리어를 제거하는 것에 관한 것이다.
관련 기술의 설명
반도체 소자, 예컨대 반도체 웨이퍼는 접착제 없이 적층되어 서로 직접 결합될 수 있다. 예를 들면, 일부 직접 결합 구조에서, 소자의 비전도성 필드 영역들은 서로 직접 결합될 수 있다. 일부 구조에서, 대응하는 전도성 접촉 구조들 또한 서로 직접 결합될 수 있다. 일부 응용에서, 얇은 다이 및 웨이퍼를 형성하고/하거나 후면 공정을 수행하는 것이 어려울 수 있다. 따라서, 직접 결합을 위한 개선된 방법 및 구조에 대한 지속적인 요구가 남아 있다.
특정 실시태양이 다음 도면을 참조하여 설명될 것이며, 이는 제한이 아닌 예로서 제공되는 것이다.
도 1a는 결합 전 두 소자의 개략적인 측단면도이다.
도 1b는 결합 후 도 1a에 도시된 두 소자의 개략적인 측단면도이다.
도 2a-2e는 실시태양에 따른 결합 방법의 다양한 단계의 개략적인 단면도를 예시한다.
도 3은 반도체 디바이스와 캐리어를 결합 및 분리하는 방법을 도시하는 흐름도이다.
다양한 마이크로전자 디바이스에서, 2개 이상의 소자(2, 3)는 결합 구조를 형성하기 위해 접착제 없이 서로 직접 결합될 수 있다. 일부 실시태양에서 도 1a 및 도 1b의 소자(2, 3)는 반도체 소자를 포함할 수 있다. 예를 들어, 다양한 실시태양에서, 제1 및 제2 소자(2, 3)는 반도체 웨이퍼를 포함할 수 있다. 일부 실시태양에서, 제1 및 제2 소자(2, 3)는 반도체 디바이스 다이를 포함할 수 있다. 일부 실시태양에서, 제1 및 제2 소자(2, 3) 중 하나는 반도체 웨이퍼를 포함할 수 있고, 제1 및 제2 소자(2, 3) 중 다른 하나는 반도체 디바이스 다이를 포함할 수 있다. 본 명세서에 개시된 다양한 실시태양에서, 제2 소자(3)는 제1 소자(2)에 일시적으로 직접 결합될 수 있고 후속적으로(예를 들어, 박형화 및/또는 다른 처리 후에) 제거될 수 있는 캐리어를 포함할 수 있다. 예를 들어, 일부 실시태양에서, 제1 소자(2)는 디바이스 부분(5) 및 디바이스 부분(5) 상의 제1 비전도성 결합 재료(4a)를 갖는 반도체 소자(예를 들어, 개별화된 집적 디바이스 다이 또는 개별화되지 않은 웨이퍼)를 포함할 수 있다. 디바이스 부분(5)은 그 안에 형성된 활성 회로를 갖는 반도체 재료를 포함할 수 있다. 제2 소자(3)는 기판(6) 및 기판(6) 상의 제2 비전도성 결합 재료(4b)를 포함할 수 있다. 일부 실시태양에서, 기판(6)은 유리 또는 반도체(예: 실리콘)를 포함할 수 있다.
다양한 실시태양에서, 도 1a-1b에 도시된 바와 같이, 제1 소자(2)의 비전도성(예를 들어, 반도체 또는 무기 유전체) 결합 재료(4a)는 접착제 없이 제2 소자(3)의 대응하는 비전도성(예를 들어, 반도체 또는 무기 유전체) 결합 재료(4b) 또는 필드 영역에 직접 결합될 수 있다. 일부 실시태양(미도시)에서, 제1 소자(2)의 전도성 영역(예를 들어, 금속 패드 또는 접촉 구조)은 접착제 없이 제2 소자(3)의 대응하는 전도성 영역(예를 들어, 금속 패드 또는 접촉 구조)에 직접 결합될 수 있다. 일부 실시태양에서, 제1 소자(2)의 비전도성 재료(4a)는 적어도 미국특허 제9,564,414호, 제9,391,143호 및 제10,434,749호(각각의 전체 내용은 모든 목적을 위해 전체 내용이 참조로 본원에 포함됨)에 개시된 직접 결합 기술을 사용하여 접착제를 사용하지 않는 결합 기술에 의해 제2 소자(3)의 대응하는 비전도성 재료(4b)에 직접 결합될 수 있다. 하이브리드 결합의 추가 예는 미국특허 제11,056,390호(그 전체 내용은 모든 목적을 위해 본원에 참조로 포함됨)에서 찾을 수 있다. 다른 응용에서, 결합 구조(1)에서, 제1 소자(2)의 비전도성 재료는 제2 소자(3)의 전도성 재료에 직접 결합될 수 있고, 이로써 제1 소자(2)의 전도성 재료는 제2 소자(3)의 비전도성 재료와 밀접하게 정합된다. 직접 결합에 적합한 유전체 결합 표면 또는 물질은 이들에 제한되지는 않지만 산화규소, 질화규소 또는 실리콘 옥시나이트라이드와 같은 무기 유전체를 포함할 수 있거나, 또는 탄소, 예컨대, 탄화규소, 실리콘 옥시카보나이트라이드, 낮은 K 유전체 물질, SICOH 유전체, 실리콘 카보나이트라이드 또는 다이아몬드 유사 탄소 또는 다이아몬드 표면을 포함하는 물질을 포함할 수 있다. 이러한 탄소 함유 세라믹 재료는 탄소가 포함되어 있음에도 불구하고 무기물로 간주될 수 있다.
다양한 실시태양에서, 접착제의 개재 없이 직접 결합이 형성될 수 있다. 예를 들어, 반도체 또는 유전체 결합 표면이 매우 매끄럽게 연마될 수 있다. 결합 표면은 세척되고 플라즈마 및/또는 에칭제에 노출되어 표면이 활성화될 수 있다. 일부 실시태양에서, 표면은 활성화 후 또는 활성화 동안(예를 들어, 플라즈마 및/또는 에칭 프로세스 동안) 종으로 종결될 수 있다. 이론에 의해 제한되지 않고, 일부 실시태양에서, 활성화 공정은 결합 표면에서 화학 결합을 끊기 위해 수행될 수 있고, 종료 프로세스는 직접 결합 동안 결합 에너지를 향상시키는 결합 표면에 추가적인 화학종을 제공할 수 있다. 일부 실시태양에서, 활성화 및 종료는 동일한 단계, 예를 들어, 표면을 활성화하고 종료하기 위한 플라즈마 또는 습식 에칭액으로 제공된다. 다른 실시태양에서, 결합 표면은 직접 결합을 위한 추가적인 종을 제공하기 위해 별도의 처리로 종료될 수 있다. 다양한 실시태양에서, 종결 종은 질소를 포함할 수 있다. 예를 들어, 일부 실시태양에서, 결합 표면(들)은 질소 함유 플라즈마에 노출될 수 있다. 또한, 일부 실시태양에서, 결합 표면은 불소에 노출될 수 있다. 예를 들어, 층 및/또는 결합 인터페이스, 특히 유전체 결합 인터페이스 근처에 하나 또는 여러 개의 불소 피크가 있을 수 있다. 따라서, 직접 결합 구조에서, 두 개의 비전도성 재료 사이의 결합 계면은 결합 계면에서 더 높은 질소 함량 및/또는 불소 피크를 갖는 매우 매끄러운 계면을 포함할 수 있다. 활성화 및/또는 종료 처리의 추가 예는 미국특허 제9,564,414호, 제9,391,143호 및 제10,434,749호(각각의 전체 내용은 모든 목적을 위해 전체 내용이 참조로 본원에 포함됨)에서 찾을 수 있다.
다양한 실시태양에서, 제1 소자의 전도성 접촉 패드는 또한 제2 소자의 대응하는 전도성 접촉 패드에 직접 접착될 수 있다(예를 들어, 두 소자 모두 접촉 패드를 갖는 배열에서). 예를 들어, 직접 하이브리드 결합 기술을 사용하여 위에서 설명한 대로 준비된 공유 직접 결합된 유전체-대-유전체 표면을 포함하는 결합 인터페이스를 따라 도체-대-도체 직접 결합을 제공할 수 있다. 다양한 실시태양에서, 도체-대-도체(예를 들어, 접촉 패드-대-접촉 패드) 직접 결합 및 유전체-대-유전체 하이브리드 결합은 적어도 미국특허 제9,716,033호 및 제9,852,988호(각각의 전체 내용은 그 전체가 모든 목적을 위해 본원에 참조로 포함됨)에 개시된 직접 결합 기술을 사용하여 형성될 수 있다. 본원에 설명된 결합 구조는 비전도성 영역 결합이 없는 직접적인 금속 결합이나 다른 결합 기술에도 유용할 수 있다.
일부 실시태양에서, 무기 유전체 결합 표면이 준비되어 전술한 바와 같이 접착제의 개재 없이 서로 직접 결합될 수 있다. 접촉 패드를 갖는 직접 결합 구조에서, 전도성 접촉 패드(비전도성 유전체 필드 영역으로 둘러싸여 있을 수 있음) 또한 접착제의 개재 없이 서로 직접 결합될 수 있다. 일부 실시태양에서, 각각의 접촉 패드는 유전체 필드 또는 비전도성 결합 영역의 외부(예를 들어, 상부) 표면 아래에 리세스(recess), 예를 들어 30 nm 미만, 20 nm 미만, 15 nm 미만 또는 10 nm 미만만큼 리세스, 예를 들어, 2 nm 내지 20 nm 범위, 또는 4 nm 내지 10 nm 범위만큼 리세스될 수 있다. 예를 들어, 유전체 물질의 열팽창 계수(CTE)는 0.1 ppm/℃ 내지 5 ppm/℃의 범위일 수 있고, 예를 들어, 전도성 재료의 CTE는 6 ppm/℃ 내지 40 ppm/℃ 또는 8 ppm/℃ 내지 30 ppm/℃의 범위일 수 있다. 유전체 물질의 CTE와 전도성 재료의 CTE의 차이는 전도성 재료가 후속 열처리 작업에서 측면으로 팽창하는 것을 억제하여 전도성 패드의 접촉을 용이하게 한다. 비전도성 결합 영역은 일부 실시태양에서 실온에서 접착제 없이 서로 직접 결합될 수 있으며, 결합 구조는 후속하여 어닐링될 수 있다. 어닐링 시, 접촉 패드는 비전도성 결합 영역에 대해 확장되고 서로 접촉하여 금속-대-금속 직접 결합을 형성할 수 있다. 유익하게도, 캘리포니아주 산호세의 Xperi로부터 상업적으로 구입 가능한 Direct Bond Interconnect(DBI®)와 같은 하이브리드 결합 기술을 사용하면 직접 결합 인터페이스를 가로질러 연결된 고밀도 패드(예: 일반 배열용 작은 피치 또는 미세한 피치)를 가능하게 할 수 있다. 다양한 실시태양에서, 접촉 패드는 구리를 포함할 수 있지만, 다른 금속도 적합할 수 있다. 제1 및 제2 소자(2, 3)가 결합 표면에 접촉 패드를 갖지 않는 배열에서, 비전도성 재료는 금속 접촉을 달성하기 위한 후속 어닐링 없이 실온에서 직접 결합될 수 있다.
따라서, 직접 결합 공정에서는, 접착제의 개재 없이 제1 소자가 제2 소자에 직접 결합될 수 있다. 일부 배열에서, 제1 소자는 개별화된 집적 디바이스 다이와 같은 개별화된 소자를 포함할 수 있다. 다른 배열에서, 제1 소자는 개별화될 때 복수의 집적 디바이스 다이를 형성하는 복수(예를 들어, 수십, 수백 또는 그 이상)의 디바이스 영역을 포함하는 캐리어 또는 기판(예를 들어, 웨이퍼)을 포함할 수 있다. 유사하게, 제2 소자는 개별화된 집적 디바이스 다이와 같은 개별화된 소자를 포함할 수 있다. 다른 배열에서, 제2 소자는 캐리어 또는 기판(예를 들어, 웨이퍼)을 포함할 수 있다. 일부 실시태양에서, 서로 다른 CTE를 갖는 다수의 다이가 동일한 캐리어에 결합될 수 있다. 일부 실시태양에서, 결합 다이의 기판의 CTE는 캐리어 기판의 CTE와 유사할 수 있다. 다른 실시태양에서, 결합 다이의 기판의 CTE는 캐리어 기판의 CTE와 다를 수 있다. 결합 다이 사이 또는 접착 다이와 캐리어 사이의 CTE 차이는 1 ppm/℃ 내지 70 ppm/℃ 사이의 범위 및 30 ppm/℃ 미만, 예를 들어, 12 ppm/℃ 미만일 수 있다.
본 명세서에서 설명한 바와 같이, 제1 소자와 제2 소자는 접착제 없이 서로 직접 접착될 수 있으며, 이는 증착 공정과 다르다. 따라서 제1 및 제2 소자는 증착되지 않은 소자를 포함할 수 있다. 또한, 직접 결합 구조는 증착된 층과 달리 나노공극이 존재하는 결합 계면을 따라 결함 영역을 포함할 수 있다. 나노공극은 결합 표면의 활성화(예를 들어, 플라즈마에 대한 노출)로 인해 형성될 수 있다. 위에서 설명된 바와 같이, 결합 인터페이스는 활성화 및/또는 마지막 화학 처리 공정에서 나온 물질을 포함할 수 있다. 예를 들어, 활성화를 위해 질소 플라즈마를 활용하는 실시태양에서, 질소 피크가 결합 계면에 형성될 수 있다. 활성화를 위해 산소 플라즈마를 활용하는 실시태양에서, 산소 피크 또는 산소 풍부 층이 결합 계면에 형성될 수 있다. 일부 실시태양에서, 결합 인터페이스는 질소 말단 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 옥시카보나이트라이드, 또는 실리콘 카보나이트라이드와 같은 질소 말단 무기 비전도성 재료를 포함할 수 있으며, 이때 질소는 직접 결합 이전에 소자 중 적어도 하나의 질소 종결을 나타내는 결합 인터페이스에 존재한다. 질소 함유 유전체 외에, 비전도성 재료의 질소 함량은 일반적으로 표면이나 표면 근처에서 정점에 이르는 기울기를 가진다. 일부 실시태양에서, 질소 및 질소 관련 부분이 결합 계면에 존재하지 않을 수 있다. 본 명세서에 설명된 바와 같이, 직접 결합은 반 데르 발스 결합보다 강한 공유 결합을 포함할 수 있다. 결합층은 또한 높은 수준의 매끄러움으로 평탄화된 연마된 표면을 포함할 수 있다.
소자(2, 3)가 직접 결합된 금속 접촉 패드를 갖는 다양한 실시태양에서, 접촉 패드 사이의 금속-대-금속 결합은 금속 입자(예를 들어, 구리 입자)가 결합 인터페이스에 걸쳐 서로 성장하도록 결합될 수 있다. 일부 실시태양에서, 구리는 결합 인터페이스에 걸친 개선된 구리 확산을 위해 결정 평면(111)을 따라 수직으로 배향된 입자를 가질 수 있다. 그러나, 일부 실시태양에서는 다른 구리 결정 평면이 접촉 패드 표면에 대해 수직으로 배향될 수 있다. 비전도성 결합 인터페이스는 실질적으로 결합된 접촉 패드의 적어도 일부까지 전체적으로 연장될 수 있어, 결합된 접촉 패드에서 또는 그 근처의 비전도성 결합 영역 사이에 실질적으로 갭이 존재하지 않을 수 있다. 일부 실시태양에서, (예를 들어, 구리를 포함할 수 있는) 접촉 패드 아래에 장벽층이 제공될 수 있다. 그러나, 다른 실시태양에서는, 예를 들어 미국특허 제11,195,748호(그 전체가 모든 목적을 위해 본 명세서에 참조로 포함됨)에 설명된 것처럼 접촉 패드 아래에 장벽층이 없을 수 있다.
일부 응용에서는, 예를 들어 메모리 디바이스와 같은 다중 소자 디바이스 스택에서 얇은 반도체 소자를 활용하는 것이 바람직할 수 있다. 예를 들어, 반도체 소자(예: 반도체 디바이스 웨이퍼)는 열 경화성 또는 UV 경화성 접착제(예: 유기 접착제)와 같은 접착제를 통해 캐리어(예: 유리 또는 실리콘 캐리어 웨이퍼)에 일시적으로 결합될 수 있다. 반도체 소자의 후면은 예를 들어 연삭 및/또는 화학 기계적 연마(CMP)에 의해 얇게 될 수 있다. 더욱이, 반도체 소자가 캐리어에 접착된 상태에서 반도체 소자의 후면에 추가적인 후면 공정이 수행될 수 있다. 예를 들어, 필름의 금속화 또는 후공정(back-end-of-line; BEOL) 층이 얇은 반도체 소자 상에 증착되거나 또는 제공될 수 있다.
그러나, 임시 결합에 접착제를 사용하는 것은 여러 측면에서 어려울 수 있다. 예를 들어, 디바이스 웨이퍼가 얇아짐에 따라, BEOL 필름의 잔류 응력은 다이 크기의 측면 성장을 유발할 수 있는데, 이는 유기 접착제가 디바이스 웨이퍼의 측면 성장을 제한할 만큼 충분한 결합 강도를 제공하지 못하기 때문이다. 더욱이, 박형화 공정(예를 들어, 연삭 공정) 동안 디바이스 웨이퍼와 캐리어 웨이퍼 사이의 접착 결합의 기계적 안정성은 박형화 동안 부여되는 힘으로 인해 악화되거나 신뢰할 수 없게 될 수 있다. 어떤 경우에는, 박형화 공정으로 인해 디바이스 웨이퍼의 두께가 원하는 총 두께 변화(TTV)를 초과하도록 크게 변할 수도 있다. 예를 들어, 디바이스 웨이퍼와 캐리어 웨이퍼 사이에 개재된 임시 접착제는 박형화 시 과도한 두께 변화를 초래할 수 있는 불균일성을 가질 수 있다. 더욱이, 임시 접착 결합은 다양한 공정에 노출될 때 충분한 열적 및/또는 화학적 안정성을 갖지 못할 수 있다. 예를 들어, 임시 접착제는 웨이퍼 세척, 전기화학적 증착(ECD) 및/또는 CMP에 사용되는 화학 물질에 노출되면 성능이 저하될 수 있다. 접착제는 증착 및/또는 에칭 공정(화학 기상 증착(CVD), 플라즈마 강화 CVD, 물리적 기상 증착 등) 중에 대안적으로 또는 추가적으로 분해될 수 있다. 또한, 캐리어 및 접착제가 디바이스 웨이퍼로부터 제거될 때, 디바이스 웨이퍼는 접착제로부터의 잔류물을 포함할 수 있으며, 이는 추가적인 세정 단계의 사용을 야기할 수 있다. 따라서, 반도체 소자를 박형화하기 위한 개선된 방법 및 구조에 대한 지속적인 요구가 남아 있다.
도 2a-2e는 다양한 실시태양에 따른 예시적인 결합 방법을 도시한다. 달리 언급하지 않는 한, 도 2a-2e의 구성요소는 도 1a-1b의 동일한 번호의 구성요소와 동일하거나 일반적으로 유사할 수 있다. 도 2a에 도시된 바와 같이, 제1 반도체 소자(2)는 웨이퍼 형태 또는 개별화된 집적 디바이스 다이로서 반도체 디바이스 소자를 포함할 수 있다. 반도체 소자(2)는 그 안에 능동 회로 및/또는 디바이스를 갖는 디바이스 부분(5)을 포함할 수 있다. 도 2a에서, 반도체 소자(2)는 전면(15) 및 전면(15) 반대편의 후면(16)을 가진다. 반도체 소자(2) 및 디바이스 부분(5)은 박형화 전, 예를 들어 반도체 소자(2)의 후면을 연삭 또는 연마하기 전에 도 2a에 도시되어 있다.
또한, 확산 장벽층(10)이 디바이스 부분(5) 상에 제공될 수 있다. 본 명세서에 설명된 바와 같이, 확산 장벽층(10)은 가스가 디바이스 부분(5) 및 그 안의 능동 회로로 확산되는 것을 감소 또는 방지하기 위해 낮은 가스 투과성을 가질 수 있다. 확산 장벽층(10)은 수소와 같은 가스가 디바이스 부분(5) 및 그 안의 능동 회로로 확산되는 것을 감소시키거나 억제하도록 구성될 수 있다. 일부 실시태양에서, 확산 장벽층(10)은 질화규소와 같은 낮은 가스 투과성 무기 유전체를 포함할 수 있다. 확산 장벽층(10)은 2.75 g/cc 초과의 밀도를 갖는 고밀도 재료를 포함할 수 있다. 일부 실시태양에서, 확산 장벽층(10)은 2.75 g/cc 내지 5 g/cc, 2.9 g/cc 내지 5 g/cc, 3 g/cc 내지 5 g/cc, 2.75 g/cc 내지 4 g/cc, 2.75 g/cc 내지 3.5 g/cc 또는 3 g/cc 내지 3.5 g/cc범위의 밀도를 가질 수 있다. 예를 들어, 확산 장벽층(10)은 약 3.17 g/cc의 밀도를 가질 수 있다. 일부 실시태양에서, 확산 장벽층(10)은 디바이스 부분(5)의 밀도, 실리콘의 밀도, 산화규소의 밀도 및/또는 제1 비전도성 결합 재료(4a)의 밀도보다 큰 밀도를 가질 수 있다. 예를 들어, 장벽층(10)은 예를 들어 화학 기상 증착 CVD(예를 들어, 플라즈마 강화 CVD(PECVD) 또는 물리적 기상 증착(PVD))에 의해 증착되는 실리콘 베이스 층을 포함할 수 있다. 제1 비전도성 결합 재료(4a)는 확산 장벽층(10) 상에 제공될 수 있다. 다양한 실시태양에서, 제1 비전도성 결합 재료(4a)는 산화규소, 실리콘 옥시나이트라이드, 질화규소, 실리콘 옥시니트로카바이드 등과 같은 유전체 결합층을 포함할 수 있다. 비전도성 결합 재료(4a)는 반도체 재료를 포함할 수 있다. 일부 실시태양에서, 제1 비전도성 결합 재료(4a)는 확산 장벽층(10)을 포함하여 제1 비전도성 결합 재료(4a) 자체가 가스 방출에 대한 확산 장벽 역할을 하도록 할 수 있다. 확산 장벽층(10)은 제1 반도체 소자(2)의 디바이스 부분(5)에 걸쳐, 예를 들어 디바이스 부분(5)의 전체 폭에 걸쳐 블랭킷 증착될 수 있다.
도 2a에서, 제2 소자(3)는 캐리어(8)를 포함할 수 있다. 캐리어(8)는 박형화, 후면 금속화 및/또는 다른 처리와 같은 다양한 처리 단계 동안 제1 반도체 소자(2)에 대한 임시 지지체 역할을 할 수 있다. 캐리어(8)는 유리, 저도핑된 실리콘 등과 같은 임의의 적합한 재료를 포함할 수 있는 벌크 캐리어 부분(6)을 포함할 수 있다. 무기 광열(light-to-heat; LTH) 변환층(9)이 벌크 부분(6) 상에 제공될 수 있다. 예를 들어, 일부 실시태양에서, LTH 변환층(9)은 벌크 부분(6) 상에, 예를 들어 벌크 캐리어 부분(6)의 폭 전체에 걸쳐 벌크 증착될 수 있다. 본 명세서에 설명된 바와 같이, LTH 변환층(9)은 광을 열에너지로 변환하도록 구성될 수 있다. 일부 실시태양에서, LTH 변환층(9)은 금속을 포함할 수 있다. 예를 들어, 금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함할 수 있다. 다른 금속도 적합할 수 있다. 다른 실시태양에서, LTH 변환층(9)은 미세결정질 실리콘(μc-Si)을 포함할 수 있다.
도 2a에 도시된 바와 같이, 제2 비전도성 결합 재료(4b)를 포함하는 유전체층(17)은 LTH 변환층(9) 상에 제공될 수 있다. 도 2a는 LTH 변환층(9) 위에 증착된 유전체층(17)을 도시하며, 따라서 LTH 변환은 층(9)은 유전체층(17)과 캐리어(8)의 벌크 부분(6) 사이에 배치된다. 다른 실시태양에서, 본 명세서에 설명된 바와 같이, LTH 변환층(9)이 없을 수도 있다. 오히려, 그러한 실시태양에서, 유전체층(17)은 LTH 변환층의 개재 없이 벌크 부분(6)(및/또는 다른 빌드업 층 위)에 제공될 수 있다.
도 2a의 실시태양에 도시된 바와 같이, 캐리어(8)는 전면(14) 및 전면(14) 반대편의 후면(13)을 가질 수 있다. 예시된 실시태양에서, 유전체층(17)(예를 들어, 일부 실시태양에서 제2 비전도성 결합층(4b)으로 작용할 수 있음)은 전면(14)을 적어도 부분적으로 규정할 수 있다. 예를 들어, 일부 실시태양에서, 유전체층(17)은 LTH 변환층(9) 상에, 예를 들어 LTH 변환층(9)의 폭 전체에 걸쳐 벌크 증착될 수 있다. 유전체층(17)은 그로부터의 가스 방출을 허용하도록 구성된 다공성 유전체 물질을 포함할 수 있다. 유익하게, 유전체층(17)의 다공성 및/또는 가스 투과성은 증착 공정 동안 가공될 수 있다. 예를 들어, 원하는 가스 투과성을 달성하기 위해, 증착 공정 중 하나 이상의 불순물 종을 도입하여 유전체층(17)의 가스 투과성을 증가시킬 수 있다. 일부 실시태양에서, 예를 들어, 하나 이상의 불순물 종은 유전체층(17)의 탄소와 질소 중 적어도 하나를 포함할 수 있다. 예를 들어, 일부 실시태양에서, 유전체층(17)은 실리콘 옥시니트로카바이드를 포함할 수 있다. 따라서, 유익하게, 다양한 실시태양은 증착 후 이온 주입과 같은 다른 방법과 달리 증착 프로세스를 사용하여 유전체층(17)에서 증가된 가스 확산을 제공할 수 있다. 예를 들어, 유전체층(17)은 예를 들어 수소 가스(H2), 아르곤 및/또는 수증기에 대한 높은 가스 투과성을 갖는 다공성 유전체 물질을 포함할 수 있다. 일부 실시태양에서, 유전체층(17)의 증착은 유전체층(17)의 수소 함량이 원하는 수준에 있도록 설계되어 수소 방출 온도를 선택하거나 유도하는 데 도움이 될 수 있다. 유전체 증착은 또한 강한 직접 결합을 형성하기 위해 직접 결합을 위한 충분히 높은 품질의 유전체를 갖도록 설계될 수 있다.
도 2b를 참조하면, 반도체 소자(2)의 전면(15)과 캐리어(8)의 전면(14)은 본 명세서에 설명된 바와 같이 직접 결합을 위해 준비될 수 있다. 일부 실시태양에서, 전면(15) 및/또는 전면(14)은 15 Å rms 미만, 10 Å rms 미만 또는 5 Å rms 미만의 표면 거칠기를 가질 수 있다. 반도체 소자(2)와 캐리어(8)는 실온에서 함께 결합될 수 있다. 준비된 결합 표면은 결합 인터페이스(7)를 따라 직접적인 비전도성 결합을 형성할 수 있다. 유익하게는, 직접 결합 인터페이스(7)는 소자(2, 3) 사이에 강한 결합을 제공하여 반도체 소자(2)와 캐리어(8) 사이의 결합이 디바이스 웨이퍼의 측면 성장을 억제하기에 충분히 강할 수 있다. 제1 소자(2)를 캐리어(8)에 직접 결합한 후, 디바이스 부분(5)을 얇게 하여 얇은 후면(16')을 형성할 수 있다. 도 2b의 얇은 제1 소자(2)는 임의의 적합한 두께를 가질 수 있다. 반도체 소자(2)의 후면(16')을 처리하기 위한 후면 공정은 하나 이상의 전도성 특징부를 형성하고 직접 결합 또는 솔더 상호 연결을 위해 후면 표면을 준비하기 위해 수행될 수 있다. 예를 들어, TSV(도시되지 않음)가 소자(2)에 포함되는 경우, 유전체층이 후면(16')에 증착될 수 있다. TSV가 드러나고 유전체층은 직접 결합을 위해 나노미터 이하의 거칠기로 평탄화되거나 솔더 상호 연결을 위해 TSV 위에 적절한 재료 스택으로 처리될 수 있다. 재분배층, 에칭된 특징 및 전력 분배 네트워크와 같은 후면 특징은 소자(3)를 분리하기 전에 후면(16') 상에 제조될 수 있다. 결합 표면(들)(전면(15) 및/또는 전면(14))이 결합 전 나노미터 미만의 거칠기를 가지고 결합 인터페이스의 두께가 0에 가까운 두께를 가지기 때문에, 후면 공정 중 두께 변화를 최소화할 수 있다. 이 경우 TTV는 임시 접착 공정이 아닌 연삭 공정의 영향을 주로 받는다. 예를 들어, 박형화 후, 제1 소자(2)는 100 마이크론 미만, 50 마이크론 미만 또는 35 마이크론 미만의 두께를 가질 수 있다. 예를 들어, 얇은 제1 소자(2)는 5 마이크론 내지 50 마이크론, 25 마이크론 내지 250 마이크론, 30 마이크론 내지 250 마이크론, 35 마이크론 내지 250 마이크론, 또는 35 마이크론 내지 100 마이크론 범위의 두께를 가질 수 있다.
제1 반도체 소자(2)를 처리한 후, 제1 반도체 소자(2)는 캐리어(8)를 제거하기 전에 다른 반도체 디바이스 소자(들)(도시되지 않음)에 직접 결합될 수 있다. 이 경우, 캐리어(8)는 제1 반도체 소자(2)의 측면 성장을 억제하여 제1 반도체 소자(2)와 정합 디바이스 소자의 정밀한 정렬을 가능하게 할 수 있다. 캐리어(8)는 준비 및 결합 동안 박형화된 제1 반도체 소자(2)의 잠재적인 손상을 방지하거나 완화하기 위한 기계적 지지를 제공할 수 있다. 이는 두께가 50 ㎛ 미만인 다이의 경우 특히 중요할 수 있다. 결합 공정을 통해 정확하게 정렬된 형상을 제자리에 고정할 수 있다. 캐리어(8)에 의해 지지되는 동안 임의의 적합한 수의 반도체 소자가 적층되고 서로 직접 결합될 수 있다. 그 후, 캐리어(8)가 제거될 수 있다. 제1 반도체 소자(2)를 다른 디바이스 소자(들)와 정렬한 후 캐리어(8)를 제거하면 이미 결합된 디바이스의 오정렬을 방지하거나 완화할 수 있다.
대안적으로, 제1 반도체 소자(2)를 처리한 후, 캐리어(8)는 제거 공정에서 제거될 수 있다. 예를 들어, 박형화 및/또는 후면 금속화(또는 다른 공정) 후에, 캐리어(8)는 반도체 소자(2)가 예를 들어 다른 반도체 디바이스 소자에 대한 결합을 포함하는 후속 공정을 겪을 수 있도록 제거될 수 있다. 이 시점에서 캐리어(8)를 제거하면 얇은 소자(2)가 측면으로 팽창할 수 있게 된다. 매우 미세한 결합 피치 애플리케이션을 갖춘 대형 다이의 경우, 다양한 두께를 갖는 다른 반도체 디바이스에 소자(2)를 정밀하게 정렬할 수 있도록 적절한 치수 보상이 제공될 수 있다. 예를 들어, 2021년 3월 19일에 출원된 미국특허출원 공개번호 제2021/0296282호(그 전체 내용은 모든 목적을 위해 본원에 참조로 포함됨) 전체에 개시된 치수 보상 기술은 정렬을 개선하기 위해 사용될 수 있다.
도 2c에서, 캐리어(8)의 후면(13)은 광(11)으로 조사될 수 있다. 광(11)은 캐리어(8)의 벌크 부분(6)이 투명한 파장(들)을 포함할 수 있다. 광은 벌크 부분(6)을 통과할 수 있고 LTH 변환층(9)과 상호작용할 수 있다. LTH 변환층(9)은 광(11)의 파장(들)에 대해 높은 흡수 계수를 가질 수 있어 층(9)의 재료가 광(11)에 노출될 때 가열된다. 일부 실시태양에서, LTH 변환층(9)은 광(11)이 층(9)을 통과하지 않고 제1 반도체 소자(2)의 금속에 충돌하지 않도록 광(11)에 대해 불투명하거나 실질적으로 불투명할 수 있다. 다양한 실시태양에서, 광(11)은 적외선(IR) 방사선을 포함할 수 있다. 예를 들어, 광(11)은 800 nm 내지 1400 nm 범위, 800 nm 내지 1200 nm 범위, 또는 800 nm 내지 1100 nm 범위, 예를 들어 하나의 실시태양에서 약 1064 nm의 파장(들)을 가질 수 있다. 광(11)의 파장(들)에서 높은 흡수 계수를 갖는 임의의 적합한 재료가 사용될 수 있다. 예를 들어, 층(9)은 IR 파장에 대해 높은 흡수 계수를 갖는 실리콘(예를 들어, 미세결정질 실리콘) 또는 금속(예: 구리, 알루미늄, 티타늄 또는 질화티타늄)을 포함할 수 있다. 층(9)은 또한 유전체층(17)과 LTH 층(9) 사이의 인터페이스 결합 인터페이스(7)에서 분리를 보장하기 위해 유전체층(17)과의 우수한 접착력을 가질 수 있다. 다양한 실시태양에서, 티타늄 또는 질화티탄이 사용될 수 있다. 다양한 실시태양에서, 광(11)은 레이저를 사용하여, 예를 들어 복수의 레이저 펄스로 캐리어(8) 상에 조사될 수 있다.
도 2d를 참조하면, LTH 층(9)은 캐리어(8)에 충돌하는 광(11)을 흡수할 수 있고, 광학 에너지를 유전체층(17)으로 전달될 수 있는 열에너지로 변환할 수 있다. 열에너지는 유전체층을 가열하여 유전체층의 온도를 높일 수 있으며, 이는 갇힌 종이나 가스의 가스 방출을 유발할 수 있다. 가스의 기포(12)는 디바이스 부분(5)과 벌크 부분(6) 사이에 형성될 수 있다. 본 명세서에 설명된 바와 같이, 가스가 방출된 기포(12)는 수소 가스, 아르곤 가스, 유전체 증착 공정으로부터의 잔류 가스 전구체 소자 및/또는 수증기와 같이 유전체층(17)에 용해된 가스(들)를 포함할 수 있다. 기포(12)는 반도체 소자(2)와 캐리어(8) 사이의 결합을 약화시켜 반도체 소자(2)로부터 캐리어(8)가 제거되도록 할 수 있다. 따라서, 도 2d에 도시된 바와 같이, 광(11)의 레이저 펄스는 과의 국소 흡수를 야기할 수 있으며, 이는열로 변환되어 기포(12)를 통해 가스 방출을 일으킬 수 있다. 유전체층(17)의 기포(12)는 유전체층(17)에서, 유전체층(17) 내부 또는 근처에서 약한 영역을 형성할 수 있으며, 이는 캐리어(8)(예: 벌크 부분(6))이 제1 소자(2)로부터 박리 및 분리되는 것을 야기할 수 있다. 확산 장벽층(10)은 가스의 기포(12)가 디바이스 영역(5)으로 확산되어 능동 회로와 상호 작용하는 것을 방지하거나 차단할 수 있다.
도 2e에서, 레이저는 캐리어(8)의 폭에 걸쳐, 예를 들어 웨이퍼 또는 다이에 걸쳐 스캔될 수 있다. 캐리어(8)에 걸쳐 광(11)을 스캐닝하는 것은 캐리어(8)가 제1 소자(2)로부터 박리되도록 폭에 걸쳐(예를 들어, 전체 결합 인터페이스(7)에 걸쳐) 충분한 공극을 생성할 수 있다. 예를 들어, 도 2e에 도시된 바와 같이, 박리는 일부 실시태양에서 결합 인터페이스(7)를 따라 발생하여 캐리어(8)(유전체층(17), LTH 변환층(9) 및 벌크 부분(6)을 포함)이 제1 반도체 소자(2)로부터 제거된다. 일부 실시태양에서, 제거 후, 제1 소자(2)의 전면(15)은 캐리어(8)로부터 임의의 남아 있는 잔류물을 제거하고/하거나 예를 들어 다른 반도체 소자(도시되지 않음)에의 후속 직접 결합을 위해 제1 비전도성 결합 재료(4a)를 준비하도록 처리될 수 있다. 예를 들어, 전면(15)은 캐리어 제거 후에(예를 들어, 제거 후 CMP 공정을 사용하여) 에칭 및/또는 평탄화될 수 있다. 일부 실시태양에서, 캐리어(8)가 제거된 후, 제1 소자(2)(웨이퍼 형태일 수 있음)는 복수의 디바이스 다이로 개별화될 수 있다. 다른 실시태양에서, 제1 소자(2)와 캐리어(8)는 캐리어(8)가 제거되기 전에 개별화될 수 있다.
제1 소자(2)가 캐리어(8)로부터 분리된 후, 얇고 처리된 제1 소자(2)는 다른 반도체 소자들에 직접 결합되어 마이크로전자 디바이스를 형성할 수 있다. 일부 실시태양에서, 제1 반도체 소자(2)는 캐리어 제거 공정을 나타내는 특징을 가질 수 있다. 예를 들어, 일부 배열에서, 제1 소자(2)의 비전도성 결합 재료(4a)는 확산된 가스를 포함할 수 있다. 전술한 바와 같이, 확산 장벽층(10)은 가스가 디바이스 영역(5)으로 확산되어 능동 회로에 부정적인 영향을 미치는 것을 차단할 수 있지만, 비전도성 결합 재료(4a)는 여전히 확산된 가스의 잔여물 또는 흔적을 포함할 수 있다. 일부 실시태양에서, 비전도성 결합 재료(4a)는 디바이스 영역(5)보다 더 높은 함량의 특정 가스(들)(확산 가스)를 포함할 수 있다. 다양한 실시태양에서, 확산 가스는 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 포함할 수 있다. 그러나 일부 실시태양에서는 후속 어닐링(예를 들어, 반대쪽 접촉 패드를 결합할 때)으로 인해 종의 추가 가스 방출 또는 외부 확산이 발생할 수 있다.
다양한 실시태양에서, 유전체층(17)은 결합 구조(1)의 다른 위치에 제공될 수 있다는 것이 이해되어야 한다. 예를 들어, 유전체층(17)은 하나의 실시태양에서는 캐리어(8)에서 결합층(4b)의 역할을 하지만 도 2a-2e에 도시된 바와 같이, 다른 실시태양에서 유전체층(17)은 결합층(4b) 아래에 배치될 수 있다. 또다른 실시태양에서, 유전체층(17)은 제1 반도체 소자(2) 상에 배치될 수 있다. 예를 들어, 유전체층은 제1 결합 재료(4a)의 역할을 할 수 있거나, 제1 결합 재료(4a)와 확산 장벽층(10) 사이에 유전체층을 포함할 수 있다. 숙련된 기술자는 제1 반도체 소자(2)의 디바이스 부분(5)과 캐리어(8)의 벌크 부분(6) 사이에 유전체층(17)을 위치시키기 위해 다른 구성이 적합할 수 있다는 것을 이해할 것이다.
위에서 설명된 바와 같이, 도 2a-2e에 도시된 실시예에서, LTH 변환층(9)은 제2 소자(3)에 제공되어 광을 열로 변환할 수 있으며, 이는 제1 소자로부터 캐리어(8)를 제거하는 기포를 생성한다. 그러나, 도 2a와 관련하여 위에서 설명한 바와 같이, 다른 실시태양에서는 중간에 LTH 변환층이 없을 수도 있다. 오히려, 위에서 설명된 바와 같이, 유전체층(17)은 LTH 변환층의 개재 없이 벌크 부분(6) 상에(및/또는 다른 빌드업층 위에) 제공될 수 있다. 이러한 실시태양에서, 결합 구조(1)는 예를 들어 오븐에 배치되어 가스 버블(12)을 생성하기에 충분한 양으로 실온 이상의 온도로 상승하도록 가열될 수 있다. 가열로부터 전달된 열에너지는 디바이스 부분(6)와 벌크 부분(6) 사이에 기포를 형성시킬 수 있다. 기포(12)는 반도체 소자(2)와 캐리어(8) 사이의 결합을 약화시켜 반도체 소자(2)로부터 캐리어(8)가 제거되도록 할 수 있다.
도 3은 반도체 디바이스와 캐리어를 결합 및 분리하는 방법을 보여주는 흐름도이다. 단계 22에서, 반도체 디바이스와 캐리어는 서로 직접 결합될 수 있다. 단계 24에서, 반도체 소자가 처리될 수 있다. 얇게 만든 후에는 후면 처리를 수행하여 특징을 추가하고 직접 결합 또는 솔더 상호 연결을 위한 후면 표면을 준비할 수 있다. 예를 들어, TSV가 반도체 소자(2)에 포함된 경우, 유전체층은 반도체 소자(2)의 후면에 증착될 수 있다. TSV는 직접 결합을 위해 나노미터 이하의 거칠기로 드러나고 평탄화되거나 솔더 상호 연결에 적합한 재료 스택으로 준비될 수 있다. 분배층, 에칭된 특징 및 전력 분배 네트워크와 같은 후면 특징은 소자(3)를 분리하기 전에 후면에 제조될 수 있다. 단계 26에서, 적어도 캐리어의 유전체층을 가열하여 유전체층 밖으로 가스 확산을 유도할 수 있다. 예를 들어, 광은 캐리어의 투명한 캐리어를 통해 광열(LTH) 변환층에 충돌하여 열에너지를 발생시키고, 이에 의해 유전체층을 가열하여 가스 방출 및 가스 확산을 유도할 수 있다. 단계 28에서, 반도체 소자는 캐리어로부터 제거될 수 있다.
일 실시태양에서, 결합 방법이 개시된다. 결합 방법은, 반도체 소자의 제1 비전도성 결합 재료를 접착제의 개재 없이 캐리어의 제2 비전도성 결합 재료에 직접 결합하는 단계로서, 제1 비전도성 결합 재료는 반도체 소자의 디바이스 부분 상에 배치되고, 제2 비전도성 결합 재료는 캐리어의 벌크 부분 상에 배치되고, 증착된 유전체층은 디바이스 부분과 벌크 부분 사이에 배치되는 것인, 단계; 및 열에너지를 유전체층에 전달하여 유전체층 밖으로의 가스의 확산을 유도함으로써 반도체 소자로부터 캐리어를 제거하는 단계를 포함한다.
일부 실시태양에서, 증착된 유전체층은 다공성 유전체 물질을 포함한다. 일부 실시태양에서, 캐리어의 제2 비전도성 결합 재료는 유전체층을 포함한다. 일부 실시태양에서, 열에너지를 전달하는 것은 직접 결합된 캐리어와 반도체 소자를 가열하는 것을 포함한다. 일부 실시태양에서, 가열은 디바이스 부분과 벌크 부분 사이에 기포가 형성되게 하고, 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되도록 한다. 일부 실시태양에서, 무기 광열(light-to-heat; LTH) 변환층이 캐리어의 벌크 부분과 유전체층 사이에 배치되고, LTH 변환층은 광을 열에너지로 변환하도록 구성되며, 열에너지를 전달하는 것은 LTH 변환층에 광을 조사하는 것을 포함한다. 일부 실시태양에서, LTH 변환층을 조사하는 것은 유전체층을 가열하여 디바이스 부분과 벌크 부분 사이에 기포가 형성되게 하고, 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되도록 한다. 일부 실시태양에서, LTH 변환층에 광을 조사하는 것은 LTH 변환층에 적외선(IR) 방사선을 조사하는 것을 포함한다. 일부 실시태양에서, LTH 변환층에 광을 조사하는 것은 LTH 변환층에 레이저를 조사하는 것을 포함한다. 일부 실시태양에서, LTH 변환층에 레이저를 조사하는 것은 캐리어의 폭에 걸쳐 레이저를 스캐닝하는 것을 포함한다. 일부 실시태양에서, 캐리어는 전면 및 전면 반대편의 후면을 갖고, 제2 비전도성 결합 재료는 전면을 적어도 부분적으로 규정하며, LTH 변환층에 광을 조사하는 것은 캐리어의 후면에 광을 조사하는 것을 포함한다. 일부 실시태양에서, LTH 변환층은 금속을 포함한다. 일부 실시태양에서, 금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함한다. 일부 실시태양에서, LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함한다. 일부 실시태양에서, 방법은 캐리어의 벌크 부분 위에 유전체층을 증착하는 단계를 포함할 수 있다. 일부 실시태양에서, 방법은 캐리어의 벌크 부분 상에 광열(LTH) 변환층을 증착하는 단계 및 LTH 변환층 상에 유전체층을 증착하는 단계를 포함할 수 있다. 일부 실시태양에서, 유전체층을 증착하는 단계는 LTH 변환층 전체에 걸쳐 유전체층을 블랭킷 증착하는 단계를 포함하고, LTH 변환층을 증착하는 단계는 벌크 부분 전체에 걸쳐 LTH 변환층을 블랭킷 증착하는 단계를 포함한다. 일부 실시태양에서, 방법은 증착 동안 유전체층의 가스 투과성을 증가시키기 위해 하나 이상의 불순물 종을 제공하는 단계를 포함할 수 있다. 일부 실시태양에서, 하나 이상의 불순물 종을 제공하는 단계는 유전체층에 탄소와 질소 중 적어도 하나를 제공하는 것을 포함한다. 일부 실시태양에서, 유전체층은 실리콘 옥시니트로카바이드를 포함한다. 일부 실시태양에서, 방법은 유전체층으로부터 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나의 확산을 유도하는 단계를 포함할 수 있다. 일부 실시태양에서, 직접 결합은 실온에서 수행된다. 일부 실시태양에서, 방법은, 직접 결합 전에, 제1 및 제2 비전도성 결합 재료 중 적어도 하나를 활성화하는 단계를 포함할 수 있다. 일부 실시태양에서, 활성화하는 단계는 제1 및 제2 비전도성 결합 재료 중 적어도 하나를 질소 함유 플라즈마에 노출시키는 것을 포함한다. 일부 실시태양에서, 유전체층과 반도체 소자의 디바이스 부분의 회로 사이에 확산 장벽층이 배치되며, 이때 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮다. 일부 실시태양에서, 확산 장벽층은 질화규소를 포함한다. 일부 실시태양에서, 방법은, 직접 결합 후에, 반도체 소자의 후면을 얇게 하는 단계를 포함할 수 있으며, 이때 후면은 비전도성 결합 재료와 반대편이다. 일부 실시태양에서, 방법은 제2 반도체 소자를 반도체 소자에 직접 결합하는 단계를 포함할 수 있다. 일부 실시태양에서, 제거하는 단계는 제2 반도체 소자를 반도체 소자에 직접 결합한 후에 수행된다. 일부 실시태양에서, 방법은, 제거하는 단계 후에, 반도체 소자를 복수의 개별화된 반도체 소자로 개별화하는 단계를 포함할 수 있다. 일부 실시태양에서, 방법은, 제거하는 단계 전에, 캐리어와 반도체 소자를 복수의 결합 구조로 개별화하는 단계를 포함할 수 있다.
다른 실시태양에서, 캐리어는 벌크 부분; 캐리어의 벌크 부분 상에 위치하며, 광을 열에너지로 변환하도록 구성된 광열(LTH) 변환층; 및 LTH 변환층 상에 위치하며, 가열될 때 유전체층 밖으로 가스가 확산되는 것을 허용하기에 충분히 투과성인 증착된 층을 포함하는 유전체층을 포함한다.
일부 실시태양에서, 유전체층은 다공성 무기 유전체 물질을 포함한다. 일부 실시태양에서, LTH 변환층은 벌크 부분 상에 블랭킷 증착되고, 유전체층은 LTH 변환층 상에 블랭킷 증착된다. 일부 실시태양에서, 벌크 부분은 유리와 저농도로 도핑된 실리콘 중 적어도 하나를 포함한다. 일부 실시태양에서, LTH 변환층은 금속을 포함한다. 일부 실시태양에서, 금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함한다. 일부 실시태양에서, LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함한다. 일부 실시태양에서, 유전체층은 실리콘 옥시니트로카바이드를 포함한다. 일부 실시태양에서, 유전체층은 유전체층의 증착 동안 추가된 불순물을 포함한다. 일부 실시태양에서, 불순물은 탄소 및 질소 중 적어도 하나를 포함한다. 일부 실시태양에서, 결합 구조는 접착제의 개재 없이 캐리어에 직접 결합된 반도체 소자를 포함할 수 있으며, 이때 반도체 소자의 비전도성 결합 재료는 유전체층에 직접 결합된다. 일부 실시태양에서, 확산 장벽층이 유전체층과 반도체 소자의 디바이스 부분의 회로 사이의 반도체 소자 내에 또는 반도체 소자 상에 배치될 수 있으며, 이때 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮다. 일부 실시태양에서, 확산 장벽층은 질화규소를 포함한다. 일부 실시태양에서, 확산 장벽층은 비전도성 결합 재료와 회로 사이에 배치된다. 일부 실시태양에서, 비전도성 결합 재료는 유전체 결합층을 포함한다.
다른 실시태양에서, 반도체 소자는, 회로를 포함하는 디바이스 부분; 디바이스 부분 위에 블랭킷 증착되며, 디바이스 부분으로의 가스 확산을 억제할 만큼 충분히 낮은 투과성을 가지는 확산 장벽층; 및 확산 장벽층이 비전도성 결합 재료와 디바이스 부분 사이에 있도록 확산 장벽층 위에 위치하며, 제2 반도체 소자에 직접 결합하기 위해 준비된 평탄화된 결합 표면을 가지는 비전도성 결합 재료를 포함할 수 있다.
일부 실시태양에서, 확산 장벽층은 질화규소를 포함한다. 일부 실시태양에서, 비전도성 결합 재료는 유전체 결합층을 포함한다. 일부 실시태양에서, 비전도성 결합 재료는 내부에 확산된 가스를 포함한다. 일부 실시태양에서, 확산된 가스는 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 포함한다. 일부 실시태양에서, 결합 구조는 접착제의 개재 없이 반도체 소자에 직접 결합된 제2 반도체 소자를 포함할 수 있다.
일 측면에서, 결합 방법이 개시된다. 결합 방법은 반도체 소자의 제1 비전도성 결합 재료를 접착제의 개재 없이 캐리어의 제2 비전도성 결합 재료에 직접 결합하는 단계를 포함할 수 있다. 제1 비전도성 결합 재료는 반도체 소자의 디바이스 부분 상에 배치된다. 제2 비전도성 결합 재료는 캐리어의 벌크 부분 상에 배치된다. 증착된 유전체층은 디바이스 부분과 벌크 부분 사이에 배치된다. 결합 방법은 열에너지를 유전체층에 전달하여 유전체층 밖으로 가스의 확산을 유도함으로써 반도체 소자로부터 캐리어를 제거하는 단계를 포함할 수 있다.
일 실시태양에서, 증착된 유전체층은 다공성 유전체 물질을 포함한다.
일 실시태양에서, 캐리어의 제2 비전도성 결합 재료는 유전체층을 포함한다.
일 실시태양에서, 열에너지를 전달하는 것은 직접 결합된 캐리어와 반도체 소자를 가열하는 것을 포함한다. 가열하면 디바이스 부분과 벌크 부분 사이에 기포가 형성될 수 있다. 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되게 할 수 있다.
일 실시태양에서, 무기 광열(LTH) 변환층이 캐리어의 벌크 부분과 유전체층 사이에 배치된다. LTH 변환층은 광을 열에너지로 변환하도록 구성될 수 있다. 열에너지를 전달하는 것은 LTH 변환층에 광을 조사하는 것을 포함할 수 있다. LTH 변환층을 조사하면 유전체층을 가열하여 디바이스 부분과 벌크 부분 사이에 기포가 형성되게 할 수 있다. 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되게 할 수 있다. LTH 변환층에 광을 조사하는 것은 LTH 변환층에 적외선(IR) 방사선을 조사하는 것을 포함할 수 있다. LTH 변환층에 광을 조사하는 것은 LTH 변환층에 레이저를 조사하는 것을 포함할 수 있다. LTH 변환층에 레이저를 조사하는 것은 캐리어의 폭에 걸쳐 레이저를 스캐닝하는 것을 포함할 수 있다. 캐리어는 전면 및 전면 반대편의 후면을 가질 수 있다. 제2 비전도성 결합 재료는 전면을 적어도 부분적으로 규정할 수 있다. LTH 변환층에 광을 조사하는 것은 캐리어의 후면에 광을 조사하는 것을 포함할 수 있다. LTH 변환층은 금속을 포함할 수 있다. 금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함한다. LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함할 수 있다.
일 실시태양에서, 결합 방법은 캐리어의 벌크 부분 위에 유전체층을 증착하는 단계를 더 포함한다.
일 실시태양에서, 결합 방법은 캐리어의 벌크 부분 상에 광열(LTH) 변환층을 증착하는 단계 및 LTH 변환층 상에 유전체층을 증착하는 단계를 더 포함한다. 유전체층을 증착하는 단계는 LTH 변환층 전체에 걸쳐 유전체층을 블랭킷 증착하는 단계를 포함할 수 있다. LTH 변환층을 증착하는 단계는 벌크 부분 전체에 걸쳐 LTH 변환층을 블랭킷 증착하는 것을 포함할 수 있다.
일 실시태양에서, 결합 방법은 유전체층의 가스 투과성을 증가시키기 위해 증착 동안 하나 이상의 불순물 종을 제공하는 단계를 더 포함한다. 하나 이상의 불순물 종을 제공하는 단계는 유전체층에 탄소 및 질소 중 적어도 하나를 제공하는 것을 포함할 수 있다. 유전체층은 실리콘 옥시니트로카바이드를 포함할 수 있다.
일 실시태양에서, 결합 방법은 유전체층으로부터 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 확산시키는 단계를 더 포함한다.
일 실시태양에서, 직접 결합은 실온에서 수행된다.
일 실시태양에서, 결합 방법은, 직접 결합 전에, 제1 및 제2 비전도성 결합 물질 중 적어도 하나를 활성화시키는 단계를 더 포함한다. 활성화하는 단계는 제1 및 제2 비전도성 결합 재료 중 적어도 하나를 질소 함유 플라즈마에 노출시키는 것을 포함할 수 있다.
일 실시태양에서, 확산 장벽층이 유전체층과 반도체 소자의 디바이스 부분의 회로 사이에 배치된다. 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮을 수 있다. 확산 장벽층은 질화규소를 포함할 수 있다.
일 실시태양에서, 결합 방법은, 직접 결합 후에, 반도체 소자의 후면을 얇게 하는 단계를 더 포함하며, 이때 후면은 비전도성 결합 재료와 반대편이다. 결합 방법은, 직접 결합 후에, 반도체 소자의 후면 또는 그 부근에 전도성 구조체를 형성하는 단계를 더 포함할 수 있다. 결합 방법은 제2 반도체 소자를 반도체 소자의 후면에 직접 결합하는 단계를 더 포함할 수 있다. 제거하는 단계는 제2 반도체 소자를 반도체 소자에 직접 결합한 후에 수행된다.
일 실시태양에서, 결합 방법은, 제거하는 단계 후에, 반도체 소자를 복수의 개별화된 반도체 소자로 개별화하는 단계를 더 포함한다.
일 실시태양에서, 결합 방법은, 제거하는 단계 전에, 캐리어와 반도체 소자를 복수의 결합 구조로 개별화하는 단계를 더 포함한다.
일 측면에서, 캐리어가 개시된다. 캐리어는 벌크 부분, 캐리어의 벌크 부분 상의 광열(LTH) 변환층, 및 LTH 변환층 상의 유전체층을 포함할 수 있다. LTH 변환층은 광을 열에너지로 변환하도록 구성된다. 유전체층은 증착된 층을 포함한다. 증착된 층은 가열될 때 유전체층 밖으로 가스가 확산되는 것을 허용하기에 충분히 투과성이 있다.
일 실시태양에서, 유전체층은 다공성 무기 유전체 물질을 포함한다.
일 실시태양에서, LTH 변환층은 벌크 부분 상에 블랭킷 증착되고, 유전체층은 LTH 변환층 상에 블랭킷 증착된다.
일 실시태양에서, 벌크 부분은 유리와 저농도로 도핑된 실리콘 중 적어도 하나를 포함한다.
일 실시태양에서, LTH 변환층은 금속을 포함한다.
일 실시태양에서, 금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함한다.
일 실시태양에서, LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함한다.
일 실시태양에서, 유전체층은 실리콘 옥시니트로카바이드를 포함한다.
일 실시태양에서, 유전체층은 유전체층의 증착 동안 첨가된 불순물을 포함한다. 불순물은 탄소와 질소 중 적어도 하나를 포함한다.
일 실시태양에서, 결합 구조는 접착제의 개재 없이 캐리어에 직접 결합된 반도체 소자를 포함한다. 반도체 소자의 비전도성 결합 재료는 유전체층에 직접 결합된다. 결합 구조는 유전체층과 반도체 소자의 디바이스 부분의 회로 사이에 반도체 소자 내에 또는 반도체 소자 상에 배치된 확산 장벽층을 더 포함할 수 있다. 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮을 수 있다. 확산 장벽층은 질화규소를 포함할 수 있다. 확산 장벽층은 비전도성 결합 재료와 회로 사이에 배치될 수 있다. 비전도성 결합 재료는 유전체 결합층을 포함한다.
일 측면에서, 반도체 소자가 개시된다. 반도체 소자는 회로를 포함하는 디바이스 부분, 디바이스 부분 위에 블랭킷 증착된 확산 장벽층, 및 확산 장벽층이 비전도성 결합 재료와 디바이스 부분 사이에 있도록 확산 장벽층 위에 위치하는 비전도성 결합 재료를 포함할 수 있다. 확산 장벽층은 디바이스 부분으로의 가스 확산을 감소시키거나 억제하도록 구성된다. 비전도성 결합 재료는 제2 반도체 소자에 직접 결합하기 위해 준비된 평탄화된 결합 표면을 가진다.
일 실시태양에서, 확산 장벽층은 수소 장벽층을 포함한다. 확산 장벽층은 2.75 g/cc 내지 5 g/cc 범위의 밀도를 가질 수 있다. 확산 장벽층은 비전도성 결합 재료의 밀도보다 더 큰 밀도를 가질 수 있다. 확산 장벽층은 장치 부분의 밀도보다 더 큰 밀도를 가질 수 있다.
일 실시태양에서, 비전도성 결합 재료는 유전체 결합층을 포함한다.
일 실시태양에서, 비전도성 결합 재료는 내부에 확산된 가스를 포함한다. 확산된 가스는 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 포함할 수 있다.
일 실시태양에서, 결합 구조는 접착제의 개재 없이 반도체 소자에 직접 결합된 제2 반도체 소자를 포함한다.
문맥상 달리 명확하게 요구되지 않는 한, 명세서 및 특허청구범위 전체에 걸쳐 용어 "포함하다", "포함하는" 등은 배타적인 의미가 아닌 포괄적인 의미, 즉, "포함하지만 이에 국한되지는 않는다"는 의미로 해석되어야 한다. 본 명세서에서 일반적으로 사용되는 "결합"이라는 단어는 둘 이상의 요소들이 직접 연결되거나 하나 이상의 중간 요소에 의해 연결될 수 있다는 것을 의미한다. 마찬가지로, 본 명세서에서 일반적으로 사용되는 "연결"이라는 단어는 둘 이상의 요소들이 직접 연결되거나 하나 이상의 중간 요소에 의해 연결될 수 있다는 것을 의미한다. 또한, "여기", "위", "아래" 및 유사한 의미의 단어는 본원에서 사용될 때 본원의 특정 부분이 아닌 전체로서의 본원을 지칭한다. 또한, 본 명세서에서 제1 소자가 제2 소자 "위"에 있다고 기술될 때, 제1 소자는 제2 소자 바로 위에 있어서, 제1 소자와 제2 소자가 직접 접촉하거나, 제1 소자와 제2 소자 사이에 하나 이상의 요소가 개재되어 제1 소자는 제2 소자 위에 간접적으로 있을 수 있다. 문맥이 허용하는 경우, 단수 또는 복수를 사용하는 상세한 설명의 단어는 각각 복수 또는 단수를 포함할 수도 있다. 두 개 이상의 항목의 목록과 관련한 "또는"이라는 단어는 단어에 대한 다음 해석을 모두 포함한다: 목록 내 임의의 항목, 목록 내 모든 항목, 및 목록 내 항목의 임의의 조합.
또한, "할 수 있다", "예를 들어", "예컨대" 등과 같은 본원에 사용된 조건부 언어는 달리 구체적으로 언급되거나 사용된 문맥 내에서 다르게 이해되지 않는다면 일반적으로 특정 실시태양이 특정 특징, 요소 및/또는 상태를 포함하지만 다른 실시태양은 포함하지 않는다는 것을 전달하도록 의도된다. 따라서, 그러한 조건부 언어는 일반적으로 특징, 요소 및/또는 상태가 하나 이상의 실시태양에 대해 어떤 식으로든 필요하다는 것을 암시하도록 의도되지 않는다.
특정 실시태양이 기술되었지만, 이들 실시태양은 단지 예로서 제시된 것이며 본 발명의 범위를 제한하려는 의도가 아니다. 실제로, 여기에 설명된 신규 장치, 방법 및 시스템은 다양한 다른 형태로 구현될 수 있다. 또한, 본 명세서에 기술된 방법 및 시스템의 형태에 있어서의 다양한 생략, 대체 및 변경이 본 발명의 취지를 벗어나지 않고 행해질 수 있다. 예를 들어, 블록이 주어진 배열로 제공되는 동안, 대안적인 실시태양은 상이한 부품 및/또는 회로 토폴로지로 유사한 기능을 수행할 수 있고, 일부 블록은 삭제, 이동, 추가, 세분화, 결합 및/또는 수정될 수 있다. 이러한 각 블록은 다양한 방식으로 구현될 수 있다. 전술한 다양한 실시태양의 요소 및 동작의 임의의 적절한 조합이 조합되어 추가 실시태양을 제공할 수 있다. 첨부된 청구범위 및 그 등가물은 본 발명의 범위 및 사상 내에 속하는 그러한 형태 또는 수정을 포함하도록 의도된다.

Claims (56)

  1. 결합 방법으로서,
    반도체 소자의 제1 비전도성 결합 재료를 접착제의 개재 없이 캐리어의 제2 비전도성 결합 재료에 직접 결합하는 단계로서, 제1 비전도성 결합 재료는 반도체 소자의 디바이스 부분 상에 배치되고, 제2 비전도성 결합 재료는 캐리어의 벌크 부분 상에 배치되고, 증착된 유전체층은 디바이스 부분과 벌크 부분 사이에 배치되는 것인, 단계; 및
    열에너지를 유전체층에 전달하여 유전체층 밖으로의 가스의 확산을 유도함으로써 반도체 소자로부터 캐리어를 제거하는 단계
    를 포함하는 것인, 결합 방법.
  2. 제1항에 있어서,
    증착된 유전체층은 다공성 유전체 물질을 포함하는 것인, 결합 방법.
  3. 제1항에 있어서,
    캐리어의 제2 비전도성 결합 재료는 유전체층을 포함하는 것인, 결합 방법.
  4. 제1항에 있어서,
    열에너지를 전달하는 것은 직접 결합된 캐리어와 반도체 소자를 가열하는 것을 포함하는 것인, 결합 방법.
  5. 제4항에 있어서,
    가열은 디바이스 부분과 벌크 부분 사이에 기포가 형성되게 하고, 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되도록 하는 것인, 결합 방법.
  6. 제1항에 있어서,
    무기 광열(light-to-heat; LTH) 변환층이 캐리어의 벌크 부분과 유전체층 사이에 배치되며, 이때 LTH 변환층은 광을 열에너지로 변환하도록 구성되며, 열에너지를 전달하는 것은 LTH 변환층에 광을 조사하는 것을 포함하는 것인, 결합 방법.
  7. 제6항에 있어서,
    LTH 변환층을 조사하는 것은 유전체층을 가열하여 디바이스 부분과 벌크 부분 사이에 기포가 형성되게 하고, 이때 기포는 반도체 소자와 캐리어 사이의 결합을 약화시켜 반도체 소자로부터 캐리어가 제거되게 하는 것인, 결합 방법.
  8. 제6항에 있어서,
    LTH 변환층에 광을 조사하는 것은 LTH 변환층에 적외선(IR) 방사선을 조사하는 것을 포함하는 것인, 결합 방법.
  9. 제6항에 있어서,
    LTH 변환층에 광을 조사하는 것은 LTH 변환층에 레이저를 조사하는 것을 포함하는 것인, 결합 방법.
  10. 제9항에 있어서,
    LTH 변환층에 레이저를 조사하는 것은 캐리어의 폭에 걸쳐 레이저를 스캐닝하는 것을 포함하는 것인, 결합 방법.
  11. 제6항에 있어서,
    캐리어는 전면 및 전면 반대편의 후면을 가지며, 이때 제2 비전도성 결합 재료는 전면을 적어도 부분적으로 규정하며, LTH 변환층에 광을 조사하는 것은 캐리어의 후면에 광을 조사하는 것을 포함하는 것인, 결합 방법.
  12. 제6항에 있어서,
    LTH 변환층은 금속을 포함하는 것인, 결합 방법.
  13. 제12항에 있어서,
    금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함하는 것인, 결합 방법.
  14. 제6항에 있어서,
    LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함하는 것인, 결합 방법.
  15. 제1항에 있어서,
    캐리어의 벌크 부분 위에 유전체층을 증착하는 단계를 더 포함하는 것인, 결합 방법.
  16. 제15항에 있어서,
    캐리어의 벌크 부분 상에 광열(LTH) 변환층을 증착하는 단계 및 LTH 변환층 상에 유전체층을 증착하는 단계를 더 포함하는 것인, 결합 방법.
  17. 제16항에 있어서,
    유전체층을 증착하는 단계는 LTH 변환층 전체에 걸쳐 유전체층을 블랭킷 증착하는 단계를 포함하고, LTH 변환층을 증착하는 단계는 벌크 부분 전체에 걸쳐 LTH 변환층을 블랭킷 증착하는 것을 포함하는 것인, 결합 방법.
  18. 제15항에 있어서,
    유전체층의 가스 투과성을 증가시키기 위해 증착 동안 하나 이상의 불순물 종을 제공하는 단계를 더 포함하는 것인, 결합 방법.
  19. 제18항에 있어서,
    하나 이상의 불순물 종을 제공하는 단계는 유전체층에 탄소 및 질소 중 적어도 하나를 제공하는 것을 포함하는 것인, 결합 방법.
  20. 제15항에 있어서,
    유전체층은 실리콘 옥시니트로카바이드를 포함하는 것인, 결합 방법.
  21. 제1항에 있어서,
    유전체층으로부터 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 확산시키는 단계를 더 포함하는 것인, 결합 방법.
  22. 제1항에 있어서,
    직접 결합은 실온에서 수행되는 것인, 결합 방법.
  23. 제1항에 있어서,
    직접 결합 전에, 제1 및 제2 비전도성 결합 물질 중 적어도 하나를 활성화시키는 단계를 더 포함하는 것인, 결합 방법.
  24. 제23항에 있어서,
    활성화하는 단계는 제1 및 제2 비전도성 결합 재료 중 적어도 하나를 질소 함유 플라즈마에 노출시키는 것을 포함하는 것인, 결합 방법.
  25. 제1항에 있어서,
    확산 장벽층이 유전체층과 반도체 소자의 디바이스 부분의 회로 사이에 배치되고, 이때 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮은 것인, 결합 방법.
  26. 제25항에 있어서,
    확산 장벽층은 질화규소를 포함하는 것인, 결합 방법.
  27. 제1항에 있어서,
    직접 결합 후에, 반도체 소자의 후면을 얇게 하는 단계를 더 포함하며, 이때 후면은 비전도성 결합 재료와 반대편인 것인, 결합 방법.
  28. 제27항에 있어서,
    직접 결합 후에, 반도체 소자의 후면 또는 그 부근에 전도성 구조체를 형성하는 단계를 더 포함하는 것인, 결합 방법.
  29. 제27항에 있어서,
    제2 반도체 소자를 반도체 소자의 후면에 직접 결합하는 단계를 더 포함하는 것인, 결합 방법.
  30. 제29항에 있어서,
    제거하는 단계는 제2 반도체 소자를 반도체 소자에 직접 결합한 후에 수행되는 것인, 결합 방법.
  31. 제1항에 있어서,
    제거하는 단계 후에, 반도체 소자를 복수의 개별화된 반도체 소자로 개별화하는 단계를 더 포함하는 것인, 결합 방법.
  32. 제1항에 있어서,
    제거하는 단계 전에, 캐리어와 반도체 소자를 복수의 결합 구조로 개별화하는 단계를 더 포함하는 것인, 결합 방법.
  33. 벌크 부분;
    캐리어의 벌크 부분 상에 위치하며, 광을 열에너지로 변환하도록 구성된 광열(LTH) 변환층; 및
    LTH 변환층 상에 위치하며, 가열될 때 유전체층 밖으로 가스가 확산되는 것을 허용하기에 충분히 투과성인 증착된 층을 포함하는 유전체층
    을 포함하는, 캐리어.
  34. 제33항에 있어서,
    유전체층은 다공성 무기 유전체 물질을 포함하는 것인, 캐리어.
  35. 제33항에 있어서,
    LTH 변환층은 벌크 부분 상에 블랭킷 증착되고, 유전체층은 LTH 변환층 상에 블랭킷 증착되는 것인, 캐리어.
  36. 제33항에 있어서,
    벌크 부분은 유리와 저농도로 도핑된 실리콘 중 적어도 하나를 포함하는 것인, 캐리어.
  37. 제33항에 있어서,
    LTH 변환층은 금속을 포함하는 것인, 캐리어.
  38. 제37항에 있어서,
    금속은 구리, 알루미늄, 티타늄 및 질화티타늄 중 적어도 하나를 포함하는 것인, 캐리어.
  39. 제33항에 있어서,
    LTH 변환층은 미세결정질 실리콘(μc-Si)을 포함하는 것인, 캐리어.
  40. 제33항에 있어서,
    유전체층은 실리콘 옥시니트로카바이드를 포함하는 것인, 캐리어.
  41. 제33항에 있어서,
    유전체층은 유전체층의 증착 동안 첨가된 불순물을 포함하는 것인, 캐리어.
  42. 제41항에 있어서,
    불순물은 탄소와 질소 중 적어도 하나를 포함하는 것인, 캐리어.
  43. 접착제의 개재 없이 제33항의 캐리어에 직접 결합된 반도체 소자를 포함하는 결합 구조로서, 이때 반도체 소자의 비전도성 결합 재료는 유전체층에 직접 결합되는 것인, 결합 구조.
  44. 제43항에 있어서,
    유전체층과 반도체 소자의 디바이스 부분의 회로 사이에 반도체 소자 내에 또는 반도체 소자 상에 배치된 확산 장벽층을 더 포함하며, 이때 확산 장벽층은 증착된 층보다 가스에 대한 투과성이 더 낮은 것인, 결합 구조.
  45. 제44항에 있어서,
    확산 장벽층은 질화규소를 포함하는 것인, 결합 구조.
  46. 제44항에 있어서,
    확산 장벽층은 비전도성 결합 재료와 회로 사이에 배치되는 것인, 결합 구조.
  47. 제44항에 있어서,
    비전도성 결합 재료는 유전체 결합층을 포함하는 것인, 결합 구조.
  48. 회로를 포함하는 디바이스 부분;
    디바이스 부분 위에 블랭킷 증착되며, 디바이스 부분으로의 가스 확산을 감소시키거나 억제하도록 구성된 확산 장벽층; 및
    확산 장벽층이 비전도성 결합 재료와 디바이스 부분 사이에 있도록 확산 장벽층 위에 위치하며, 제2 반도체 소자에 직접 결합하기 위해 준비된 평탄화된 결합 표면을 가지는 비전도성 결합 재료
    를 포함하는, 반도체 소자.
  49. 제48항에 있어서,
    확산 장벽층은 수소 장벽층을 포함하는 것인, 반도체 소자.
  50. 제49항에 있어서,
    확산 장벽층은 2.75 g/cc 내지 5 g/cc 범위의 밀도를 가지는 것인, 반도체 소자.
  51. 제49항에 있어서,
    확산 장벽층은 비전도성 결합 재료의 밀도보다 더 큰 밀도를 가지는 것인, 반도체 소자.
  52. 제49항에 있어서,
    확산 장벽층은 장치 부분의 밀도보다 더 큰 밀도를 가지는 것인, 반도체 소자.
  53. 제48항에 있어서,
    비전도성 결합 재료는 유전체 결합층을 포함하는 것인, 반도체 소자.
  54. 제48항에 있어서,
    비전도성 결합 재료는 내부에 확산된 가스를 포함하는 것인, 반도체 소자.
  55. 제54항에 있어서,
    확산된 가스는 수소 가스(H2), 아르곤 및 수증기 중 적어도 하나를 포함하는 것인, 반도체 소자.
  56. 접착제의 개재 없이 제48항의 반도체 소자에 직접 결합된 제2 반도체 소자를 포함하는, 결합 구조.
KR1020237037564A 2021-03-31 2022-03-30 캐리어의 직접 결합 및 분리 KR20230163554A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163168946P 2021-03-31 2021-03-31
US63/168,946 2021-03-31
PCT/US2022/022674 WO2022212595A1 (en) 2021-03-31 2022-03-30 Direct bonding and debonding of carrier

Publications (1)

Publication Number Publication Date
KR20230163554A true KR20230163554A (ko) 2023-11-30

Family

ID=83449660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237037564A KR20230163554A (ko) 2021-03-31 2022-03-30 캐리어의 직접 결합 및 분리

Country Status (6)

Country Link
US (1) US20220319901A1 (ko)
EP (1) EP4315399A1 (ko)
JP (1) JP2024515032A (ko)
KR (1) KR20230163554A (ko)
CN (1) CN117296132A (ko)
WO (1) WO2022212595A1 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US8461017B2 (en) * 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
JP5685567B2 (ja) * 2012-09-28 2015-03-18 株式会社東芝 表示装置の製造方法
US10224219B2 (en) * 2015-12-30 2019-03-05 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US11222864B2 (en) * 2019-01-28 2022-01-11 Amerasia International Technology Semiconductor wafer processing arrangement employing an adhesive sheet and method for processing a semiconductor wafer

Also Published As

Publication number Publication date
US20220319901A1 (en) 2022-10-06
WO2022212595A1 (en) 2022-10-06
JP2024515032A (ja) 2024-04-04
EP4315399A1 (en) 2024-02-07
CN117296132A (zh) 2023-12-26

Similar Documents

Publication Publication Date Title
KR20230163554A (ko) 캐리어의 직접 결합 및 분리
US20220320036A1 (en) Direct bonding and debonding of carrier
US20230187264A1 (en) Methods for bonding semiconductor elements
US20230197496A1 (en) Direct bonding and debonding of elements
US7371662B2 (en) Method for forming a 3D interconnect and resulting structures
TW580773B (en) Photovoltaic cell and method of manufacture of photovoltaic cells
KR20180033153A (ko) 복합 기판 및 복합 기판의 제조 방법
JP6049571B2 (ja) 窒化物半導体薄膜を備えた複合基板の製造方法
US20120322229A1 (en) Method for bonding two substrates
US20070281439A1 (en) Techniques for Layer Transfer Processing
CN108122823B (zh) 晶圆键合方法及晶圆键合结构
US20230361074A1 (en) Low temperature direct bonding
CN1533359A (zh) 薄膜以及该薄膜的制造方法
US8932938B2 (en) Method of fabricating a multilayer structure with circuit layer transfer
EP2211380B1 (en) Method of Manufacturing Laminated Wafer by High Temperature Laminating Method
JPWO2019013212A1 (ja) 高熱伝導性のデバイス基板およびその製造方法
US7524736B2 (en) Process for manufacturing wafers usable in the semiconductor industry
US8629061B2 (en) Method for three-dimensional packaging of electronic devices
EP3485505A1 (en) Method of a donor substrate undergoing reclamation
US20180033609A1 (en) Removal of non-cleaved/non-transferred material from donor substrate
US20180019169A1 (en) Backing substrate stabilizing donor substrate for implant or reclamation
JP7182105B2 (ja) Iii族窒化物半導体デバイスの製造方法
WO2018011731A1 (en) Method of a donor substrate undergoing reclamation
CN111630653A (zh) 可分离结构及使用所述结构的分离方法
FR2963162A1 (fr) Procedes de collage de structure semi-conductrice temporaire et structures semi-conductrices collees correspondantes