TWI436433B - 形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構 - Google Patents

形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構 Download PDF

Info

Publication number
TWI436433B
TWI436433B TW099124883A TW99124883A TWI436433B TW I436433 B TWI436433 B TW I436433B TW 099124883 A TW099124883 A TW 099124883A TW 99124883 A TW99124883 A TW 99124883A TW I436433 B TWI436433 B TW I436433B
Authority
TW
Taiwan
Prior art keywords
layer
germanium
source
ruthenium
drain
Prior art date
Application number
TW099124883A
Other languages
English (en)
Other versions
TW201118959A (en
Inventor
Chih Hao Chang
Jeff J Xu
Chien Hsun Wang
Chih Chieh Yeh
Chih Hsiang Chang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201118959A publication Critical patent/TW201118959A/zh
Application granted granted Critical
Publication of TWI436433B publication Critical patent/TWI436433B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構
本發明關於積體電路,特別是關於半導體電晶體結構中的矽鍺源極汲極應力源(SiGe source-drain stressor)。
應變工程(Strain engineering)被應用在半導體製程中以提昇元件的性能。藉由調整電晶體通道中的應變可提昇電子或電洞的遷移率從而提昇通道(channel)的導電率。
在互補式金氧半導體技術(CMOS technology)中,P通道金氧半導體(P-channel Metal-Oxide Semiconductor,PMOS)與N通道金氧半導體(N-channel Metal-Oxide Semiconductor,NMOS)對於不同種類的應變會有不同的反應。具體而言,藉由對通道施加壓縮應變可使PMOS的性能最佳化,反之,拉伸應變有利於NMOS。一般是用由任一莫耳比的矽與鍺所構成的矽鍺(Si1-x Gex )作為CMOS電晶體中的應變矽的一應變誘導層(strain-inducing layer)。
應變矽為一矽層,且該矽層中的矽原子被拉伸而超過其正常的原子間距(inter atomic distance)。舉例來說,可藉由將該矽層放置在一矽鍺基板上來達成。因為矽層中的原子會與其下方的矽鍺層的原子對齊,而矽鍺層的原子間距大於塊狀矽結體中的原子間距,因此,矽原子之間的連結變長從而導致應變矽。
目前,PMOS應變可藉由底切(undercut)源極/汲極區以及在底切區中磊晶成長矽鍺膜的方式來實現。矽鍺膜之較大晶格常數提供矽通道單軸應變。鍺的濃度愈高,則應變愈大,從而有較佳的性能。然而,併入矽鍺膜中的鍺受限於磊晶製程。利用習知的磊晶方法難以製作鍺濃度非常高的矽鍺膜,習知的磊晶方法對於表面預處理(surface preparation)、所使用的前驅物以及成長環境非常敏感。要去符合持續增加的鍺濃度需求以及隨著磊晶成長要保持適當地控制矽鍺的輪廓以作為PMOS中的矽鍺源極/汲極(S/D)是有挑戰性的。
本發明提出一種形成矽鍺應力源的方法如下所述。沈積一第一矽鍺層於一半導體基板上的一源極區與一汲極區之至少其中之一中,半導體基板具有位於源極區與汲極區之間的一通道。使第一矽鍺層的一頂部轉變成一氧化層以及使第一矽鍺層的一底部轉變成一第二矽鍺層,其中第二矽鍺層的鍺濃度高於第一矽鍺層的鍺濃度。
本發明提出一種形成矽鍺應力源的方法如下所述。沈積一第一矽鍺層於一半導體基板上的一源極區與一汲極區之至少其中之一中,半導體基板具有位於源極區與汲極區之間的一通道。於第一矽鍺層上沈積一矽蓋層。進行一熱氧化以使第一矽鍺層的一頂部轉變成一氧化層以及使第一矽鍺層的一底部轉變成一第二矽鍺層,其中第二矽鍺層的鍺百分比高於第一矽鍺層的鍺百分比。
本發明提出一種積體電路電晶體結構包括一半導體基板、一第一矽鍺層以及一通道。第一矽鍺層位於半導體基板上的一源極區與一汲極區之至少其中之一中,其中第一矽鍺層的鍺濃度為大於或等於50%。通道位於源極區與汲極區之間。
為使本發明之上述目的、特徵和優點能更明顯易懂,下文特舉一較佳實施例,並配合所附圖式,作詳細說明如下。
以下將詳細討論目前幾個較佳的實施例的製作與使用方式。然應注意的是,本發明提供許多可供應用的發明概念,其可以在多種特定的情況下實施。文中所討論的特定實施例僅用以說明以特定的方式去製作與使用本發明,非用以限制本發明之範圍。
此處,提供一具有高鍺濃度的矽鍺應力源的製作方法。該方法使一具有低鍺濃度的矽鍺膜轉變成一具有高鍺濃度的矽鍺膜,而毋須磊晶形成一高鍺濃度的源極/汲極。藉由擁有分開的氧化步驟與擴散步驟作為濃縮製程(condensation process),可形成以及適當地控制高鍺濃度的矽鍺輪廓。此方法可應用至位於塊狀矽或是絕緣層上覆矽(silicon on insulator,SOI)上的平面型及鰭式場效電晶體元件。在本發明之各種圖式與介紹的實施例中,相同的元件符號係用以標示相同的元件。
第1A圖-第1C圖繪示本發明一實施例之具有高鍺濃度的矽鍺應力源的一示範製程。在第1A圖中,一矽鍺膜層106與一矽蓋層108沈積於矽基板102(基板102亦可為矽鍺基板或是在矽基板上的鬆弛矽鍺膜)上,並位於源極/汲極區中的間隔層116的旁邊。閘極118下方的通道區104可包括矽或矽鍺通道。矽蓋層108可作為氧化的起點。一般而言,若是沒有矽蓋層108,則矽鍺膜的氧化品質可能偏低且可能難以控制氧化前線(oxidation front)。起初具有矽蓋層108可有助於形成一均勻的氧化層112(如第1B圖所示),並使整個濃縮輪廓更容易控制。
在第1B圖中,進行低溫熱氧化(乾式或濕式,較佳是濕式以降低溫度)以於底部界面形成一局部的高鍺百分比(濃度)的矽鍺膜層110,而且,在此步驟中,極少或是完全沒有鍺擴散穿過矽鍺/矽界面而進入基板102中。矽鍺膜層106的頂部與矽蓋層108轉變為氧化層112,而矽鍺膜層106的底部轉變為高鍺百分比的矽鍺膜層110。此熱氧化步驟亦可包括至少二階段,各階段具有不同的溫度與持續時間,以確保極少或是沒有鍺在此步驟的製程中擴散。舉例來說,第一階段可為一小時750℃的熱氧化,第二階段可為二小時600℃的熱氧化。一般來說,500℃-850℃的熱氧化製程可用來作為低溫熱氧化。
在第1C圖中,進行熱擴散以形成具有所需輪廓與深度的矽鍺應力源114。之後,移除頂氧化層112並繼續進行一般的製程。假如可以確定一最佳溫度以同時達到氧化與所需的擴散輪廓,則可結合該氧化與熱擴散步驟並同時進行。
矽鍺膜層106具有一矽鍺組成比率(Si:Ge=1-x:x,即Si1-x Gex ),而濃縮的矽鍺應力源膜114具有一矽鍺組成比率(Si:Ge=1-y:y,即Si1-y Gey )。其中x與y代表在各矽鍺膜中鍺的百分比(y>x)。矽鍺應力源層114具有比沈積的矽鍺膜層106高的鍺濃度,並提供通道104單軸的壓縮應變。
藉由分別的(低溫)氧化步驟與鍺擴散步驟作為濃縮製程,可從原本具有低鍺濃度百分比的沈積矽鍺膜106在矽鍺源極/汲極應力源膜114中形成鍺濃度較高的輪廓並可適當地控制。不會引起鍺擴散的低溫熱氧化(例如低於800℃時x<0.5以及低於600℃時x接近1,其中x是Si1-x Gex 中的數字)可得到一局部的非擴散高鍺百分比之矽鍺膜110。舉例來說,這可以透過高水蒸汽壓的濕式氧化來達成。
該氧化與擴散之二步驟製程消除了習知濃縮製程中對於絕緣層上覆矽基板的需求,習知濃縮製程是依靠氧化物來阻擋不受控制的鍺擴散。本發明所揭露的實施例亦可應用在三維(three-dimensional,3D)的結構。濃縮的高鍺濃度矽鍺應力源區114自然地接近表面通道104,以致於在元件通道上產生有效的應變。再者,若是沒有來自於源極/汲極所助長的應力(例如使用本發明所揭露的實施例),則高鍺百分比的矽鍺通道(例如Si0.5 Ge0.5 )元件上之運作本身的表現是無法與傳統的單軸應變的矽元件媲美的。
第2A圖-第2F圖繪示本發明一實施例之一具有應變的源極汲極(SSD)的平面元件的製程。在第2A圖中,製程是始於具有應變的源極汲極以及矽鍺磊晶層(SiGe epi layer)202。基板102、通道104、介電層204、閘極206以及間隙壁(sidewall spacer)208亦表示於第2A圖中。在第2B圖中,一矽鍺膜106(其鍺濃度可以是高於或是低於矽鍺磊晶層202的鍺濃度)沈積於矽鍺磊晶層202的頂部上。一矽蓋層108可視需要而沈積於矽鍺膜106的頂部上以利於均勻地氧化(如第2C圖所示)。在經過第2D圖的低溫氧化之後,形成高鍺百分比的矽鍺膜110與氧化層112。在第2E圖中,進行熱擴散以形成一濃縮的高鍺濃度矽鍺應力源膜114。矽鍺膜114的鍺濃度高於原本的矽鍺磊晶層202的鍺濃度。在第2F圖中,可移除氧化層112。
第3A圖-第3F圖繪示本發明另一實施例之一無應變的源極汲極(SSD)的平面元件的製程。在第3A圖中,製程是在不具有應變的源極汲極的情況下開始的。基板102、通道層104、介電層204、閘極206以及間隙壁208表示於第3A圖中。在第3B圖中,一矽鍺膜106沈積在通道層104的頂部上。一矽蓋層108可視需要而沈積在矽鍺膜106的頂部上以利於均勻地氧化(如第3C圖所示)。在經過圖3D的低溫氧化之後,形成高鍺百分比的矽鍺膜110以及氧化層112。在第3E圖中,進行熱擴散以形成一濃縮的高鍺濃度矽鍺應力源114。在第3F圖中,可移除氧化層112。
第4A圖-第4F圖繪示本發明一實施例之具有應變的源極汲極的鰭式場效電晶體(Fin Field-effect transistor,FinFET)元件的製程。第4A圖繪示基板102上的矽源極/汲極鰭狀區402以及淺溝槽隔離區(shallow trench isolation)406之沿著一閘極方向的剖面圖。在第4B圖中,在矽基板102的頂部上的矽源極/汲極鰭狀區402上進行非等向性(anisotropic)之具有應變的源極汲極蝕刻以及矽鍺磊晶成長製程,以形成矽鍺膜層106。在第4C圖中,一矽蓋層108可視需要而沈積在矽鍺膜106的頂部上以利於均勻地氧化。在經過第4D圖的低溫氧化之後,高鍺百分比的矽鍺膜110以及氧化層112形成在鰭狀區404上。在第4E圖中,進行熱擴散以形成一濃縮的高鍺濃度矽鍺應力源114。矽鍺應力源114的鍺濃度高於矽鍺膜106的鍺濃度。也有可能的是,整個源極/汲極區404都均勻地轉變成較高鍺濃度的矽鍺(例如404=114)。在第4F圖中,可移除氧化層112。
第5A圖-第5F圖繪示本發明另一實施例之無應變的源極汲極的鰭式場效電晶體元件的製程。第5A圖繪示基板102上的矽源極/汲極鰭狀區402以及淺溝槽隔離區406之沿著一閘極方向的剖面圖。在第5B圖中,一矽鍺膜層106成長在矽源極/汲極鰭狀區402上,且矽源極/汲極鰭狀區402位於矽基板102的頂部上。在第5C圖中,一矽蓋層108可視需要而沈積在矽鍺膜106的頂部上以利於均勻地氧化。在經過第5D圖的低溫氧化之後,高鍺百分比的矽鍺膜110以及氧化層112形成於鰭狀區402上。在第5E圖中,進行熱擴散以形成一濃縮的高鍺濃度矽鍺應力源114。矽鍺應力源114的鍺濃度高於矽鍺膜106的鍺濃度。再者,有可能的是,整個矽源極/汲極鰭狀區402都均勻地轉變成鍺濃度較高的矽鍺。在第5F圖中,可移除氧化層112。
本發明所揭露的實施例的優點特徵包括達成使更高的單軸壓應力施加於通道上而毋須再進行一次矽鍺製程,故可減輕以磊晶的方式形成鍺濃度越來越高的矽鍺膜的壓力,並除去臨界厚度(critical thickness)的限制,即具有應變的源極汲極的深度。再者,本方法可由鍺濃度較高的矽鍺源極/汲極提供一單軸應變於矽鍺通道上,此外,可由矽鍺通道提供雙軸應變於矽基板上(例如具有矽鍺源極/汲極的Si0.5 Ge0.5 通道,其鍺含量大於50%)。
在一些實施例中,形成具有高鍺濃度的矽鍺應力源的方法包括提供一半導體基板,該半導體基板具有一源極區、一汲極區以及位於該源極區與該汲極區之間的一通道;沈積矽鍺膜層於該源極區及/或該汲極區上;進行低溫熱氧化以形成一氧化層於該沈積的矽鍺膜層的頂部並將該沈積的矽鍺膜層的底部轉換成一高鍺百分比的矽鍺膜且無鍺擴散入矽基板;進行熱擴散以從該轉換的高鍺百分比矽鍺膜層形成矽鍺應力源,其中該矽鍺應力源於通道上提供單軸壓應變;以及移除該氧化層。
該方法可更包括在進行低溫熱氧化之前於該矽鍺膜層上沈積一額外的矽蓋層。可利用一高水蒸氣壓的濕式氧化製程進行低溫熱氧化,在此僅用以舉例說明並非用以限定。低溫熱氧化可具有至少二階段,該二階段具有不同的溫度與持續時間。低溫熱氧化與熱擴散可為二個分開的步驟或者是可同時進行。一額外的矽鍺膜層可以傳統的磊晶法或是前述的濃縮法沈積在矽鍺源極區及/或汲極區上。該沈積的矽鍺膜的鍺濃度可高於或低於矽鍺源極/汲極的鍺濃度。在經過本發明的製程之後,矽鍺源極/汲極區中的鍺濃度將高於其之前的鍺濃度。
在一些實施例中,通道可包括矽鍺。矽基板可於矽鍺通道上提供雙軸應變。利用本發明所述的方法,矽鍺應力源的鍺濃度可高於通道的鍺濃度,以由應力源提供一額外的單軸應變。舉例來說,通道可包括Si0.5 Ge0.5 及/或矽鍺應力源可具有大於50%的鍺。
本發明雖以較佳實施例揭露如上,然其並非用以限定本發明的範圍,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可做些許的更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
102...基板、矽基板
104...通道、通道區、通道層
106...矽鍺膜、矽鍺膜層
108...矽蓋層
110...矽鍺膜、矽鍺膜層
112...氧化層、頂氧化層
114...矽鍺膜、矽鍺應力源、矽鍺應力源膜、矽鍺應力源層、矽鍺應力源區
116...間隔層
118...閘極
202...矽鍺磊晶層
204‧‧‧介電層
206‧‧‧閘極
208‧‧‧間隙壁
402‧‧‧鰭狀區、矽源極/汲極鰭狀區
404‧‧‧鰭狀區、源極/汲極區
406‧‧‧淺溝槽隔離區
第1A圖-第1C圖繪示本發明一實施例之具有高鍺濃度的矽鍺應力源的一示範製程。
第2A圖-第2F圖繪示本發明一實施例之一具有應變的源極汲極(SSD)的平面元件的製程。
第3A圖-第3F圖繪示本發明另一實施例之一無應變的源極汲極的平面元件的製程。
第4A圖-第4F圖繪示本發明一實施例之具有應變的源極汲極的鰭式場效電晶體(Fin Field-effect transistor,FinFET)或三閘極元件的製程。
第5A圖-第5F圖繪示本發明另一實施例之無應變的源極汲極的鰭式場效電晶體或三閘極元件的製程。
102...基板、矽基板
104...通道、通道區、通道層
112...氧化層、頂氧化層
114...矽鍺膜、矽鍺應力源、矽鍺應力源膜、矽鍺應力源層、矽鍺應力源區
116...間隔層
118...閘極

Claims (5)

  1. 一種形成矽鍺應力源的方法,包括:沈積一第一矽鍺層於一半導體基板上的一源極區及/或一汲極區中,該半導體基板具有位於該源極區與該汲極區之間的一通道;進行一熱氧化製程以使該第一矽鍺層的一頂部轉變成一氧化層並使該第一矽鍺層的一底部轉變成一第二矽鍺層,其中該第二矽鍺層的鍺濃度高於該第一矽鍺層的鍺濃度;以及在該熱氧化製程之後,進行一熱擴散製程以由該第二矽鍺層形成一矽鍺應力源。
  2. 如申請專利範圍第1項所述之形成矽鍺應力源的方法,更包括:在使該第一矽鍺層的該頂部轉變成該氧化層並使該第一矽鍺層的該底部轉變成該第二矽鍺層之前,於該第一矽鍺層上沈積一矽蓋層。
  3. 如申請專利範圍第1項所述之形成矽鍺應力源的方法,其中該熱氧化包括至少二階段,各階段使用不同的溫度與持續時間。
  4. 如申請專利範圍第1項所述之形成矽鍺應力源的方法,其中該熱氧化的製程溫度約為600℃-800℃。
  5. 一種形成矽鍺應力源的方法,包括:沈積一第一矽鍺層於一半導體基板上的一源極區及/或一汲極區中,該半導體基板具有位於該源極區與該汲極區之間的一通道; 於該第一矽鍺層上沈積一矽蓋層;進行一熱氧化以使該第一矽鍺層的一頂部以及該矽蓋層轉變成一氧化層以及使該第一矽鍺層的一底部轉變成一第二矽鍺層,其中該第二矽鍺層的鍺百分比高於該第一矽鍺層的鍺百分比;以及在該熱氧化製程之後,進行一熱擴散製程以由該第二矽鍺層形成一矽鍺應力源。
TW099124883A 2009-07-28 2010-07-28 形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構 TWI436433B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22925309P 2009-07-28 2009-07-28
US12/831,842 US8623728B2 (en) 2009-07-28 2010-07-07 Method for forming high germanium concentration SiGe stressor

Publications (2)

Publication Number Publication Date
TW201118959A TW201118959A (en) 2011-06-01
TWI436433B true TWI436433B (zh) 2014-05-01

Family

ID=43526165

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099124883A TWI436433B (zh) 2009-07-28 2010-07-28 形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構

Country Status (5)

Country Link
US (3) US8623728B2 (zh)
JP (4) JP5465630B2 (zh)
KR (1) KR101136617B1 (zh)
CN (1) CN101986423B (zh)
TW (1) TWI436433B (zh)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8120120B2 (en) * 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
WO2011121776A1 (ja) * 2010-03-31 2011-10-06 株式会社 東芝 半導体装置の製造方法
US8574981B2 (en) * 2011-05-05 2013-11-05 Globalfoundries Inc. Method of increasing the germanium concentration in a silicon-germanium layer and semiconductor device comprising same
CN103165455B (zh) * 2011-12-13 2015-09-09 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
US8658505B2 (en) 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
US9607987B2 (en) 2011-12-21 2017-03-28 Intel Corporation Methods for forming fins for metal oxide semiconductor device structures
CN103187290B (zh) * 2011-12-31 2015-10-21 中芯国际集成电路制造(北京)有限公司 鳍片式场效应晶体管及其制造方法
CN103377932B (zh) * 2012-04-23 2015-09-09 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制造方法
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US20140054705A1 (en) * 2012-08-27 2014-02-27 International Business Machines Corporation Silicon germanium channel with silicon buffer regions for fin field effect transistor device
CN103632945B (zh) * 2012-08-29 2016-05-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI643346B (zh) 2012-11-22 2018-12-01 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(三)
CN103854981A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 鳍结构制造方法
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
FR3005372B1 (fr) * 2013-05-06 2016-12-09 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de realisation d'un film en silicium-germanium a teneur en germanium variable
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9293587B2 (en) * 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9012964B2 (en) 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
US9246003B2 (en) * 2013-11-19 2016-01-26 Globalfoundries Inc. FINFET structures with fins recessed beneath the gate
US9455346B2 (en) 2013-12-09 2016-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
CN104733320B (zh) * 2013-12-24 2018-01-30 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制备方法
US9257556B2 (en) 2014-01-03 2016-02-09 Qualcomm Incorporated Silicon germanium FinFET formation by Ge condensation
US9105663B1 (en) 2014-01-30 2015-08-11 International Business Machines Corporation FinFET with silicon germanium stressor and method of forming
US9306066B2 (en) 2014-02-28 2016-04-05 Qualcomm Incorporated Method and apparatus of stressed FIN NMOS FinFET
US20150255555A1 (en) * 2014-03-05 2015-09-10 Globalfoundries Inc. Methods of forming a non-planar ultra-thin body device
KR102178831B1 (ko) * 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9590037B2 (en) 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
CN103985757B (zh) * 2014-04-08 2017-05-10 上海华力微电子有限公司 围栅型纳米线晶体管
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
US9583378B2 (en) * 2014-05-01 2017-02-28 International Business Machines Corporation Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
CN105261645B (zh) 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
CN104241371A (zh) * 2014-07-31 2014-12-24 上海华力微电子有限公司 纳米线晶体管
US9384964B1 (en) 2014-08-01 2016-07-05 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
KR102219678B1 (ko) * 2014-08-12 2021-02-25 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN104332389A (zh) * 2014-08-20 2015-02-04 上海集成电路研发中心有限公司 一种高锗浓度锗硅沟道的制备方法
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
KR102259328B1 (ko) 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102262827B1 (ko) 2014-12-30 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102287398B1 (ko) 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9276013B1 (en) 2015-01-21 2016-03-01 International Business Machines Corporation Integrated formation of Si and SiGe fins
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
KR102251060B1 (ko) 2015-04-06 2021-05-14 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
KR102376481B1 (ko) * 2015-05-22 2022-03-21 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조방법
CN106252392B (zh) 2015-06-09 2020-08-18 联华电子股份有限公司 半导体元件及其制作方法
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9761667B2 (en) 2015-07-30 2017-09-12 International Business Machines Corporation Semiconductor structure with a silicon germanium alloy fin and silicon germanium alloy pad structure
TWI655774B (zh) * 2015-08-12 2019-04-01 聯華電子股份有限公司 半導體元件及其製作方法
US9812571B2 (en) * 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
CN106601810A (zh) * 2015-10-16 2017-04-26 中国科学院微电子研究所 半导体器件制造方法
US9443873B1 (en) * 2015-12-14 2016-09-13 International Business Machines Corporation Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US11043492B2 (en) 2016-07-01 2021-06-22 Intel Corporation Self-aligned gate edge trigate and finFET devices
US10062653B2 (en) 2016-09-29 2018-08-28 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US20180151727A1 (en) * 2016-11-30 2018-05-31 International Business Machines Corporation Spacer formation in vertical field effect transistors
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US10586738B2 (en) 2017-10-26 2020-03-10 Samsung Electronics Co., Ltd. Method of providing source and drain doping for CMOS architecture including FinFET and semiconductor devices so formed
US10141420B1 (en) * 2017-11-22 2018-11-27 International Business Machines Corporation Transistors with dielectric-isolated source and drain regions
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
EP3780927A4 (en) 2018-04-10 2021-04-07 Fuji Corporation TAPE FEEDER
US10490667B1 (en) 2018-05-15 2019-11-26 International Business Machines Corporation Three-dimensional field effect device
US10971490B2 (en) 2018-05-15 2021-04-06 International Business Machines Corporation Three-dimensional field effect device
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
CN110534407B (zh) * 2019-07-18 2022-03-25 上海先积集成电路有限公司 构建激光再晶化Si-Ge互扩抑制模型及制备Ge/Si虚衬底的方法
US10892222B1 (en) * 2019-09-04 2021-01-12 Globalfoundries Inc. Anti-fuse for an integrated circuit (IC) product and method of making such an anti-fuse for an IC product
US11322588B2 (en) 2019-10-14 2022-05-03 International Business Machines Corporation Contact source/drain resistance
KR20210046915A (ko) 2019-10-18 2021-04-29 삼성전자주식회사 반도체 소자
FR3113767B1 (fr) * 2020-08-31 2022-12-02 Commissariat Energie Atomique Procede ameliore d’enrichissement germanium autour du canal d’un transistor
US20220199773A1 (en) * 2020-12-21 2022-06-23 Intel Corporation Condensed source or drain structures with high germanium content
CN116666500B (zh) * 2023-07-24 2023-11-03 上海铭锟半导体有限公司 锗光电探测器及通过热失配应力提高其长波响应的方法

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) * 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) * 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) * 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) * 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
TW466405B (en) * 1998-03-17 2001-12-01 Via Tech Inc Device and method of cache in computer system
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
WO2001001466A1 (en) * 1999-06-25 2001-01-04 Massachusetts Institute Of Technology Oxidation of silicon on germanium
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) * 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
JP2002305293A (ja) * 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) * 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) * 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) * 2001-12-07 2008-07-16 富士通株式会社 半導体装置
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) * 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
JP4031329B2 (ja) * 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US7018909B2 (en) * 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
US20040192067A1 (en) * 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
US7348260B2 (en) * 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
JP2005051241A (ja) * 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw 多層ゲート半導体デバイス及びその製造方法
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) * 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) * 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
JP4413580B2 (ja) * 2003-11-04 2010-02-10 株式会社東芝 素子形成用基板の製造方法
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7153744B2 (en) * 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) * 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) * 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
JP2005252067A (ja) * 2004-03-05 2005-09-15 Toshiba Corp 電界効果トランジスタ及びその製造方法
US6956277B1 (en) * 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) * 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) * 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) * 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US6940747B1 (en) * 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7241647B2 (en) * 2004-08-17 2007-07-10 Freescale Semiconductor, Inc. Graded semiconductor layer
JP5203558B2 (ja) * 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
US7514739B2 (en) * 2004-08-27 2009-04-07 Samsung Electronics Co., Ltd Nonvolatile semiconductor device and method of fabricating the same
KR100654339B1 (ko) * 2004-08-27 2006-12-08 삼성전자주식회사 비휘발성 반도체 소자 및 그 제조 방법
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) * 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
KR20070057200A (ko) 2004-09-27 2007-06-04 다우 글로벌 테크놀로지스 인크. 플라즈마 강화 화학 기상 증착에 의한 다층 코팅
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
JP2006108365A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100652381B1 (ko) * 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) * 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) * 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) * 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006061731A1 (en) * 2004-12-06 2006-06-15 Koninklijke Philips Electronics N.V. Method of producing an epitaxial layer on a semiconductor substrate and device produced with such a method
US7026232B1 (en) * 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
US7987158B2 (en) 2005-02-09 2011-07-26 International Business Machines Corporation Method, system and article of manufacture for metadata replication and restoration
CN100481345C (zh) 2005-02-24 2009-04-22 硅绝缘体技术有限公司 SiGe层的热氧化及其应用
JP2006303451A (ja) * 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
JP2006324628A (ja) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US20060292776A1 (en) * 2005-06-27 2006-12-28 Been-Yih Jin Strained field effect transistors
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100655788B1 (ko) * 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
EP1744351A3 (en) * 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same
JP2007157788A (ja) * 2005-11-30 2007-06-21 Toshiba Corp 半導体装置
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) * 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007214481A (ja) 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
DE102006009226B9 (de) * 2006-02-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Transistors mit einer erhöhten Schwellwertstabilität ohne Durchlass-Strombeeinträchtigung und Transistor
FR2898214B1 (fr) * 2006-03-01 2008-05-16 Commissariat Energie Atomique MICROSTRUCTURE POUR LA FORMATION D'UN SUBSTRAT EN SILICIUM ET GERMANIUM SUR ISOLANT ET DE TYPE Si1-xGex
FR2898215B1 (fr) * 2006-03-01 2008-05-16 Commissariat Energie Atomique Procede de fabrication d'un substrat par condensation germanium
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
EP1868233B1 (fr) * 2006-06-12 2009-03-11 Commissariat A L'energie Atomique Procédé de réalisation de zones à base de Si1-yGey de différentes teneurs en Ge sur un même substrat par condensation de germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
JP5100137B2 (ja) 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
CN100565921C (zh) * 2007-02-27 2009-12-02 联华电子股份有限公司 半导体元件及其制造方法
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP5396268B2 (ja) * 2007-03-28 2014-01-22 ルネサスエレクトロニクス株式会社 半導体装置
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
KR100844933B1 (ko) * 2007-06-26 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7687337B2 (en) * 2007-07-18 2010-03-30 Freescale Semiconductor, Inc. Transistor with differently doped strained current electrode region
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) * 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
JP2009043938A (ja) * 2007-08-09 2009-02-26 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8288233B2 (en) * 2007-09-28 2012-10-16 Intel Corporation Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby
US7545003B2 (en) * 2007-09-29 2009-06-09 Intel Corporation Defect-free source/drain extensions for MOSFETS having germanium based channel regions
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
CN101459116B (zh) 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US7776699B2 (en) * 2008-02-05 2010-08-17 Chartered Semiconductor Manufacturing, Ltd. Strained channel transistor structure and method
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
WO2009107031A1 (en) 2008-02-26 2009-09-03 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
WO2009123926A1 (en) * 2008-04-02 2009-10-08 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Actg For & On Behalf ... Selective deposition of sige layers from single source of si-ge hydrides
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
KR101408875B1 (ko) * 2008-04-18 2014-06-17 삼성전자주식회사 게르마늄 응축을 이용한 cmos 트랜지스터 및 그제조방법
JP5554701B2 (ja) 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
JP5295651B2 (ja) * 2008-06-13 2013-09-18 株式会社東芝 乱数生成装置
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device

Also Published As

Publication number Publication date
JP2014045208A (ja) 2014-03-13
JP5465630B2 (ja) 2014-04-09
US10693003B2 (en) 2020-06-23
JP2011044706A (ja) 2011-03-03
JP6503401B2 (ja) 2019-04-17
JP6440600B2 (ja) 2018-12-19
KR20110011573A (ko) 2011-02-08
JP2016001755A (ja) 2016-01-07
CN101986423A (zh) 2011-03-16
US20110024804A1 (en) 2011-02-03
KR101136617B1 (ko) 2012-04-18
US20170263749A1 (en) 2017-09-14
US20140091362A1 (en) 2014-04-03
US8623728B2 (en) 2014-01-07
TW201118959A (en) 2011-06-01
CN101986423B (zh) 2014-09-10
US9660082B2 (en) 2017-05-23
JP2017147458A (ja) 2017-08-24

Similar Documents

Publication Publication Date Title
TWI436433B (zh) 形成高鍺濃度的矽鍺應力源的方法及積體電路電晶體結構
US9331200B1 (en) Semiconductor device and method for fabricating the same
US7566606B2 (en) Methods of fabricating semiconductor devices having strained dual channel layers
CN104124273B (zh) 具有应变缓冲层的mos器件及其形成方法
JP4239203B2 (ja) 半導体装置とその製造方法
TWI323944B (en) Semiconductor device and fabrication method thereof
JP4413580B2 (ja) 素子形成用基板の製造方法
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US20130037822A1 (en) Semiconductor Device and Manufacturing Method Thereof
JP6786755B2 (ja) 異なる歪み状態を有するフィン構造を含む半導体構造を作製するための方法及び関連する半導体構造
TW201133847A (en) Semiconductor devices and method of forming the same
JP4930375B2 (ja) 半導体装置及びその製造方法
US8575654B2 (en) Method of forming strained semiconductor channel and semiconductor device
JP2005039171A (ja) 半導体装置
JP2006165480A (ja) 半導体装置
JP2008053638A (ja) 半導体素子及びその製造方法
JP2009283527A (ja) 半導体装置およびその製造方法
US11088263B2 (en) Method of forming vertical field effect transistor device
WO2012041038A1 (zh) 半导体器件以及形成应变半导体沟道的方法
JP2005216985A (ja) 半導体装置
KR100600407B1 (ko) Pmos 소자 또는 cmos 소자용 변형된 실리콘에피층의 형성 방법
TWI307959B (zh)