KR20110011573A - 고 게르마늄 농도 SiGe 스트레서 형성방법 - Google Patents

고 게르마늄 농도 SiGe 스트레서 형성방법 Download PDF

Info

Publication number
KR20110011573A
KR20110011573A KR1020100072103A KR20100072103A KR20110011573A KR 20110011573 A KR20110011573 A KR 20110011573A KR 1020100072103 A KR1020100072103 A KR 1020100072103A KR 20100072103 A KR20100072103 A KR 20100072103A KR 20110011573 A KR20110011573 A KR 20110011573A
Authority
KR
South Korea
Prior art keywords
sige
layer
sige layer
concentration
silicon
Prior art date
Application number
KR1020100072103A
Other languages
English (en)
Other versions
KR101136617B1 (ko
Inventor
치-하오 장
제이. 제프 쉬
첸-쉰 왕
치-치에 예
치-시앙 장
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20110011573A publication Critical patent/KR20110011573A/ko
Application granted granted Critical
Publication of KR101136617B1 publication Critical patent/KR101136617B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

고 Ge 농도를 가지는 SiGe 스트레서(SiGe stressor)를 형성하기 위한 방법이 제공된다. 이 방법은 소스 영역, 드레인 영역 및 그 사이에 채널을 가지는 기판을 제공하는 단계: 소스 영역 및/또는 드레인 영역에 제1 SiGe 필름층을 증착하는 단계; 저온 열산화, 예를 들면, 고 수증기압 습식 산화를 수행하여 반도체 기판 내로의 Ge 확산없이 제1 SiGe 층의 상부에는 산화물층을 형성시키고 제1 SiGe 층의 바닥에는 고 Ge퍼센트(%)를 가지는 제2 SiGe 필름층을 형성시키는 단계; 열확산을 수행하여 제2 SiGe 필름층으로부터 채널에 일축 압축 스트레인을 제공하는 SiGe 스트레서를 형성하는 단계; 및 산화물층을 제거하는 단계를 포함한다. 산화를 수행하기에 앞서서 제1 SiGe 필름층에는 Si 캡층이 증착될 수 있다.

Description

고 게르마늄 농도 SiGe 스트레서 형성방법 {Method for forming high germanium concentration SiGe stressor}
본 발명은 본 명세서에서 완전히 참고로 기재되는 2009년 7월 28일자 출원된 미합중국 가특허출원 제61/229253호의 우선권을 주장한다.
본 발명은 일반적으로 집적 회로에 관한 것으로, 보다 상세하게는 반도체 트랜지스터 구조 내의 SiGe 소스-드레인 스트레서(SiGe source-drain stressor)에 관한 것이다.
스트레인 공학기술(strain engineering)은 반도체 제조시 소자 성능을 개선하기 위해 사용된다. 성능 이득은 전자 이동성(또는, 홀 이동성)을 증가시켜 채널을 통한 전도성을 증가시키는 트랜지스터 채널 내의 스트레인(strain)을 조절하는 것에 의해 얻어진다.
CMOS 기술에서 PMOS와 NMOS는 다른 스트레인 형태들에 대해 다르게 반응한다. 특히, PMOS 성능은 채널에 압축 스트레인(compressive strain)을 인가하는 것에 의해 가장 좋은 효과를 보이는 반면, NMOS는 인장 스트레인(tensile strain)으로부터 이득을 얻는다. 실리콘과 게르마늄의 임의의 몰비(molar ratio)로 구성되는 SiGe(Si1-xGex)는 보통 CMOS 트랜지스터 내의 스트레인드 실리콘(strained silicon)을 위한 스트레인-유도층(strain-inducing layer)과 같이, 집적회로에서 반도체 재료로 사용된다.
스트레인드 실리콘은 실리콘 원자들이 정상 원자간 거리 이상으로 신장된 실리콘 층이다. 이것은, 예를 들면, SiGe 기판 위에 실리콘 층을 형성하는 것에 의해 달성될 수 있다. 실리콘 층 내의 원자들이 벌크 실리콘 결정(bulk silicon crystal)에 대해 더 떨어져 배열되는 아래에 있는 실리콘 게르마늄층의 원자들과 정렬하기 때문에, 실리콘 원자들 사이의 연결고리들(links)은 신장되고, 이에 의해 스트레인드 실리콘이 형성된다.
현재, PMOS 스트레인은 소스/드레인 영역을 언더커팅하고 언더컷된 영역에 SiGe 필름을 에피텍셜 성장시키는 것(epitaxially growing)에 의해 구현된다. SiGe 필름의 격자 상수(lattice constant)가 크면 Si 채널에 일축 스트레인(uniaxial strain)을 제공한다. Ge농도가 높으면 높을수록 스트레인이 더 커지고, 따라서, 성능이 더 좋아진다. 하지만, SiGe 필름 내의 Ge농도는 에피텍셜 프로세스에 의해 제한된다. 매우 높은 Ge농도 SiGe 필름은 표면 처리(surface preparation), 사용된 전구체들, 및 성장 조건들에 극도로 민감한 종래의 에피텍셜 방법을 사용하여 구현되기는 어렵다. 끊임없이 증가하는 Ge농도 조건을 충족시키고 PMOS 내의 SiGe 소스/드레인(S/D)을 위한 SiGe 프로파일의 적당한 통제를 유지하는 것이 요구되고 있다.
본 발명의 목적은 고 Ge 농도를 가지는 SiGe 스트레서(SiGe stressor)를 형성하기 위한 방법 및 그에 의해 제조된 집적회로 트랜지스터 구조를 제공하는 데 있다.
본 발명의 목적은 고 Ge 농도를 가지는 SiGe 스트레서를 형성하기 위한 방법에 의해 달성된다. 본 발명의 방법은 소스 영역, 드레인 영역 및 그 사이에 채널을 가지는 기판을 제공하는 단계: 소스 영역 및/또는 드레인 영역에 제1 SiGe 필름층을 증착하는 단계; 저온 열산화, 예를 들면, 고 수증기압 습식 산화를 수행하여 반도체 기판 내부로의 Ge확산 없이 제1 SiGe 층의 상부에는 산화물층을 형성시키고 제1 SiGe 층의 바닥에는 고 Ge퍼센트(%)를 가지는 제2 SiGe 필름층을 형성시키는 단계; 열확산을 수행하여 제2 SiGe 필름층으로부터 채널에 일축 압축 스트레인을 제공하는 SiGe 스트레서를 형성하는 단계; 및 산화물층을 제거하는 단계를 포함한다. 산화를 수행하기에 앞서서 제1 SiGe 필름층에는 Si 캡층이 증착될 수 있다.
본 발명의 실시예들과 그 장점들의 더 완전한 이해를 위해, 첨부도면들에 관해 이루어진 다음 설명이 참조된다.
도 1a 내지 도 1c는 본 발명의 일 실시예에 따른 고 GE농도를 가지는 SiGe 스트레서를 위한 양호한 프로세스를 예시하며,
도 2a 내지 도 2f는 스트레인드 소스 드레인(strained source drain: SSD)을 구비한 평판 소자(planar device)를 위한 일 실시예의 프로세스를 예시하며;
도 3a 내지 도 3f는 SSD가 없는 평판 소자를 위한 다른 실시예의 프로세스를 예시하며;
도 4a 내지 도 4f는 SSD를 구비한 FinFET 또는 트리게이트 소자(trigate device)를 위한 일 실시예의 프로세스를 예시하며;
도 5a 내지 도 5f는 SSD가 없는 FinFET 또는 트리게이트 소자를 위한 다른 실시예의 프로세스를 예시한다.
본 발명의 양호한 실시예들의 제조 및 사용은 아래에 상세히 서술된다. 하지만, 본 발명은 여러가지 다양한 특정 환경들에서 구현될 수 있는 많은 적용가능한 발명 컨셉들을 제공하는 것으로 이해되어야 한다. 서술된 특정 실시예들은 단지 본 발명을 제조하고 사용하는 특정 방법을 예시하는 것이며, 본 발명의 범위를 제한하지 않는다.
고 Ge농도를 가지는 SiGe 스트레서(SiGe stressor)를 생성하기 위한 방법이 제공된다. 본 발명의 방법은 고 Ge농도 소스/드레인(source/drain: S/D)를 에피텍셜 형성(epitaxially form)할 필요 없이, 저 Ge농도를 가지는 SiGe 필름을 매우 높은 Ge농도를 가지는 SiGe 필름으로 변환한다. 농축 프로세스(condensation process)를 위한 별도의 산화 단계와 확산 단계를 수행하는 것에 의해 고 Ge농도 SiGe 프로파일이 형성되고 또 적당히 제어될 수 있다. 본 발명의 방법은 평판 소자(planar devices) 또는 FinFET 소자에 적용되거나, 또는 벌크 Si 기판(bulk Si substrate)이나 SOI 기판(silicon on insulator substrate)에 적용될 수 있다. 여러 도면들 및 본 발명의 예시한 실시예들 전체에 걸쳐 동일 참조번호가 동일 구성요소를 표시하는 데 사용된다.
도 1a 내지 도 1c는 고 Ge농도를 가지는 SiGe 스트레서를 위한 양호한 프로세스를 예시한다. 도 1a에서, SiGe 필름층(106)과 Si 캡층(cap layer)(108)은 S/D영역 내에서 스페이서층(spacer layer)(116) 옆에서 Si 기판(102)(기판(102)은 SiGe 기판, 또는 SiGe 기판 상의 이완된 SiGe 필름일 수도 있음) 상에 증착된다. 게이트(118) 아래의 채널 영역(104)은 Si 또는 SiGe 채널을 포함할 수 있다. Si 캡층(108)은 산화를 위한 시작 지점으로 사용된다. SiGe 필름의 산화물 품질은 일반적으로 불량할 수 있으므로, Si 캡층(108) 없이 산화 범위(oxidation front)를 제어하는 것은 어려울 수 있다. Si 캡층(108)을 가지는 것은 우선 첫째로 균일한 산화물층(112)(도 1b에 도시됨)을 형성하는 것을 도와주므로, 전체 농축 프로파일을 제어하기 쉽게 한다.
도 1b에서, 이 단계에서는 SiGe/Si 경계면을 통한 기판(102) 내부로의 Ge 확산이 거의 없거나 완전히 없게 하면서 바닥 경계면에 국부적인 고 Ge퍼센트(%)(농도) SiGe 필름층(110)을 형성하기 위해, 저온 열산화(건식 또는 습식, 바람직하게는 온도를 낮추는 습식)가 수행된다. (SiGe 필름층(106)의 상부와 Si 캡층(108)은 산화물층(112)으로 변환되는 반면, SiGe 필름층(106)의 바닥부는 고 Ge퍼센트(%) SiGe 필름층(110)으로 변환된다.) 이러한 열산화 단계는 이 단계에서 프로세스 동안 Ge 확산이 거의 없거나 완전히 없게 하는 것을 보증하기 위해, 각각 다른 온도와 시간을 갖는 적어도 두 개의 스테이지를 포함할 수도 있다. 예를 들면, 제1 스테이지는 750℃에서 1시간-열산화일 수 있고, 제2 스테이지는 600℃에서 2시간-열산화일 수 있다. 일반적으로, 저온 열산화를 위해 500℃ - 850℃의 열산화 프로세스들이 사용될 수 있다.
도 1c에서, SiGe 스트레서(114)를 필요 프로파일과 깊이로 형성하기 위해 열확산이 수행된다. 후에, 상부 산화물층(112)은 제거되고, 정해진 처리가 계속 진행될 수 있다. 산화와 열확산 단계들은 어떤 최적 온도가 산화와 필요 확산 프로파일을 동시에 달성하는 것으로 확인될 수 있을 경우 결합되어 동시에 수행될 수 있다.
SiGe 필름층(106)은 Si: Ge = 1-x: x(즉, Si1 - xGex)의 조성비를 가지는 반면, 농축된 SiGe 스트레서 필름(114)은 Si: Ge = 1-y: y(즉, Si1 - yGey)의 조성비를 가진다. 여기서, x와 y는 각각의 SiGe 필름에서 Ge퍼센트(%)를 나타낸다(y> x). SiGe 스트레서 필름(114)은 증착된 SiGe 필름층(106) 보다 더 높은 Ge농도를 가지므로, 채널(104)에 일축 압축 스트레인(uniaxial compressive strain)을 인가한다.
농축 프로세스를 위해 별도의 (저온) 산화 단계와 Ge 확산 단계를 수행하는 것에 의해 저 Ge농도 퍼센트(%)를 가지는 최초 증착된 SiGe 필름층(106)으로부터 더 높은 Ge농도 프로파일이 SiGe S/D 스트레서 필름(114)에 형성되고 또 적당히 제어될 수 있다. Ge 확산을 발생하지 않는 저온 열산화(예를 들면, x< 0.5인 경우 800℃ 이하이고, x가 1에 근접하는 경우는 600℃ 이하, 여기서, x는 Si1 - xGex에서 수(number)임)는 국부적인 비확산 고 Ge퍼센트 SiGe 필름(110)을 얻기 위한 것이다. 이것은, 예를 들면, 고 수증기압 습식 산화(high water vapor pressure wet oxidation)를 통해 달성될 수 있다.
이러한 산화 및 확산의 두 단계 프로세스는 종래의 농축 프로세스에서, 억제되지 않은 Ge 확산을 차단하기 위해 산화물의 존재에 의존하는 실리콘 온 인슐레이터 기판(silicon on insulator (SOI) substrate)에 대한 필요성을 없앤다. 본 발명의 개시된 실시예들은 3차원(three-dimensional: 3D) 구조들에도 적용할 수 있다. 농축된 고 Ge농도 SiGe 스트레서 영역(114)은 자연적으로 면채널(surface channel)에 접근하며, 그 결과, 소자 채널에 유효 스트레인을 야기한다. 또한, 본 발명의 개시된 실시예들은 S/D로부터의 추가 스트레스(further stress) 없이 단독으로 일반적인 일축 스트레인드 Si 소자(uniaxial strained Si device)에 대해 성능으로 경쟁할 수 없는 고 Ge퍼센트 SiGe 채널(예를 들면, Si0 .5Ge0 .5) 소자들에 유용하다.
도 2a 내지 도 2f는 스트레인드 소스 드레인(strained source drain: SSD)를 구비한 평판소자(planar device)를 위한 일 실시예의 프로세스를 예시한다. 도 2a에서, 프로세스는 SSD 및 SiGe 에피층(epi layer)(202)과 함께 시작한다. 또한, 기판(102), 채널(104), 유전체층(204), 게이트(206), 및 측벽 스페이서(spacer)(208)가 도시되어 있다. 도 2b에서, SiGe 필름(106)(Ge농도는 SiGe 에피층(202) 보다 높거나 낮을 수 있음)이 SiGe 에피층(202)의 상면에 증착된다. 선택적으로, 도 2c에 도시된 바와 같이, 균일한 산화를 돕기 위해 Si 캡층(108)이 SiGe 필름(106)의 상면에 증착된다. 도 2d에서 저온 산화 후, 고 Ge퍼센트 SiGe 필름(110)과 산화층(112)이 형성된다. 도 2e에서, 농축된 고 Ge농도 SiGe 스트레서 필름(114)을 형성하기 위해 열확산이 수행된다. SiGe 필름(114)의 Ge농도는 최초 SiGe 에피 층(202) 보다 더 높다. 도 2f에서, 산화물층(112)이 제거될 수 있다.
도 3a 내지 도 3f는 본 발명의 하나의 양상에 따라 SSD가 없는 평판 소자를 위한 다른 실시예의 프로세스를 예시한다. 도 3a에서, 프로세스는 SSD 없이 시작한다. 또한, 기판(102), 채널층(104), 유전체층(204), 게이트(206), 및 측벽 스페이서(208)가 도시되어 있다. 도 3b에서, SiGe 필름(106)이 채널층(104)의 상면에 증착된다. 선택적으로, 도 3c에 도시된 바와 같이, 균일한 산화를 돕기 위해 Si 캡층(108)이 SiGe 필름(106)의 상면에 증착된다. 도 3d에서 저온 산화 후, 고 Ge퍼센트 SiGe 필름(110)과 산화층(112)이 형성된다. 도 3e에서, 농축된 고 Ge농도 SiGe 스트레서 필름(114)을 형성하기 위해 열확산이 수행된다. 도 3f에서, 산화물층(112)이 제거될 수 있다.
도 4a 내지 도 4f는 스트레인드 소스 드레인(strained source drain: SSD)를 구비한 FinFET를 위한 일 실시예의 프로세스를 예시한다. 도 4a는 게이트 방향을 따라 기판(102) 상의 Si S/D 핀 영역(fin area)(402)과 소자분리부(shallow trench isolation)(406)의 단면을 도시한다. 도 4b에서, SiGe 필름층(106)을 형성하기 위해, Si 기판(102)의 상면 상의 Si S/D 핀 영역(402)에서 이방성 SSD 식각 및 SiGe 에피 성장이 수행된다. 도 4c에서, 균일한 산화를 돕기 위해, Si 캡층(108)이 SiGe 필름(106)의 상면에 선택적으로 증착될 수 있다. 도 4d에서 저온 산화 후, 고 Ge퍼센트 SiGe 필름(110)과 산화층(112)이 핀 영역(404) 위쪽으로 형성된다. 도 4e에서, 농축된 고 Ge농도 SiGe 스트레서(114)를 형성하기 위해 열확산이 수행된다. SiGe 스트레서(114)의 Ge농도는 SiGe 필름(106) 보다 더 높다. 또한, 전체 S/D 영역(404)이 더 높은 Ge농도 SiGe로 균일하게 변환되는 것(즉, 404 = 114)도 가능하다. 도 4f에서, 산화물층(112)이 제거될 수 있다.
도 5a 내지 도 5f는 SSD가 없는 FinFET를 위한 다른 실시예의 프로세스를 예시한다. 도 5a는 게이트 방향을 따라 기판(102) 상의 Si S/D 핀 영역(402)과 소자분리부(shallow trench isolation)(406)의 단면을 도시한다. 도 5b에서, SiGe 필름층(106)이 Si 기판(102)의 상면 상의 Si S/D 핀 영역(402) 위쪽으로 성장된다. 도 5c에서, 균일한 산화를 돕기 위해, Si 캡층(108)이 SiGe 필름(106)의 상면에 선택적으로 증착될 수 있다. 도 5d에서 저온 산화 후, 고 Ge퍼센트 SiGe 필름(110)과 산화층(112)이 핀 영역(404) 위쪽으로 형성된다. 도 5e에서, 농축된 고 Ge농도 SiGe 스트레서(114)를 형성하기 위해 열확산이 수행된다. SiGe 스트레서(114)의 Ge농도는 SiGe 필름(106) 보다 더 높다. 또, 전체 S/D 영역(404)이 더 높은 Ge농도 SiGe로 균일하게 변환되는 것이 가능하다. 도 5f에서, 산화물층(112)이 제거될 수 있다.
본 발명의 개시된 실시예들의 유리한 특징들은 더욱 더 높은 Ge농도 SiGe 필름을 에피텍시(epitaxy)로 형성하는 압박을 완화시키는 Ge 프로세스를 재개발하지 않고 달성되는 채널에 관한 매우 높은 일축 압축 스트레스(uniaxial compressive stress), 및 임계두께 제한(critical thickness constraint), 즉, 스트레인드 소스 드레인(SSD) 깊이의 배제를 포함한다. 또한, 본 발명의 방법들은 Si 기판 상의 SiGe 채널로부터의 2축 스트레인(biaxial strain)에 더하여, SiGe 채널(예를 들면, 50% 이상의 Ge를 가지는 SiGe S/D를 구비한 Si0 .5Ge0 .5 채널) 상의 더 높은 Ge농도 SiGe S/D로부터의 일축 스트레인(uniaxial strain)을 제공할 수 있다.
일부 실시예들에서, 고 Ge농도를 가지는 SiGe 스트레서를 형성하는 방법은 소스 영역, 드레인 영역, 및 소스 영역과 드레인 영역 사이의 채널을 구비하는 반도체 기판을 제공하는 단계; 소스 영역 및/또는 드레인 영역에 SiGe 필름층을 증착하는 단계; 저온 열산화를 수행하여 Si 기판 내부로의 Ge 확산 없이 증착된 SiGe 필름의 상부에 산화물층을 형성하고 증착된 SiGe 필름층의 바닥을 고 Ge퍼센트 SiGe 필름으로 변환시키는 단계; 및 열확산을 수행하여 변환된 고 Ge퍼센트 SiGe 필름층으로부터 채널에 일축 압축 스트레인을 제공하는 SiGe 프로세서를 형성하는 단계; 및 산화물층을 제거하는 단계를 포함한다.
본 발명의 방법은 저온 열산화를 수행하기에 앞서서 SiGe 필름층 상에 추가 Si 캡층을 증착하는 단계를 더 포함할 수 있다. 저온 열산화는 제한되지 않는 예로서 고 수증기압 습식 산화 프로세스를 사용하여 수행될 수 있다. 저온 열산화는 다른 온도와 시간을 갖는 적어도 두 개의 스테이지를 가질 수 있다. 저온 열산화와 열확산은 두 개의 분리된 단계이거나, 또는 동시에 수행될 수 있다. 종래의 에픽텍셜 방법 또는 앞에서 서술한 농축 방법에 의해 형성되는 SiGe 소스 영역 및/또는 드레인 영역에는 추가 SiGe 필름층이 증착될 수 있다. 증착된 SiGe 필름의 Ge농도는 SiGe 소스/드레인의 Ge농도 보다 높거나 낮을 수 있다. 본 발명의 프로세스 후, SiGe 소스/드레인의 Ge농도는 그 이전의 값 보다 더 높아질 것이다.
일부 실시예들에서, 채널은 SiGe를 포함할 수 있다. Si 기판은 SiGe 채널에 이축 스트레인을 인가할 수 있다. 본 발명에서 서술한 방법을 사용하면, SiGe 스트레서의 Ge농도는, 스트레서에서부터 추가 일축 스트레인이 인가되는 채널의 Ge농도 보다 더 높아질 것이다. 예를 들면, 채널은 Si0 .5Ge0 .5를 포함할 수 있고, 및/또는 SiGe 스트레서는 50% 이상의 Ge를 가질 수 있다.
이 기술분야의 숙련된 기술자들은 많은 변형 실시예들이 있을 수 있음을 이해할 것이다. 본 발명의 실시예들과 그 잇점들이 상세히 서술되었지만, 첨부된 특허청구범위에 의해 규정된 실시예들의 사상과 범위를 벗어나지 않고 다양한 변경, 대체 및 수정이 그것들로부터 이루어질 수 있음을 이해해야 한다. 더우기, 본 발명의 범위는 명세서에 서술된 프로세스, 기계장치, 제조, 및 물질의 조성, 수단, 방법들 및 단계들의 특정 실시예들에 제한되도록 의도되지 않는다. 이 기술분야의 일반적인 숙련된 기술자들이 본 발명으로부터 쉽게 이해할 수 있는 바와 같이, 여기에 서술된 상응 실시예들과 실질적으로 동일한 기능을 수행하거나 실질적으로 동일한 결과를 얻는 현재 존재하는 또는 후에 개발될 프로세스, 기계장치, 제조, 물질의 조성들, 수단, 방법들, 또는 단계들이 본 발명에 따라 활용될 수 있다. 따라서, 첨부된 청구항들은 프로세스, 기계장치, 제조, 물질의 조성들, 수단, 방법들, 또는 단계들을 그 범위에 포함하도록 의도된다.
102: Si 기판 104: 채널
106,110: SiGe 필름층 108: Si 캡층
112: 산화물층 114: SiGe 스트레서 필름
202: SiGe 에피층 402: Si S/D 핀 영역

Claims (10)

  1. 소스 영역과 드레인 영역 사이에 채널을 구비하는 기판 상에서 상기 소스 영역과 상기 드레인 영역 중의 적어도 하나에 제1 SiGe 층을 증착하는 단계; 및
    상기 제1 SiGe 층의 상부를 산화물층으로 변환시키고 상기 제1 SiGe 층의 바닥부를 제2 SiGe 층으로 변환시키는 단계를 포함하며,
    상기 제2 SiGe 층은 상기 제1 SiGe 층 보다 높은 Ge농도를 가지는 SiGe 스트레서 형성방법.
  2. 제1항에 있어서, 상기 제1 SiGe 층의 상기 상부를 상기 산화물층으로 변환시키고 상기 제1 SiGe 층의 상기 바닥부를 상기 제2 SiGe 층으로 변환시키는 상기 단계에 앞서서, 상기 제1 SiGe 층 상에 Si 캡층을 증착하는 단계를 더 포함하는 SiGe 스트레서 형성방법.
  3. 제1항에 있어서, 열확산 프로세스를 수행하여 상기 제2 SiGe 층으로부터 SiGe 스트레서를 형성하는 단계를 더 포함하는 SiGe 스트레서 형성방법.
  4. 제1항에 있어서, 상기 변환 단계는 열산화를 포함하는 SiGe 스트레서 형성방법.
  5. 제4항에 있어서, 상기 열산화는 각각, 다른 온도와 시간을 사용하는 적어도 두 개의 스테이지를 포함하는 SiGe 스트레서 형성방법.
  6. 제4항에 있어서, 상기 열산화는 약 600℃ - 800℃의 프로세스 온도를 가지는 SiGe 스트레서 형성방법.
  7. SiGe 스트레서를 형성하기 위한 방법에 있어서,
    소스 영역과 드레인 영역 사이에 채널을 구비하는 기판 상에서 상기 소스 영역과 상기 드레인 영역 중의 적어도 하나에 제1 SiGe 층을 증착하는 단계;
    상기 제1 SiGe 층 상에 Si 캡층을 증착하는 단계; 및
    열산화를 수행하여 상기 제1 SiGe 층의 상부를 산화물층으로 변환시키고 상기 제1 SiGe 층의 바닥부를 제2 SiGe 층으로 변환시키는 단계를 포함하며,
    상기 제2 SiGe 층은 상기 제1 SiGe 층 보다 높은 Ge퍼센트(%)를 가지는 SiGe 스트레서 형성방법.
  8. 제7항에 있어서, 열확산을 수행하여 상기 제2 SiGe 층으로부터 SiGe 스트레서를 형성하는 단계를 더 포함하는 SiGe 스트레서 형성방법.
  9. 반도체 기판;
    50% 이상의 Ge농도를 가지고 상기 반도체 기판상에서 소스 영역과 드레인 영역 중의 적어도 하나에 있는 제1 SiGe 층; 및
    상기 소스 영역과 상기 드레인 영역 사이에 있는 채널을 포함하는 집적회로 트랜지스터 구조.
  10. 제9항에 있어서,
    상기 제1 SiGe 층 아래에 있는 제2 SiGe 층을 더 포함하며,
    상기 제2 SiGe 층은 상기 제1 SiGe 층 보다 낮은 Ge농도를 가지는 집적회로 트랜지스터 구조.
KR1020100072103A 2009-07-28 2010-07-26 고 게르마늄 농도 SiGe 스트레서 형성방법 KR101136617B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US22925309P 2009-07-28 2009-07-28
US61/229,253 2009-07-28
US12/831,842 2010-07-07
US12/831,842 US8623728B2 (en) 2009-07-28 2010-07-07 Method for forming high germanium concentration SiGe stressor

Publications (2)

Publication Number Publication Date
KR20110011573A true KR20110011573A (ko) 2011-02-08
KR101136617B1 KR101136617B1 (ko) 2012-04-18

Family

ID=43526165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100072103A KR101136617B1 (ko) 2009-07-28 2010-07-26 고 게르마늄 농도 SiGe 스트레서 형성방법

Country Status (5)

Country Link
US (3) US8623728B2 (ko)
JP (4) JP5465630B2 (ko)
KR (1) KR101136617B1 (ko)
CN (1) CN101986423B (ko)
TW (1) TWI436433B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150107211A (ko) * 2014-03-13 2015-09-23 삼성전자주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8120120B2 (en) * 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
JP5454984B2 (ja) * 2010-03-31 2014-03-26 株式会社東芝 半導体装置の製造方法
US8574981B2 (en) * 2011-05-05 2013-11-05 Globalfoundries Inc. Method of increasing the germanium concentration in a silicon-germanium layer and semiconductor device comprising same
CN103165455B (zh) * 2011-12-13 2015-09-09 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
US8658505B2 (en) * 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
KR101700213B1 (ko) 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
CN103187290B (zh) * 2011-12-31 2015-10-21 中芯国际集成电路制造(北京)有限公司 鳍片式场效应晶体管及其制造方法
CN103377932B (zh) * 2012-04-23 2015-09-09 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制造方法
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US20140054705A1 (en) * 2012-08-27 2014-02-27 International Business Machines Corporation Silicon germanium channel with silicon buffer regions for fin field effect transistor device
CN103632945B (zh) * 2012-08-29 2016-05-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
TWI605592B (zh) 2012-11-22 2017-11-11 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(二)
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
CN109216181A (zh) * 2012-11-30 2019-01-15 中国科学院微电子研究所 鳍结构制造方法
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
FR3005372B1 (fr) * 2013-05-06 2016-12-09 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de realisation d'un film en silicium-germanium a teneur en germanium variable
US9209175B2 (en) 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9293587B2 (en) * 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9012964B2 (en) 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
US9246003B2 (en) * 2013-11-19 2016-01-26 Globalfoundries Inc. FINFET structures with fins recessed beneath the gate
US9455346B2 (en) 2013-12-09 2016-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
CN104733320B (zh) * 2013-12-24 2018-01-30 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制备方法
US9257556B2 (en) 2014-01-03 2016-02-09 Qualcomm Incorporated Silicon germanium FinFET formation by Ge condensation
US9105663B1 (en) 2014-01-30 2015-08-11 International Business Machines Corporation FinFET with silicon germanium stressor and method of forming
US9306066B2 (en) 2014-02-28 2016-04-05 Qualcomm Incorporated Method and apparatus of stressed FIN NMOS FinFET
US20150255555A1 (en) * 2014-03-05 2015-09-10 Globalfoundries Inc. Methods of forming a non-planar ultra-thin body device
US9590037B2 (en) * 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
CN103985757B (zh) * 2014-04-08 2017-05-10 上海华力微电子有限公司 围栅型纳米线晶体管
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
US9583378B2 (en) * 2014-05-01 2017-02-28 International Business Machines Corporation Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
TWI615976B (zh) 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
CN105261645B (zh) 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
CN104241371A (zh) * 2014-07-31 2014-12-24 上海华力微电子有限公司 纳米线晶体管
US9384964B1 (en) 2014-08-01 2016-07-05 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
KR102219678B1 (ko) * 2014-08-12 2021-02-25 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN104332389A (zh) * 2014-08-20 2015-02-04 上海集成电路研发中心有限公司 一种高锗浓度锗硅沟道的制备方法
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20160086805A1 (en) * 2014-09-24 2016-03-24 Qualcomm Incorporated Metal-gate with an amorphous metal layer
KR102259328B1 (ko) 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102262827B1 (ko) 2014-12-30 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102287398B1 (ko) 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9276013B1 (en) 2015-01-21 2016-03-01 International Business Machines Corporation Integrated formation of Si and SiGe fins
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
KR102251060B1 (ko) 2015-04-06 2021-05-14 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
KR102376481B1 (ko) * 2015-05-22 2022-03-21 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조방법
CN106252392B (zh) 2015-06-09 2020-08-18 联华电子股份有限公司 半导体元件及其制作方法
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9761667B2 (en) * 2015-07-30 2017-09-12 International Business Machines Corporation Semiconductor structure with a silicon germanium alloy fin and silicon germanium alloy pad structure
TWI655774B (zh) * 2015-08-12 2019-04-01 聯華電子股份有限公司 半導體元件及其製作方法
US9812571B2 (en) * 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
CN106601810A (zh) * 2015-10-16 2017-04-26 中国科学院微电子研究所 半导体器件制造方法
US9443873B1 (en) * 2015-12-14 2016-09-13 International Business Machines Corporation Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US9614040B1 (en) 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US11043492B2 (en) * 2016-07-01 2021-06-22 Intel Corporation Self-aligned gate edge trigate and finFET devices
US10062653B2 (en) 2016-09-29 2018-08-28 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US20180151727A1 (en) * 2016-11-30 2018-05-31 International Business Machines Corporation Spacer formation in vertical field effect transistors
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10043893B1 (en) 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US10586738B2 (en) 2017-10-26 2020-03-10 Samsung Electronics Co., Ltd. Method of providing source and drain doping for CMOS architecture including FinFET and semiconductor devices so formed
US10141420B1 (en) 2017-11-22 2018-11-27 International Business Machines Corporation Transistors with dielectric-isolated source and drain regions
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
WO2019198140A1 (ja) 2018-04-10 2019-10-17 株式会社Fuji テープフィーダ
US10971490B2 (en) 2018-05-15 2021-04-06 International Business Machines Corporation Three-dimensional field effect device
US10490667B1 (en) 2018-05-15 2019-11-26 International Business Machines Corporation Three-dimensional field effect device
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
CN110534407B (zh) * 2019-07-18 2022-03-25 上海先积集成电路有限公司 构建激光再晶化Si-Ge互扩抑制模型及制备Ge/Si虚衬底的方法
US10892222B1 (en) * 2019-09-04 2021-01-12 Globalfoundries Inc. Anti-fuse for an integrated circuit (IC) product and method of making such an anti-fuse for an IC product
US11322588B2 (en) 2019-10-14 2022-05-03 International Business Machines Corporation Contact source/drain resistance
KR20210046915A (ko) 2019-10-18 2021-04-29 삼성전자주식회사 반도체 소자
FR3113767B1 (fr) 2020-08-31 2022-12-02 Commissariat Energie Atomique Procede ameliore d’enrichissement germanium autour du canal d’un transistor
US20220199773A1 (en) * 2020-12-21 2022-06-23 Intel Corporation Condensed source or drain structures with high germanium content
CN116666500B (zh) * 2023-07-24 2023-11-03 上海铭锟半导体有限公司 锗光电探测器及通过热失配应力提高其长波响应的方法

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
TW466405B (en) 1998-03-17 2001-12-01 Via Tech Inc Device and method of cache in computer system
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6352942B1 (en) * 1999-06-25 2002-03-05 Massachusetts Institute Of Technology Oxidation of silicon on germanium
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
JP2002305293A (ja) * 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US7018909B2 (en) * 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
US20040192067A1 (en) * 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
US7348260B2 (en) * 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
JP2005051241A (ja) * 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw 多層ゲート半導体デバイス及びその製造方法
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
JP4413580B2 (ja) * 2003-11-04 2010-02-10 株式会社東芝 素子形成用基板の製造方法
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7153744B2 (en) 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
JP2005252067A (ja) * 2004-03-05 2005-09-15 Toshiba Corp 電界効果トランジスタ及びその製造方法
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) * 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US6940747B1 (en) 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7241647B2 (en) * 2004-08-17 2007-07-10 Freescale Semiconductor, Inc. Graded semiconductor layer
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
US7514739B2 (en) * 2004-08-27 2009-04-07 Samsung Electronics Co., Ltd Nonvolatile semiconductor device and method of fabricating the same
KR100654339B1 (ko) * 2004-08-27 2006-12-08 삼성전자주식회사 비휘발성 반도체 소자 및 그 제조 방법
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) * 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
EP1807545A1 (en) 2004-09-27 2007-07-18 Dow Gloval Technologies Inc. Multilayer coatings by plasma enhanced chemical vapor deposition
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
JP2006108365A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
CN100533685C (zh) * 2004-12-06 2009-08-26 Nxp股份有限公司 在半导体衬底上制造外延层的方法及用这种方法制造的器件
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
US7987158B2 (en) 2005-02-09 2011-07-26 International Business Machines Corporation Method, system and article of manufacture for metadata replication and restoration
WO2006090201A2 (en) 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
WO2006107942A1 (en) 2005-04-05 2006-10-12 Analog Devices, Inc. Vapor hf etch process mask and method
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US20060292776A1 (en) * 2005-06-27 2006-12-28 Been-Yih Jin Strained field effect transistors
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same
JP2007157788A (ja) 2005-11-30 2007-06-21 Toshiba Corp 半導体装置
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) * 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007214481A (ja) 2006-02-13 2007-08-23 Toshiba Corp 半導体装置
DE102006009226B9 (de) * 2006-02-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Transistors mit einer erhöhten Schwellwertstabilität ohne Durchlass-Strombeeinträchtigung und Transistor
FR2898215B1 (fr) * 2006-03-01 2008-05-16 Commissariat Energie Atomique Procede de fabrication d'un substrat par condensation germanium
FR2898214B1 (fr) * 2006-03-01 2008-05-16 Commissariat Energie Atomique MICROSTRUCTURE POUR LA FORMATION D'UN SUBSTRAT EN SILICIUM ET GERMANIUM SUR ISOLANT ET DE TYPE Si1-xGex
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
US8076189B2 (en) 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
EP1868233B1 (fr) * 2006-06-12 2009-03-11 Commissariat A L'energie Atomique Procédé de réalisation de zones à base de Si1-yGey de différentes teneurs en Ge sur un même substrat par condensation de germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
JP5100137B2 (ja) 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
CN100565921C (zh) * 2007-02-27 2009-12-02 联华电子股份有限公司 半导体元件及其制造方法
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP5396268B2 (ja) * 2007-03-28 2014-01-22 ルネサスエレクトロニクス株式会社 半導体装置
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
KR100844933B1 (ko) * 2007-06-26 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7687337B2 (en) * 2007-07-18 2010-03-30 Freescale Semiconductor, Inc. Transistor with differently doped strained current electrode region
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) * 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
JP2009043938A (ja) * 2007-08-09 2009-02-26 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8288233B2 (en) * 2007-09-28 2012-10-16 Intel Corporation Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby
US7545003B2 (en) * 2007-09-29 2009-06-09 Intel Corporation Defect-free source/drain extensions for MOSFETS having germanium based channel regions
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
CN101459116B (zh) 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US7776699B2 (en) * 2008-02-05 2010-08-17 Chartered Semiconductor Manufacturing, Ltd. Strained channel transistor structure and method
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
CN101960570A (zh) 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
WO2009123926A1 (en) * 2008-04-02 2009-10-08 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Actg For & On Behalf ... Selective deposition of sige layers from single source of si-ge hydrides
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
KR101408875B1 (ko) * 2008-04-18 2014-06-17 삼성전자주식회사 게르마늄 응축을 이용한 cmos 트랜지스터 및 그제조방법
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
JP5554701B2 (ja) 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
JP5295651B2 (ja) * 2008-06-13 2013-09-18 株式会社東芝 乱数生成装置
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150107211A (ko) * 2014-03-13 2015-09-23 삼성전자주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자

Also Published As

Publication number Publication date
JP2017147458A (ja) 2017-08-24
US20140091362A1 (en) 2014-04-03
JP6440600B2 (ja) 2018-12-19
JP5465630B2 (ja) 2014-04-09
US20110024804A1 (en) 2011-02-03
JP6503401B2 (ja) 2019-04-17
KR101136617B1 (ko) 2012-04-18
CN101986423B (zh) 2014-09-10
US20170263749A1 (en) 2017-09-14
JP2014045208A (ja) 2014-03-13
US9660082B2 (en) 2017-05-23
TWI436433B (zh) 2014-05-01
CN101986423A (zh) 2011-03-16
JP2011044706A (ja) 2011-03-03
US8623728B2 (en) 2014-01-07
JP2016001755A (ja) 2016-01-07
TW201118959A (en) 2011-06-01
US10693003B2 (en) 2020-06-23

Similar Documents

Publication Publication Date Title
KR101136617B1 (ko) 고 게르마늄 농도 SiGe 스트레서 형성방법
US7772071B2 (en) Strained channel transistor and method of fabrication thereof
US9331200B1 (en) Semiconductor device and method for fabricating the same
US8338259B2 (en) Semiconductor device with a buried stressor
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US7436005B2 (en) Process for fabricating a heterostructure-channel insulated-gate field-effect transistor, and the corresponding transistor
JP4930375B2 (ja) 半導体装置及びその製造方法
JP2006019727A (ja) 勾配付き組み込みシリコン−ゲルマニウムのソース−ドレイン及び/又は延長部をもつ、歪みp型mosfetの構造及びこれを製造する方法
US20070032026A1 (en) Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
JP6786755B2 (ja) 異なる歪み状態を有するフィン構造を含む半導体構造を作製するための方法及び関連する半導体構造
US8633522B2 (en) Semiconductor structure and method for fabricating the same
JP2008053638A (ja) 半導体素子及びその製造方法
US20160155818A1 (en) Method for fabricating semiconductor device
US9761693B2 (en) Method for fabricating semiconductor device
US9847393B2 (en) Semiconductor device
US20170033181A1 (en) Methods of forming replacement fins comprised of multiple layers of different semiconductor materials
CN113224160A (zh) 半导体元件及其制作方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160325

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 7