KR20240012554A - 이온 전류 보상의 장치 및 방법 - Google Patents

이온 전류 보상의 장치 및 방법 Download PDF

Info

Publication number
KR20240012554A
KR20240012554A KR1020237044585A KR20237044585A KR20240012554A KR 20240012554 A KR20240012554 A KR 20240012554A KR 1020237044585 A KR1020237044585 A KR 1020237044585A KR 20237044585 A KR20237044585 A KR 20237044585A KR 20240012554 A KR20240012554 A KR 20240012554A
Authority
KR
South Korea
Prior art keywords
waveform
voltage source
phase
output node
coupled
Prior art date
Application number
KR1020237044585A
Other languages
English (en)
Inventor
양 양
위 궈
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240012554A publication Critical patent/KR20240012554A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

본원에서 제공된 실시예들은 일반적으로 프로세싱 챔버에서 기판의 플라즈마 프로세싱을 위한 파형을 생성하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다. 본 개시내용의 실시예들은 펄스 전압 파형을 생성하기 위한 장치 및 방법을 포함하며, 이는, 펄스 전압 파형을 생성하는 프로세스의 제1 위상 동안 전극에 메인 전압 소스를 결합하는 것 ― 전극은 프로세싱 챔버 내에 배치됨 ―, 펄스 전압 파형을 생성하는 프로세스의 제2 위상 동안 전극에 접지 노드를 결합하는 것, 펄스 전압 파형을 생성하는 프로세스의 제3 위상 동안 전극에 제1 보상 전압 소스를 결합하는 것, 그리고 펄스 전압 파형을 생성하는 프로세스의 제4 위상 동안 전극에 제2 보상 전압 소스를 결합하는 것을 포함한다.

Description

이온 전류 보상의 장치 및 방법
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 디바이스 제조에 사용되는 시스템에 관한 것이다. 보다 구체적으로, 본 개시내용의 실시예들은 기판을 프로세싱하는 데 사용되는 플라즈마 프로세싱 시스템에 관한 것이다.
[0002] 고종횡비 피처들을 신뢰할 수 있게 생성하는 것은 차세대의 반도체 디바이스들에 대한 핵심 기술 난제들 중 하나이다. 고종횡비 피처들을 형성하는 하나의 방법은 프로세싱 챔버에서 플라즈마가 형성되고, 플라즈마로부터의 이온들이 기판의 표면 쪽으로 가속되어, 기판의 표면 상에 형성된 마스크 층 아래에 배치된 재료 층에 개구들이 형성되는 플라즈마-보조 에칭 프로세스를 사용한다.
[0003] 전형적인 플라즈마-보조 에칭 프로세스에서, 기판은 프로세싱 챔버에 배치된 기판 지지부 상에 포지셔닝되고, 플라즈마는 기판 위에 형성되며, 이온들이 플라즈마와 기판 표면 사이에 형성된 플라즈마 시스(plasma sheath), 즉 전자가 고갈된 구역에 걸쳐 플라즈마로부터 기판을 향해 가속된다.
[0004] RF 신호들을 포함하는 정현파 파형만을 플라즈마 프로세싱 챔버의 전극들 중 하나 이상에 전달하는 종래의 RF 플라즈마 보조 에칭 프로세스들은 시스 특성들 및 생성된 이온 에너지들을 적절하거나 바람직하게 제어하지 못하며, 이는 바람직하지 않은 플라즈마 프로세싱 결과들로 이어진다는 것이 밝혀졌다. 바람직하지 않은 프로세싱 결과들은 마스크 층의 과도한 스퍼터링 및 고종횡비 피처들의 측벽 결함들의 생성을 포함할 수 있다.
[0005] 따라서, 바람직한 플라즈마 보조 에칭 프로세싱 결과들을 제공할 수 있는 플라즈마 프로세싱 및 바이어싱 방법들에 대한 당업계의 요구가 있다.
[0006] 본원에서 제공된 실시예들은 일반적으로 프로세싱 챔버에서 기판의 플라즈마 프로세싱을 위한 파형을 생성하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다.
[0007] 본 개시내용의 위의 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하고, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0008] 도 1은 본원에서 기술된 방법들을 실행하도록 구성된 하나 이상의 실시예들에 따른 프로세싱 시스템의 개략적인 단면도이다.
[0009] 도 2a는 하나 이상의 실시예들에 따라, 프로세싱 챔버의 전극에 적용될 수 있는 전압 파형을 도시한다.
[0010] 도 2b는 프로세싱 챔버의 전극에 적용된 전압 파형으로 인해 기판 상에 설정된 전압 파형을 도시한다.
[0011] 도 3a는 단일 주파수 여기 파형을 사용할 때 전형적인 IED(ion energy distribution)를 예시한다.
[0012] 도 3b는 본 개시내용의 특정 실시예들에 따른 IED 함수(IEDF)를 예시하는 그래프이다.
[0013] 도 4a는 본 개시내용의 특정 실시예들에 따라 도 5a의 파형 생성기를 사용하여 생성된 PV(pulsed-voltage) 파형을 예시한다.
[0014] 도 4b는 본 개시내용의 특정 실시예들에 따라 도 5c의 파형 생성기를 사용하여 생성된 PV 파형을 예시한다.
[0015] 도 4c는 본 개시내용의 특정 실시예들에 따라 도 5a의 파형 생성기를 사용하여 생성된 측정 파형을 예시한다.
[0016] 도 5a는 본 개시내용의 특정 양상들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기의 예시적인 구현을 예시한다.
[0017] 도 5b는 본 개시내용의 특정 양상들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기의 예시적인 구현을 예시한다.
[0018] 도 5c는 본 개시내용의 특정 양상들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기의 예시적인 구현을 예시한다.
[0019] 도 6은 본 개시내용의 특정 실시예들에 따라 도 5c의 파형 생성기와 함께 사용하기 위한 예시적인 필터 토폴로지를 예시한다.
[0020] 도 7은 본 개시내용의 특정 양상들에 따라 도 5a, 도 5b 또는 도 5c의 파형 생성기의 스위치들의 상태를 예시하는 타이밍도이다.
[0021] 도 8은 파형 생성을 위한 방법을 예시하는 프로세스 흐름도이다.
[0022] 기술 노드가 2nm를 향해 진보함에 따라, 더 큰 종횡비들을 갖는 더 작은 피처의 제조는 플라즈마 프로세싱을 위한 원자 정밀도를 수반한다. 플라즈마 이온이 중요한 역할을 하는 에칭 프로세스들에서, 이온 에너지 제어는 반도체 장비 산업의 난제이다. 종래에 RF 바이어스 기술들은 정현파를 사용하여 플라즈마를 여기시키고 이온들을 가속한다.
[0023] 본 개시내용의 일부 실시예들은 일반적으로 IED(ion energy distribution)를 제어하기 위해 PV(pulsed-voltage) 파형을 생성하기 위한 기술에 관한 것이다. 예컨대, 펄스 전압 파형 및 RF(radio frequency) 파형이 플라즈마 챔버 내 동일한 노드에 적용되어 본원에서 더 자세히 설명된 바와 같이, 저에너지 피크와 고에너지 피크 사이의 중에너지들이 거의 또는 전혀 없이 IED 함수에서 저에너지 피크 및 고에너지 피크를 구현할 수 있다. 고에너지 피크와 연관된 이온들은 에칭되는 고종횡비 피처의 최하부까지 도달하고 에칭 반응들을 가능하게 하는 에너지 및 방향성을 갖는다. 저에너지를 가진 이온들이 에칭 동안 피처의 최하부에 도달할 수 없지만, 저에너지 이온들은 에칭 프로세스들에 대해 여전히 중요하다. 중에너지를 가진 이온들은 원하는 방향성을 갖지 않고 에칭되는 피처의 측벽에 부딪혀 종종 에칭된 피처 내 측벽들의 원치않는 휨을 초래하기 때문에, 중에너지를 가진 이온들은 에칭 프로세스들에 대해 유익하지 않다. 일부 실시예들은 중에너지 이온들이 거의 또는 전혀 없이 고에너지 및 저에너지 피크들을 갖는 PV 파형을 생성하기 위한 기술들에 관한 것이다.
플라즈마 프로세싱 시스템 예들
[0024] 도 1은 본원에서 기술된 플라즈마 프로세싱 방법들 중 하나 이상을 수행하도록 구성된 프로세싱 시스템(10)의 개략적인 단면도이다. 일부 실시예들에서, 프로세싱 시스템(10)은 RIE(reactive ion etch) 플라즈마 프로세싱과 같은 플라즈마-보조 에칭 프로세스들을 위해 구성된다. 그러나, 본원에서 설명된 실시예들은 또한 다른 플라즈마-보조 프로세스들 이를테면, 플라즈마-강화 증착 프로세스들 예컨대, PECVD(plasma-enhanced chemical vapor deposition) 프로세스들, PEPVD(plasma-enhanced physical vapor deposition) 프로세스들, PEALD(plasma-enhanced atomic layer deposition) 프로세스들, 플라즈마 처리 프로세싱 또는 플라즈마 기반 이온 주입 프로세싱, 예컨대, PLAD(plasma doping) 프로세싱에서 사용하도록 구성된 프로세싱 시스템들과 함께 사용될 수 있다는 것이 주의되어야 한다.
[0025] 도시된 바와 같이, 프로세싱 시스템(10)은 CCP(capacitively coupled plasma)를 형성하도록 구성되며, 여기서 프로세싱 챔버(100)는 프로세싱 볼륨(129)에 또한 배치된 하부 전극(예컨대, 기판 지지 조립체(136))을 향하는 프로세싱 볼륨(129)에 배치된 상부 전극(예컨대, 챔버 덮개(123))을 포함한다. 전형적인 CCP(capacitively coupled plasma) 프로세싱 시스템에서, 상부 또는 하부 전극들 중 하나에 전기적으로 결합된 RF(radio frequency) 소스는 플라즈마(예컨대, 플라즈마(101)) ― 이는 상부 및 하부 전극들 각각에 용량 결합되고 상부 및 하부 전극들 사이의 프로세싱 구역에 배치됨 ― 를 점화 및 유지하도록 구성된 RF 신호를 전달한다. 전형적으로, 상부 또는 하부 전극들 중 대향하는 전극은 부가적인 플라즈마 여기를 위해 접지 또는 제2 RF 전원에 결합된다. 도시된 바와 같이, 프로세싱 시스템(10)은 프로세싱 챔버(100), 기판 지지 조립체(136) 및 시스템 제어기(126)를 포함한다.
[0026] 프로세싱 챔버(100)는 전형적으로 프로세싱 볼륨(129)을 집합적으로 정의하는 챔버 덮개(123), 하나 이상의 측벽(122) 및 챔버 베이스(124)를 포함하는 챔버 바디(113)를 포함한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 일반적으로 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지를 형성하도록 사이즈가 정해지고 성형된 재료들을 포함하고, 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129)에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안 이들에 인가되는 압력들 및 추가 에너지를 견디도록 구성된다. 일 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 알루미늄, 알루미늄 합금 또는 스테인리스강 합금과 같은 금속으로 형성된다.
[0027] 챔버 덮개(123)를 통해 배치된 가스 유입구(128)는 하나 이상의 프로세싱 가스들을 그와 유체 연통하는 프로세싱 가스 소스(119)로부터 프로세싱 볼륨(129)으로 전달하는 데 사용된다. 기판(103)은 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(미도시)로 밀봉된 하나 이상의 측벽들(122) 중 하나 내 개구(미도시)를 통해 프로세싱 볼륨(129) 내로 로딩되고 이로부터 제거된다.
[0028] 일부 실시예들에서, 기판 지지 조립체(136)에 형성된 개구들을 통해 이동 가능하게 배치된 복수의 리프트 핀들(20)은 기판 지지 표면(105A)으로의 그리고 기판 지지 표면(105A)으로부터의 기판 이송을 용이하게 하기 위해 사용된다. 일부 실시예들에서, 복수의 리프트 핀들(20)은 위에 배치되고 프로세싱 볼륨(129)에 배치된 리프트 핀 후프(미도시)에 결합되고 그리고/또는 맞물릴 수 있다. 리프트 핀 후프는 챔버 베이스(124)를 통해 밀봉식으로 연장되는 샤프트(미도시)에 결합될 수 있다. 샤프트는 리프트 핀 후프를 상승 및 하강시키는 데 사용되는 액추에이터(미도시)에 결합될 수 있다. 리프트 핀 후프가 상승된 포지션에 있을 때, 리프트 핀 후프는 기판 지지 표면(105A) 위로 리프트 핀들의 상부 표면들을 상승시키기 위해 복수의 리프트 핀들(20)과 맞물리고, 그로부터 기판(103)을 리프팅하고 로봇 핸들러(미도시)에 의해 기판(103)의 비활성(후면) 표면에 대한 액세스를 가능하게 한다. 리프트 핀 후프가 하강된 포지션에 있을 때, 복수의 리프트 핀들(20)은 기판 지지 표면(105A)과 동일 평면이거나 기판 지지 표면(105A) 아래로 리세싱되고, 기판(103)은 그 위에 안착된다.
[0029] 본원에서 프로세싱 챔버 제어기로서 또한 지칭되는 시스템 제어기(126)는 CPU(central processing unit)(133), 메모리(134) 및 지원 회로들(135)을 포함한다. 시스템 제어기(126)는 본원에 기재된 기판 바이어싱 방법을 포함하여, 기판(103)을 프로세싱하는데 사용되는 프로세스 시퀀스를 제어하는데 사용된다. CPU(133)는 프로세싱 챔버 및 이와 관련된 서브-프로세서들을 제어하기 위해 산업 현장에서 사용하도록 구성된 범용 컴퓨터 프로세서이다. 일반적으로 비휘발성 메모리인 본원에서 설명된 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격의 다른 적합한 형태의 디지털 저장소를 포함할 수 있다. 지원 회로들(135)은 CPU(133)에 통상적으로 결합되고, 그리고 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급기들 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 지시하기 위해 코딩되어 메모리(134) 내에 저장될 수 있다. 시스템 제어기(126) 내 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령들)은 어떤 태스크들이 프로세싱 시스템(10) 내 컴포넌트들에 의해 수행 가능한지를 결정한다.
[0030] 전형적으로, 시스템 제어기(126) 내 CPU(133)에 의해 판독 가능한 프로그램은 프로세서(CPU(133))에 의해 실행될 때 본원에서 설명된 플라즈마 프로세싱 방식들과 관련된 태스크들을 수행하는 코드를 포함한다. 프로그램은, 본원에서 설명되는 방법들을 구현하기 위해 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해, 프로세싱 시스템(10) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 수 있다. 일 실시예에서, 프로그램은 도 8과 관련하여 아래에 설명된 동작들 중 하나 이상을 수행하는 데 사용되는 명령들을 포함한다.
[0031] 플라즈마 제어 시스템은 일반적으로 바이어스 전극(104)에서 적어도 제1 PV(pulsed voltage) 파형을 설정하기 위한 제1 소스 조립체(196) 및 에지 제어 전극(115)에서 적어도 제2 PV 파형을 설정하기 위한 제2 소스 조립체(197)를 포함한다. 제1 PV 파형 또는 제2 PV 파형은 파형 생성기 조립체(150) 내의 하나 이상의 컴포넌트들을 사용하여 생성될 수 있으며, 이는 도 4a 내지 도 5c와 관련하여 본원에서 보다 상세히 설명되는 바와 같은 파형 생성기에 대응할 수 있다. 일 실시예에서, 파형 생성기 조립체(150)는 바이어스 전극(104) 및 에지 제어 전극(115)에서 도 4a에 예시된 PV 파형(400)과 유사한 PV 파형을 생성하도록 구성된, 도 5a에 예시된 파형 생성기(500)를 포함한다.
[0032] 일부 실시예들에서, 파형 생성기 조립체(150)는 바이어스 전극(104), 에지 제어 전극(115) 및/또는 지지 베이스(107)(예컨대, 전력 전극 또는 캐소드)에서 도 4b에 예시된 PV 파형(450)과 유사한 PV 파형을 생성하도록 구성된, 도 5c에 예시된 파형 생성기(550)와 같은 RF 소스 및 PV 파형 생성 소스를 포함한다. 제1 소스 조립체(196)의 파형 생성기 조립체(150)의 파형 생성기(550)는 PV 파형 및 RF 신호를 지지 베이스(107)(예컨대, 전력 전극 또는 캐소드) 또는 바이어스 전극(104)에 전달하도록 구성될 수 있다. 일부 실시예들에서, 도 1에 도시된 바와 같이, 제3 소스 조립체(198) 내의 별개의 파형 생성기 조립체(150)는 적어도, RF 신호를 지지 베이스(107)(예컨대, 전력 전극 또는 캐소드)로 전달하도록 구성된 RF 소스를 포함한다.
[0033] 제1 소스 조립체(196), 제2 소스 조립체(197) 또는 제3 소스 조립체(198)로부터 제공된 인가된 RF 신호는 기판 지지 조립체(136)와 챔버 덮개(123) 사이에 배치된 프로세싱 구역에서 플라즈마(101)를 생성(유지 및/또는 점화)하도록 구성될 수 있다. 일부 실시예들에서, RF 신호는 프로세싱 볼륨(129)에 배치된 프로세싱 가스들 및 지지 베이스(107) 및/또는 바이어스 전극(104)에 전달된 RF 전력(RF 신호)에 의해 생성된 필드들을 사용하여 플라즈마(101)를 점화하고 유지하는 데 사용된다. 프로세싱 볼륨(129)은, 대기압 이하의 조건에서 프로세싱 볼륨(129)을 유지하고 프로세싱 및/또는 다른 가스들을 프로세싱 볼륨(129)으로부터 배기(evacuate)시키는 진공 출구(120)를 통해 하나 이상의 전용 진공 펌프들(미도시)에 유체 결합된다. 일부 실시예들에서, 프로세싱 볼륨(129) 내에 배치된 기판 지지 조립체(136)는, 접지되고 챔버 베이스(124)를 통해 연장되는 지지 샤프트(138) 상에 배치된다. 제1 소스 조립체(196), 제2 소스 조립체(197) 또는 제3 소스 조립체(198) 내 파형 생성기 조립체(150)로부터 제공되는 인가된 RF 신호는 일부 실시예들에서, RF 신호 소스(581) 및 RF 매칭 네트워크(582)를 사용하여 구현되는 RF 생성기(506)(도 5c)에 의해 제공될 수 있다. 일부 실시예들에서, 아래에서 추가로 논의되는 바와 같이, RF 생성기(506)는 40MHz보다 큰, 이를테면, 약 40MHz 내지 약 200MHz의 주파수를 갖는 RF 신호를 전달하도록 구성된다.
[0034] 도 1을 다시 참조하면, 기판 지지 조립체(136)는 일반적으로 기판 지지 표면(105A)을 갖는 기판 지지부(105)(예컨대, ESC 기판 지지부) 및 지지 베이스(107)를 포함한다. 일부 실시예들에서, 기판 지지 조립체(136)는 아래에서 추가로 논의되는 바와 같이 절연체 플레이트(111) 및 접지 플레이트(112)를 부가적으로 포함할 수 있다. 지지 베이스(107)는 절연 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 격리되고, 접지 플레이트(112)는 절연 플레이트(111)와 챔버 베이스(124) 사이에 개재된다. 기판 지지부(105)는 지지 베이스(107)에 열적으로 결합되어 지지 베이스(107) 상에 배치된다. 일부 실시예들에서, 지지 베이스(107)는, 기판 프로세싱 동안, 기판 지지부(105) 및 기판 지지부(105) 상에 배치된 기판(103)의 온도를 조절하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는 내부에 배치된 하나 이상의 냉각 채널들(미도시)을 포함하며, 이 냉각 채널들은 냉각제 소스(미도시) 이를테면, 비교적 높은 전기 저항을 갖는 냉매 소스 또는 물 소스에 유체 결합되고 유체 연통된다. 일부 실시예들에서, 기판 지지부(105)는 그의 유전체 재료에 매립된 저항성 가열 엘리먼트와 같은 히터(미도시)를 포함한다. 본원에서, 지지 베이스(107)는 내부식성 열 전도성 재료, 이를테면 내부식성 금속, 예컨대, 알루미늄, 알루미늄 합금, 또는 스테인리스 강으로 형성되고, 그리고 접착제 또는 기계적 수단에 의해 기판 지지부에 결합된다.
[0035] 전형적으로, 기판 지지부(105)는 유전체 재료 이를테면, 벌크 소결 세라믹 재료 이를테면, 내부식성 금속 산화물 또는 금속 질화물 재료 예컨대, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 형성된다. 본원의 실시예들에서, 기판 지지부(105)는 기판 지지부(105)의 유전체 재료에 매립된 바이어스 전극(104)을 더 포함한다.
[0036] 일 구성에서, 바이어스 전극(104)은 기판 지지부(105)의 기판 지지 표면(105A)에 기판(103)을 고정(즉, 척킹)하고 본원에서 설명된 펄스 전압 바이어싱 방식들 중 하나 이상을 사용하여 플라즈마(101)에 대해 기판(103)을 바이어싱하는 데 사용되는 척킹 폴이다. 전형적으로, 바이어스 전극(104)은 하나 이상의 금속 메쉬들, 포일들, 플레이트들 또는 이들의 조합들과 같은 하나 이상의 전기 전도성 부분들로 형성된다. 일부 실시예들에서, 기판 표면 및 바이어스 전극(104)은 용량성 엘리먼트(예컨대, 정전 척 커패시터(Cesc)로서 지칭됨)를 형성하며, 이는 일부 실시예들에서, 도 1에 도시된 바와 같이 바이어스 전극(104)과 기판 지지 표면(105A) 사이에 배치된 기판 지지부(105)의 유전체 재료 층을 포함한다.
[0037] 일부 실시예들에서, 바이어스 전극(104)은 동축 전력 전달 라인(106)(예컨대, 동축 케이블)과 같은 전기 도체를 사용하여 약 -5000V 내지 약 5000V의 정적 DC 전압과 같은 척킹 전압을 제공하는 클램핑 네트워크에 전기적으로 결합된다. 클램핑 네트워크는 DC 전력 공급기(155)(예컨대, 고전압 DC 공급기) 및 필터(151)(예컨대, 저역 통과 필터)를 포함한다.
[0038] 기판 지지 조립체(136)는 에지 링(114) 아래에 포지셔닝되고 바이어스 전극(104)을 둘러싸고 그리고/또는 바이어스 전극(104)의 중심으로부터 거리를 두고 배치되는 에지 제어 전극(115)을 더 포함할 수 있다. 일반적으로, 원형 기판들을 프로세싱하도록 구성된 프로세싱 챔버(100)에 대해, 에지 제어 전극(115)은 형상이 환형이고 전도성 재료로 만들어지며, 바이어스 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 도 1에 도시된 바와 같은 일부 실시예들에서, 에지 제어 전극(115)은 기판 지지부(105)의 구역 내에 포지셔닝된다. 일부 실시예들에서, 도 1에 예시된 바와 같이, 에지 제어 전극(115)은 바이어스 전극(104)으로서 기판 지지부(105)의 기판 지지 표면(105A)으로부터 유사한 거리(즉, Z-방향)에 배치된 전도성 메쉬, 포일, 및/또는 플레이트를 포함한다.
[0039] 에지 제어 전극(115)은 바이어스 전극(104)을 바이어싱하는 데 사용되는 파형 생성기 조립체(150)와 상이한 파형 생성기 조립체를 사용하여 바이어싱될 수 있다. 일부 실시예들에서, 에지 제어 전극(115)은 전력의 일부를 에지 제어 전극(115)으로 분할함으로써 바이어스 전극(104)을 바이어싱하는 데 또한 사용되는 파형 생성기 조립체(150)를 사용하여 바이어싱될 수 있다. 일 구성에서, 제1 소스 조립체(196)의 파형 생성기 조립체(150)는 바이어스 전극(104)을 바이어싱하도록 구성되고, 제2 소스 조립체(197)의 파형 생성기 조립체(150)는 에지 제어 전극(115)을 바이어싱하도록 구성된다.
[0040] 전력 전달 라인(157)은 제1 소스 조립체(196)의 파형 생성기 조립체(150)의 출력을 바이어스 전극(104)에 전기적으로 연결한다. 아래의 논의는 제1 소스 조립체(196)의 파형 생성기 조립체(150)를 바이어스 전극(104)에 결합하는 데 사용되는 제1 소스 조립체(196)의 전력 전달 라인(157)을 주로 논의하지만, 제2 소스 조립체(197)의 파형 생성기 조립체(150)를 에지 제어 전극(115)에 결합하는 제2 소스 조립체(197)의 전력 전달 라인(158)은 동일하거나 유사한 컴포넌트들을 포함할 것이다. 전력 전달 라인(157)의 다양한 부분들 내의 전기 도체(들)는 (a) 강성 동축 케이블과 직렬로 연결된 유연한 동축 케이블과 같은 동축 케이블들 중 하나 또는 동축 케이블들의 조합, (b) 절연된 고전압 코로나 내성 후크업 와이어, (c) 나선, (d) 금속 막대, (e) 전기 커넥터, 또는 (f) (a) ― (e)의 전기 엘리먼트들 임의의 조합을 포함할 수 있다.
[0041] 일부 실시예들에서, 프로세싱 챔버(100)는 기판 지지부(105) 및/또는 지지 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물들과 접촉하는 것을 방지하기 위해 기판 지지 조립체(136)의 일부들을 적어도 부분적으로 에워싸는 석영 파이프(110) 또는 칼라를 더 포함한다. 전형적으로, 석영 파이프(110), 절연체 플레이트(111) 및 접지 플레이트(112)는 캐소드 라이너(108)에 의해 에워싸인다. 일부 실시예들에서, 플라즈마 스크린(109)은 캐소드 라이너(108)와 하나 이상의 측벽들(122) 사이에서 플라즈마 스크린(109) 아래의 볼륨에 플라즈마가 형성되는 것을 방지하도록 캐소드 라이너(108)와 측벽들(122) 사이에 포지셔닝된다.
[0042] 도 2a는 프로세싱 챔버의 전극에 설정될 수 있는 전압 파형을 도시한다. 도 2b는 도 2a에 도시된 전압 파형과 유사하게, 프로세싱 챔버 내의 전극에서 별개로 설정되는 상이한 전압 파형들로 인해 기판 표면에서 설정된 상이한 유형들의 전압 파형들(225 및 230)의 예를 예시한다. 파형들은 도시된 바와 같이 2개의 스테이지들: 이온 전류 스테이지 및 시스 붕괴 스테이지를 포함한다. 이온 전류 스테이지의 선두에서, 기판 전압의 강하는 기판 위에 고전압 시스를 생성하여, 양이온들을 기판으로 가속한다. 이온 전류 스테이지 동안 기판의 표면에 충격을 가하는 양이온들은 기판 표면에 양전하를 증착하며, 이는 보상되지 않는 경우, 도 2b의 전압 파형(225)에 의해 예시된 바와 같이 이온 전류 스테이지 동안 양으로 점진적으로 증가하는 기판 전압을 야기한다. 그러나, 기판 표면 상의 양전하의 제어되지 않은 축적은 바람직하지 않게 시스 및 척 커패시터들을 점진적으로 방전시켜, 전압 파형(225)에 의해 예시된 바와 같이 시스 전압 강하를 느리게 감소시키고 기판 전위를 0에 가깝게 만든다. 양전하의 축적은 기판 표면에 설정된 전압 파형에서 전압 강하를 초래한다(도 2b). 그러나, 도 2a에 도시된 바와 같이, 이온 전류 스테이지 동안 음의 기울기를 갖는, 전극에서 설정되는 전압 파형은 도 2b의 곡선(230)에 의해 도시된 바와 같이, 설정된 기판 전압 파형에 대해 정사각형 형상 구역(예컨대, 0에 가까운 기울기)을 설정하도록 생성될 수 있다. 이온 전류 스테이지 동안 전극에서 설정된 파형의 기울기를 구현하는 것을 전류 보상으로서 지칭될 수 있다. 이온 전류 페이즈(phase)의 선두와 말미 사이의 전압 차이는 IEDF(ion energy distribution function) 폭을 결정한다. 전압 차이가 클수록 IEDF 폭이 넓다. 단일 에너지 이온(mono-energetic ion)들 및 더 좁은 IEDF 폭을 달성하기 위해, 전류 보상을 사용하여 이온 전류 페이즈에서 기판 전압 파형을 평탄화하기 위한 동작들이 수행된다. 본 개시내용의 일부 실시예들에서, RF 신호는 도 2a에 도시된 전압 파형 상에 오버레이된다.
파형 생성을 위한 생성 기술
[0043] 본 발명의 특정 실시예들은 일반적으로 에칭된 고종횡비 피처들에 형성된 바람직하지 않은 IED 휘어짐 프로파일을 감소시키면서, 동시성 플라즈마 생성 및 IED(ion energy distribution) 제어를 사용하여 기판의 플라즈마 프로세싱을 용이하게 하는 파형 생성을 위한 기술들에 관한 것이다. 예컨대, PV(pulsed-voltage) 파형은 PV 파형 상에 오버레이된 RF 신호로 생성될 수 있다. 일부 실시예들에서, 생성된 파형은 또한 본원에서 설명된 바와 같이 전류 보상을 용이하게 하기 위한 램프 신호를 포함할 수 있다.
[0044] 도 3a는 단일 RF 주파수 여기 파형을 사용할 때 전형적인 IED를 예시한다. 도시된 바와 같이, IED는 고에너지 피크(306), 저에너지 피크(302) 및 중에너지 이온들(예컨대, 중에너지 구역(304)과 연관됨)을 갖는 바이모달 형상(bimodal shape)을 갖는다. 플라즈마 에칭 프로세스들의 양상으로부터, 고에너지 피크에 있거나 그 근처에 있는 이온들만이 에칭되는 재료에서 발생되는 이온 생성 충전 효과를 극복하기 위한 에너지 및 방향성을 갖고, 피처의 최하부에 도달하고 에칭 반응을 가능하게 한다. 중에너지들을 가진 이온들은 방향성을 갖지 않고 피처의 측벽에 부딪히는 경향이 있어 종종 원치않는 IED 휘어짐 프로파일을 초래하므로, 이들은 에칭 프로세스에 유익하지 않다. 저에너지를 가진 이온들은 마스크 표면을 세정하고 마스크 층의 형상을 유지하여 홀 막힘(hole clogging)을 방지하므로, 이들은 에칭 프로세스에 중요하다. 본 개시내용의 일부 실시예들은, 고에너지 피크 및 저에너지 피크를 가지며, 고에너지 피크와 저에너지 피크 사이에 중에너지가 거의 또는 전혀 없는 에너지 프로파일을 생성하는 것에 관한 것이다.
[0045] 도 3b는 본 개시내용의 특정 실시예들에 따른 IED 함수(IEDF)를 예시하는 그래프이다. 도시된 바와 같이, IEDF는 더 낮은 에너지 피크(301) 및 더 높은 에너지 피크(303)를 포함한다. 저에너지 피크와 연관된 에너지는 수백 eV 미만(예컨대, 1K eV 미만)일 수 있고, 고에너지 피크와 연관된 에너지는 기판에 형성될 피처의 종횡비에 의존하여 수백 eV 내지 수만 eV일 수 있다. 예컨대, 일부 경우들에서, 고에너지 피크와 연관된 에너지는 4k eV 내지 10k eV일 수 있다. 도시된 바와 같이, 더 낮은 에너지 피크(301)와 더 높은 에너지 피크(303) 사이에 이온들이 존재하지 않는다(또는 적어도 종래의 구현들보다 더 적음). 일부 실시예들은 본원에서 더 자세히 설명된 바와 같이 PV 파형 맞춤 기술을 사용하여 도 3b에 도시된 이온 에너지 분포를 구현하기 위한 기술들에 관한 것이다.
[0046] 도 4a는 본 개시내용의 특정 실시예들에 따라 파형 생성기를 사용하여 생성된 PV 파형(400)을 예시한다. 도시된 바와 같이, PV 파형(400)은 파형 구역들(401 및 405)을 포함한다. 파형 구역(401)은 DC(direct current) 신호를 포함하고, 파형 구역(405)은 이온 전류 보상에 사용될 수 있는 전압 계단(voltage staircase)을 포함한다.
[0047] 펄스 파형 사이클 내의 파형 구역(401)의 일부 동안, 플라즈마 벌크 전자들은 PV 파형(400)의 상승 에지(402)로 인해 기판(예컨대, 기판(103))의 표면으로 끌어당겨진다. 위에서 논의한 바와 같이, 기판 표면과 전극(예컨대, 바이어스 전극(104))은 용량성 엘리먼트(예컨대, 정전 척 커패시터(Cesc)로서 지칭됨)를 형성하며, 이는 스테이지 동안 벌크 플라즈마에 의해 제공되는 전자들의 축적에 의해 생성된 필드를 상쇄하기 위해 (예컨대, 기판 상의 음전하와 비교하여) 전극 상에 동일한 양의 양전하를 야기할 것이다.
[0048] PV 파형(400)의 하강 에지(403)에서, 전극에 대한 PV 파형(400)의 적용으로 인해 이온들이 전자들에 의해 중화된다. 따라서 음의 전압 V0이 전극에 설정되고 음의 DC 시스 전위 Vdc가 기판 표면 상에 설정된다. 이는 더 높은 에너지 피크(303)의 기원이다. DC 시스 전위(Vdc) 또는 더 높은 이온 에너지는 하강 에지(403)에서의 전압 강하(ΔV) 및 다음 방정식에 기초한 시스 커패시턴스(Csheath)와 Cesc 사이의 비를 사용하여 근사화될 수 있다:
따라서, 파형 구역(401)은 (예컨대, 더 낮은 에너지 피크(301)를 생성하는 동안) 챔버에서 플라즈마를 유지하고 더 높은 에너지 피크(303)에 대한 DC 시스 전위(Vdc)를 설정하는 역할을 한다.
[0049] 인입 이온들이 기판 표면 상의 전자들을 중화하고 양전하들이 기판 표면 상에 축적됨에 따라, 이온 보상 수단이 없는 경우 DC 시스 전위(Vdc)가 감소한다. 결과적으로, 기판 표면 상에 입사되는 이온들은 DC 시스 전위의 변화로 인해 단일 에너지가 아닐 것이다. 파형 구역(405) 내에서 발견된 이온 전류 스테이지 동안 기판 상의 양전하의 수집을 보상하기 위한 노력의 일환으로, 일부 실시예들에서, 전압 계단이 전극에 적용되어 시스 전위(Vdc)의 변화를 보상하고 그리하여 일정한 시스 전위(Vdc )(단일 에너지 피크)를 유지한다. 일부 실시예들에서, 파형 구역(405) 내 바이어스 전극(104)에 적용되는 전압 계단은 2개 이상의 하위 단계들로 분할되며, 각각은 하위 단계들 사이에서 변동되거나 일정할 수 있는 시간 지속기간 을 갖는다. 시간 지속기간 를 갖는 제1 하위 단계(406)에서, 총 양전하량 이 기판 표면 상에 축적되며, 여기서 이온 전류(Iion)는 로서 전극 전압(V) 및 시스 커패시턴스(Csheath)의 시간 미분(time derivative)에 기초하여 계산될 수 있고 이에 따라 DC 시스 전위(Vdc)는 만큼 감소한다. DC 시스 전위(Vdc)의 이러한 변화를 보상하기 위해, 하강 에지(407)에서 전압 강하 가 적용되며, 여기서 전압 강하 로서 선택된다. 전압 계단의 하나 이상의 하위 단계들 동안 적용되는 전압 강하 의 요구된 양은 알려지거나 측정된 이온 전류 Iion으로부터 결정될 수 있다.
[0050] 일부 실시예들에서, 전압 계단의 하위 단계들 중 하나 이상에 대한 전압 강하 를 결정하는 데 사용되는 이온 전류 Iion은 측정 파형(399)(도 4c)을 전극에 적용함으로써 미리 측정된다. 도 4c에 도시된 바와 같이, 측정 파형(399)은 파형 구역(401) 및 측정 구역(419)을 포함한다. 측정 파형(399)은 먼저 전압 펄스를 적용하여 파형 구역(401)을 형성함으로써 전극(예컨대, 바이어스 전극(104))에 제공될 때 측정된다. 하강 에지(403)가 형성된 후, 측정 구역(419) 동안 전극 전압의 시간 감쇠가 측정된다. 측정 파형(399)은 곡선(418)에 의해 예시되는 측정 구역(419)의 기간의 적어도 일부 동안 측정된 전압 감쇠(즉, 레이트 dV/dt)로 인한 보상되지 않은 이온 전류를 계산하거나 추정하는 데 사용되는 하나 이상의 사이클들을 포함할 수 있다. 하강 에지(403)의 말미에서의 출력 전압은 전형적으로 이 프로세스 동안 측정되어서, 출력 전압이 PV 파형(400 또는 450)에서 발견되는 후속 이온 보상 스테이지에 대한 기준 전압으로서 사용될 수 있다.
[0051] 따라서 이온 전류 Iion이 결정되면. 하위 단계들 각각에 대한 시간 지속기간 및 전압 강하 가 결정되어 PV 파형(400 또는 450)의 이온 전류 스테이지 동안 이온 전류에 의해 생성된 전압 감쇠를 보상한다. 일반적으로, 형성된 하위 단계들은 도 4a의 곡선(411)과 같은 선형 보상 곡선에 근사될 것이어서, 플라즈마 프로세싱 동안 기판에 설정된 파형의 일부는 PV 파형(400 또는 450)의 이온 전류 스테이지 동안 도 2b의 곡선(230)에 의해 예시된 정사각형 형상 구역을 포함할 것이다. 시스템 제어기(126) 내의 하나 이상의 소프트웨어 알고리즘들은 측정 파형(399)에 기초하여 이온 전류를 측정 및 결정하고, 또한 이온 전류를 보상하기 위해 PV 파형(400 또는 450) 내에서 사용될 하위 단계들 각각의 특성들(예컨대, 시간 지속기간 및 전압 강하 )을 또한 결정하는 데 사용된다.
[0052] 제1 하위 단계(406)에 대해 바람직한 전압 강하 가 결정되면, 전압 강하 는 제1 하위 단계(406)의 말미에서(즉, 파형 구역(405)의 하강 에지(407)에서) 의 전압을 인가하도록 구성된 제1 전압 소스에 전극을 연결함으로써 구현될 수 있다. 일부 실시예들에서, 전압 강하 를 생성하는 데 사용되는 제1 전압 소스의 출력은 고정된 전압이다. 다른 실시예들에서, 제1 전압 소스의 출력 전압은 결정된 전압 강하 에 기초하여 시스템 제어기(126)로부터 제공되는 커맨드 신호에 의해 원하는 세트 포인트로 조정된다.
[0053] 마찬가지로, 제2 하위 단계(408)에 대해 바람직한 전압 강하 가 결정되면, 전압 강하 는 제2 하위 단계(408)의 말미에서(즉, 파형 구역(405)의 하강 에지(409)에서) 의 전압을 인가하도록 구성된 제2 전압 소스에 전극을 연결함으로써 구현될 수 있다. 일 실시예에서, 하강 에지(409)에 적용된 전압 강하 는 하강 에지(407)에 적용된 전압 강하 와 동일한 크기를 가지며, 따라서 전압 이다. 그러나 일부 실시예들에서, 하강 에지(409)에 적용되는 전압 강하 의 크기가 하강 에지(407)에 적용되는 전압 강하 와 상이한 것이 바람직할 수 있다. 일부 실시예들에서, 제2 전압 소스의 출력은 전압 강하 를 달성하는 데 사용되는 고정된 전압으로 설정된다. 다른 실시예들에서, 제2 전압 소스의 출력 전압은 결정된 전압 강하 에 기초하여 시스템 제어기(126)로부터 제공되는 커맨드 신호에 의해 원하는 세트 포인트로 조정된다.
[0054] 도 4a에 도시된 예에는 파형 구역(405)에 동일한 시간 지속기간 를 갖는 2개의 하위 단계들(406 및 408)이 포함되지만, 파형 구역(405) 내 하위 단계들의 수 n는 2개의 하위 단계들로 제한되지 않는다는 것이 주의되어야 한다. 일부 실시예들에서, PV 파형(400)이 파형 구역(405)에 n개의 하위 단계들을 갖는 경우, 전극은 i-번째 하위 단계(i=1,2,...,n) 동안 의 전압을 인가할 수 있는 전압 소스에 연결된다. 일부 실시예들에서, 파형 구역(405) 내 하위 단계들의 수 n은 5개 이하이다. 시간 지속기간 은 하위 단계들(i=1,2,...,n) 각각에 대해 상이할 수 있으며, 이 경우 i번째 하위 단계의 말미에 전압 강하는 에 의해 결정된다.
[0055] 또한, 전압 강하 를 결정하는 데 사용되는 위의 수학식은 기생 커패시턴스들 또는 스트레이 커패시턴스들, 송신 라인 인덕턴스 등의 영향들이 포함되지 않는 경우에 대한 것이고 이에 따라, 기판 표면에 축적된 양전하들로 인한 DC 시스 전위의 변화를 보상하기 위한 전압 강하 는 상이한 챔버 설계들 및 플라즈마 조건들에 기초하여 팩터들을 보정할 때 상이할 수 있다는 것이 주의되어야 한다.
[0056] 도 5a는 본 개시내용의 특정 실시예들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기(500)의 예시적인 구현을 예시한다. 일부 실시예들에서, 파형 생성기(500)는 바이어스 전극(104) 또는 지지 베이스(107)에서 설정될 수 있는 PV 파형(400)(도 4a)을 생성하도록 구성된다. 그러나, 파형 생성기(500)는 도 1과 관련하여 위에서 설명된 파형 생성기 조립체들(150) 중 하나 이상을 구현하는 데 사용될 수 있다.
[0057] 파형 생성기(500)는 파형 구역(401) 동안 양의 전압을 구현하기 위한 메인 전압 소스(502)(예컨대, DC 전압 소스), 제1 보상 전압 소스(505A)(예컨대, 제1 전압 소스) 및 제2 보상 전압 소스(505B)(예컨대, 제2 전압 소스)를 포함하며, 이들은 파형 구역(405) 동안 전압 계단을 구현하기 위해 병렬로 연결된다. 파형 생성기(500)는 출력 노드(504)에서 PV 파형(400)을 생성한다. 일 예에서, 출력 노드(504)는 지지 베이스(107) 또는 기판 지지부(105)(예컨대, 세라믹 퍽)의 바이어스 전극(104)에 결합된다. 출력 노드(504)가 지지 베이스(107)에 결합되는 경우, 출력 노드(504)와 기판(103) 사이의 총 커패시턴스 Ctotal(예컨대, 이며, 여기서 CSB는 지지 베이스(107)와 바이어스 전극(104) 사이에 배치된 유전체 층의 커패시턴스임)는, 출력 노드(504)가 바이어스 전극(104)(예컨대, Cesc)에 결합되는 경우보다 더 클 것이며, 이는 Cesc에 걸친 더 낮은 전압 강하 및 시스 상의 더 많은 전압 강하를 초래할 수 있다.
[0058] 도 5a에 도시된 바와 같이, 스위치(520)(예컨대, 고전압 솔리드 스테이트 릴레이)는 메인 전압 소스(502)와 출력 노드(504) 사이에 결합되고, 스위치(522)(예컨대, 고전압 솔리드 스테이트 릴레이)는 접지 노드(508)와 출력 노드(504) 사이에 결합된다. 스위치(523A) 및 스위치(523B)는 제1 보상 전압 소스(505A)와 출력 노드(504) 사이, 그리고 제2 보상 전압 소스(505B)와 출력 노드(504) 사이에 각각 결합된다. 도 5a는 전압 계단을 형성하는 데 사용되는 2개의 보상 전압 소스들을 포함하는 구성을 예시하지만, 파형 생성기(500)가 전압 계단 내에 3개 이상의 하위 단계들을 형성하기 위해 병렬로 연결된 3개 이상의 파형 생성기들을 포함할 수 있으므로, 이러한 구성은 본원에서 제공된 개시내용의 범위를 제한하는 것으로 의도되지 않는다. 아래에서 추가로 논의될 바와 같이, 다양한 스위치들의 개방 및 폐쇄의 타이밍은 시스템 제어기(126)로부터 전송된 커맨드들에 의해 제어될 수 있다.
[0059] 도 5b는 본 개시내용의 특정 실시예들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기(580)의 구현을 예시한다. 일 실시예에서, 파형 생성기(580)는 바이어스 전극(104) 또는 지지 베이스(107)에서 설정될 수 있는 PV 파형(400)(도 4a)을 생성하도록 구성된다. 또한, 파형 생성기(580)는 도 1과 관련하여 위에서 설명된 파형 생성기 조립체들(150) 중 하나 이상을 구현하는 데 사용될 수 있다.
[0060] 파형 생성기(580)는 파형 구역(401) 동안 양의 전압을 구현하기 위한 메인 전압 소스(502)(예컨대, DC 전압 소스), 제1 보상 전압 소스(505A)(예컨대, 제1 전압 소스) 및 제2 보상 전압 소스(505B)(예컨대, 제2 전압 소스)를 포함하며, 이들은 파형 구역(405) 동안 전압 계단의 구현을 허용하기 위해 직렬로 연결된다. 파형 생성기(580)는 출력 노드(504)에서 PV 파형(400)을 생성한다. 출력 노드(504)는 지지 베이스(107) 또는 기판 지지부(105)(예컨대, 세라믹 퍽)의 바이어스 전극(104)에 결합될 수 있다. 도 5b에 도시된 바와 같이, 스위치(520)(예컨대, 고전압 솔리드 스테이트 릴레이)는 메인 전압 소스(502)와 출력 노드(504) 사이에 결합되고, 스위치(522)(예컨대, 고전압 솔리드 스테이트 릴레이)는 접지 노드(508)와 출력 노드(504) 사이에 결합된다. 스위치(523A)는 제1 보상 전압 소스(505A)의 제1 포트와 출력 노드(504) 사이에 결합된다. 제2 보상 전압 소스(505B)는 접지와 스위치(523B) 사이에 결합된다. 스위치(523B)는 하강 에지(407)의 형성 동안 제1 보상 전압 소스(505A)의 제2 포트를 접지 노드에 선택적으로 결합하고, 그 후 하강 에지(409)의 형성 동안 제2 보상 전압 소스(505B)의 제1 포트를 제1 보상 전압 소스(505A)의 제2 포트에 직렬로 결합하고 이에 따라 제2 보상 전압 소스(505B) 및 제1 보상 전압 소스(505A)를 함께 결합하도록 구성된다. 아래에서 추가로 논의될 바와 같이, 다양한 스위치들의 개방 및 폐쇄의 타이밍은 시스템 제어기(126)로부터 전송된 커맨드들에 의해 제어될 수 있다. 도 5b는 전압 계단을 형성하기 위한 2개의 직렬 연결된 전압 소스들을 예시하지만, 파형 생성기(580)가 전압 계단 내에 3개 이상의 하위 단계들을 형성하기 위해 직렬로 연결된 3개 이상의 파형 생성기들을 포함할 수 있으므로, 이러한 구성은 본원에서 제공된 개시내용의 범위를 제한하는 것으로 의도되지 않는다. 3개 이상의 파형 생성기를 포함하는 시스템 구성에서, 파형 생성기들의 각각의 인접 쌍 사이의 연결에는 각각의 파형 생성기의 직렬 연결이 전압 계단의 하위 단계들 각각을 형성하도록 허용하기 위해 도 5b에 도시된 스위치(523B)와 유사한 2-포지션 스위치를 포함할 것이다.
RF 오버레이 구성 예
[0061] 도 4b는 본 개시내용의 특정 실시예들에 따라 파형 생성기를 사용하여 생성된 PV 파형(450)을 예시한다. 도시된 바와 같이, PV 파형(450)은 파형 구역들(451 및 455)을 포함한다. 파형 구역(451)은 RF 신호(454)와 오버레이된 DC(direct current) 신호를 포함하고, 파형 구역(455)은 RF 신호(454)와 오버레이된 (예컨대, 전류 보상을 위한) 전압 계단을 포함한다. RF 신호(454)는 또한 챔버에서 플라즈마를 유지하고(예컨대, 더 낮은 에너지 피크(301)를 생성하는 동안) 더 높은 에너지 피크(303)에 대한 DC 시스 전위를 설정하는 것을 지속하도록 파형 구역(455) 동안 전압 계단 신호 상에 오버레이될 수 있다. 도 4b에 도시된 예에는 파형 구역(455)에 동일한 시간 지속기간 를 갖는 2개의 하위 단계들(456 및 458)이 포함되지만, 파형 구역(455) 내 하위 단계들의 수 n는 2개의 하위 단계들로 제한되지 않고 더 많거나 더 적은 하위 단계들을 포함할 수 있다는 것이 주의되어야 한다.
[0062] RF 신호(454)는 일반적으로 챔버에서 플라즈마를 유지하는 데 사용되며 도 3b와 관련하여 설명된 더 낮은 에너지 피크(301)를 생성한다. RF 신호(454)는 일부 실시예들에서, 40MHz 내지 200MHz의 주파수를 가질 수 있다. RF 신호(454)의 주파수는 >40MHz의 주파수와 같이 이온 시스 천이 주파수보다 높을 수 있다. 이 경우에, 시스 두께에 걸친 평균 이온 천이 시간은 RF 신호(454)의 기간보다 길어서, 이온들이 RF 신호(454)의 다수의 사이클들을 경험하게 하고 다수의 사이클들과 연관된 평균 에너지를 획득하여 더 낮은 에너지 피크(301)를 생성하게 한다. 따라서 이온들은 단일 이온 에너지 피크가 달성되도록 RF 신호(454)에 의해 야기된 평균 시스 전위에 의해 가속된다. 고주파수 RF 여기는 단일 에너지 피크를 갖는 이온들을 생성한다. 즉, 시스에 걸쳐 이동하는 이온들은 RF 신호(454)에 의해 구동되는 평균 시스 전위를 경험하여, 연속적인 에너지 분포 대신 단일 이온 에너지 피크를 생성한다.
[0063] 도 5c는 본 개시내용의 특정 실시예들에 따라 IED 제어를 달성하기 위해 기판을 바이어싱하기 위한 파형 생성기(550)의 예시적인 구현을 예시한다. 일부 실시예들에서, 파형 생성기(550)는 바이어스 전극(104) 또는 지지 베이스(107)에서 설정될 수 있는 PV 파형(450)(도 4b)을 생성하도록 구성된다. 파형 생성기(550)는 도 1과 관련하여 위에서 설명된 파형 생성기 조립체들(150) 중 하나 이상을 구현하는 데 사용될 수 있다.
[0064] 파형 생성기(550)는 파형 구역(401) 동안 양의 전압을 구현하기 위한 메인 전압 소스(502)(예컨대, DC 전압 소스), 파형 구역(405) 동안 전압 계단을 구현하기 위한 제1 보상 전압 소스(505A)(예컨대, DC 전압 소스) 및 제2 보상 전압 소스(505B)(예컨대, DC 전압 소스), 및 RF 신호(454)를 제공하기 위한 RF 생성기(506)(RF 신호 생성기로서 또한 지칭됨)를 포함한다. 파형 생성기(550)는 출력 노드(504)에서 PV 파형(450)을 생성한다. 출력 노드(504)는 지지 베이스(107) 또는 기판 지지부(105)(예컨대, 세라믹 퍽)의 바이어스 전극(104)에 결합될 수 있다.
[0065] 도 5c에 예시된 실시예들에서, RF 필터(540)는 메인 전압 소스(502)와 스위치(520) 사이의 경로에 구현될 수 있고, RF 필터(542)는 접지 노드(508)와 스위치(522) 사이의 경로에 구현될 수 있고, RF 필터(544A)는 제1 보상 전압 소스(505A)와 출력 노드(504) 사이에 구현될 수 있고, RF 필터(544B)는 제2 보상 전압 소스(505B)와 출력 노드(504) 사이에 구현될 수 있다. RF 필터들(540, 542, 544A, 544B)은 RF 생성기(506)로부터 제공되는 RF 신호(들)를 차단하도록 구성된 저역 통과 필터들로서 구현될 수 있다. 메인 전압 소스(502), 제1 보상 전압 소스(505A) 및 제2 보상 전압 소스(505B)는 개개의 RF 필터들(540, 544A, 544B)에 의해, RF 생성기(506)의 출력으로부터 보호된다. 즉, RF 필터(540, 544A, 544B)는 RF 생성기(506)로부터 제공되는 고주파수 RF 신호를 차단하도록 구성된다. 접지 노드(508)는 스위치(522)가 폐쇄될 때 RF 필터(542)(예컨대, 저역 통과 필터)에 의해 RF 생성기(506)로부터 격리된다. 일부 실시예들에서, RF 필터들(540, 542, 544A, 544B) 각각은 도 6에 도시된 바와 같이 병렬 LC 토폴로지로서 구현될 수 있다.
[0066] 도 6은 용량성 엘리먼트(602) 및 유도성 엘리먼트(604)를 갖는 병렬 LC 필터 토폴로지(600)를 예시한다. 도시된 바와 같이, 용량성 엘리먼트(602)는 유도성 엘리먼트(604)에 병렬로 그리고 노드들(610, 612) 사이에 결합될 수 있다. RF 필터들(540, 542, 544) 각각은 병렬 LC 필터 토폴로지(600)를 사용하여 구현될 수 있다. 예컨대, RF 필터(542)의 경우, 노드(610)는 접지 노드(508)에 결합될 수 있고 노드(612)는 스위치(522)에 결합될 수 있다. 일 예로서, 40MHz RF 신호의 경우, 40MHz RF 신호를 차단하기 위해 용량성 엘리먼트(602)는 100 pF(pico-farads)일 수 있고 유도성 엘리먼트(604)는 158 nH(nano-henries)일 수 있다. 즉, 병렬 LC 필터 토폴로지(600)는, 메인 전압 소스(502), 접지 노드(508), 제1 보상 전압 소스(505A) 또는 제2 보상 전압 소스(505B)를 40MHz RF 신호로부터 격리하도록 40MHz 신호에 대해 개방 회로로서 유효하게 작용하는 공진 회로이다.
파형 생성 예들
[0067] 도 7은 본 개시내용의 특정 실시예들에 따라 스위치 520("S1"로서 라벨링됨), 스위치(522)("S2"로서 라벨링됨), 스위치(523A)("S3"로서 라벨링됨) 및 스위치(523B)("S4"로서 라벨링됨)의 상태들을 예시하는 타이밍도(700)이다. 일반적으로, 동작 동안, 스위치들(520, 523A, 523B)은 메인 전압 소스(502), 제1 보상 전압 소스(505A) 및/또는 제2 보상 전압 소스(505B)를 접지 노드(508)에 전기적으로 단락시키는 것을 회피하기 위해 스위치(522)(S2)와 동시에 폐쇄되지 않는다. 아래의 논의는 PV 파형(400)을 형성하기 위해 파형 생성기(500)를 포함하는 시스템 상에서 수행되는 스위치 타이밍 프로세스를 주로 개시하지만, 이 구성은 본원에서 제공된 개시내용의 범위를 제한하는 것으로 의도되지 않는데, 그 이유는 스위치 타이밍 프로세스가 PV 파형(450)을 형성하기 위해 파형 생성기(550)를 포함하는 시스템 상에서 또한 구현될 수 있기 때문이다.
[0068] 도 4a, 도 5a 및 도 7을 참조하면, 일부 실시예들에서, 파형 사이클(예컨대, PV 파형(400)의 사이클)의 위상 1 동안, 스위치(520)(S1)는 도 4a에 도시된 바와 같이 상승 에지(402)를 생성하도록 폐쇄될 수 있다. 스위치(520)(S1)는 충분한 수의 전자들이 기판 표면에 수집되도록 허용하기 위해 20ns 내지 2000ns 범위의 기간 동안 폐쇄될 수 있다. 파형 구역(401)과 연관된 기간 후에, 스위치(520(S1))는 개방되고 스위치(522(S2))는 폐쇄되며, 이는 출력 노드(504)를 접지에 연결하여 파형 사이클의 위상 2 동안 하강 에지(403)를 생성할 수 있다. 스위치(520)(S1)를 개방한 후, 스위치(522)(S2)는 10ns 내지 100ns 범위의 시간 기간 동안 폐쇄될 수 있다.
[0069] 일부 실시예들에서, 위상 1 동안, 스위치(520)(S1)는 폐쇄되는 동안, 도 1에 도시된 기판(103) 상에 음전하가 축적된다. 기판(103) 상에서 기판(103) 및 바이어스 전극(104)에 의해 형성된 커패시터에 걸친 전압 강하는 용량 효과들로 인해 즉각적으로 변할 수 없다. 따라서, 위상 2 동안, 일단 스위치(520)(S1)가 개방되고 스위치(522)(S2)가 폐쇄되면, 스위치(522)가 바이어스 전극(104)을 접지에 연결함에 따라, (예컨대, 도 1에 도시된 바이어스 전극(104)에서) 출력 노드(504)의 전압은 도 4a에 도시된 바와 같이 양의 전압으로부터 0(접지 전위)으로 강하된다. 즉, 바이어스 전극(104) 상의 양전하는 스위치(S2)의 폐쇄 시에 출력 노드(504)의 기판 표면에서 음의 전압 V0으로의 강하를 야기하도록 접지로부터 전자들을 끌어당긴다.
[0070] 파형 구역(405)의 제1 하위 단계(406)와 연관된 기간(즉, 시간 지속기간 )이 도달된 후, 스위치(522)(S2)는 개방되고 스위치(523A)(S3)는 폐쇄되어 도 4에 도시된 바와 같이 파형 사이클의 위상 3 동안 하강 에지(407)를 생성한다. 스위치(522)(S2)를 개방한 후, 스위치(523A)(S3)는 100ns 내지 2000ns 범위의 시간 기간 동안 폐쇄될 수 있다. 파형 사이클의 위상 3 동안, 스위치들(520, 522) 둘 모두는 개방된 채로 유지되고, 스위치(523A)는 폐쇄되어 제1 보상 전압 소스(505A)가 출력 노드(504)(예컨대, 챔버)에 연결되도록 허용한다.
[0071] 파형 구역(405)의 하위 단계(408)와 연관된 기간(즉, 시간 지속기간 )이 도달된 후, 스위치(523A)(S3)는 개방되고 스위치(523B)(S4)는 폐쇄되어 도 4에 도시된 바와 같이 파형 사이클의 위상 4 동안 하강 에지(409)를 생성할 수 있다. 일 실시예에서, 제2 보상 모듈(502B)의 출력 크기는 하강 에지(407)에 인가되는 전압의 크기에, 하강 에지(409) 동안 적용될 부가적인 전압 강하 에 도달하는 데 필요한 부가적인 전압을 더한 값과 동일할 것이다(즉, ). 스위치(523A)(S3)를 개방한 후, 스위치(523B)(S4)는 100ns 내지 2000ns 범위의 시간 기간 동안 폐쇄될 수 있다. 파형 사이클의 위상 4 동안, 스위치들(520, 522) 둘 모두는 개방된 채로 유지되고, 스위치(523B)는 폐쇄된다.
[0072] 파형 생성기(580)가 구현되는 일부 실시예들에서, 도 7에 예시된 타이밍 시퀀스는, 파형 사이클의 위상 4 동안 제1 보상 전압 소스(505A) 및 제2 보상 전압 소스(505B)를 함께 결합하기 위해 스위치(523B)(S4)가 사용되는 동안 스위치(523A)(S3)가 폐쇄된 채로 유지되도록 변경된다. 위상 4의 말미에, 스위치(523A)는 개방되고 스위치(523B)는 파형 사이클이 반복되도록 또는 그에 대비하여 제1 보상 전압 소스(505A)를 접지에 연결하는 포지션으로 스위칭된다.
[0073] 본 개시내용의 실시예들은 프로세스-호의적 듀얼-피크 IED 및 동시성 플라즈마 여기 및 유지를 갖는 플라즈마 프로세싱 챔버들에 대한 기판 표면 상에서 이러한 IED를 달성하기 위한 방법을 제공한다. 전통적인 이온 에너지 제어 기술들과 비교하여 본 개시내용의 실시예들의 하나의 이점은 동시성 플라즈마 생성 및 IED 제어이다. 하나의 PV 파형 사이클이 완료된 후, 반복된 제2 전압 파형 사이클의 부분 예시에 의해 도 4a 내지 도 4c에 예시된 바와 같이, 복수의 부가적인 PV 파형 사이클들이 여러 번 직렬로 반복될 것이다. 일부 실시예들에서, 전극에서 설정된 전압 파형은 온-타임을 가지며, 이는 50% 초과 또는 70% 초과, 이를테면, 80% 내지 95%인, 이온 전류 기간(예컨대, 파형 구역(405)의 길이) 및 파형 기간(TP)(예컨대, 파형 구역(401)의 길이 + 파형 구역(405)의 길이)의 비로서 정의된다. 일부 실시예들에서, 약 2.5㎲의 기간(TP)을 갖는 파형 사이클을 갖는 PV 파형은 약 100 ㎲(microseconds)와 약 10 ms(milliseconds) 사이의 버스트 기간을 갖는 PV 파형 버스트 내에서 직렬로 반복된다. PV 파형들의 버스트는 약 5% ― 100% 이를테면, 약 50% 내지 약 95%인 버스트 듀티 사이클을 가질 수 있으며, 여기서 듀티 사이클은 버스트 기간을, 버스트 기간들과 구분되는 비-버스트 기간들(즉, PV 파형들이 생성되지 않는 기간)에 버스트 기간을 더한 것으로 나눈 것의 비이다.
[0074] 도 8은 파형 생성을 위한 방법(800)을 예시하는 프로세스 흐름도이다. 방법(800)은 파형 생성기(500)와 같은 파형 생성기 및/또는 시스템 제어기(126)와 같은 시스템 제어기를 포함하는 파형 생성 시스템에 의해 수행될 수 있다.
[0075] 활동(802)에서, 파형 생성 시스템은 약 20ns 내지 약 2000ns 동안, PV 파형(예컨대, PV 파형(400))의 제1 위상(예컨대, 도 7에 도시된 위상 1) 동안 메인 전압 소스(예컨대, 메인 전압 소스(502))를 출력 노드(예컨대, 출력 노드(504))에 (예컨대, 스위치(520)를 폐쇄함으로써) 결합한다. 출력 노드는 프로세싱 챔버(예컨대, 프로세싱 챔버(100)) 내에 배치된 전극에 결합될 수 있다. 예컨대, 출력 노드는 바이어스 전극(104) 또는 지지 베이스(107)에 결합될 수 있다.
[0076] 활동(804)에서, 파형 생성 시스템은 약 10ns 내지 약 100ns 동안, 파형의 제2 위상(예컨대, 도 7에 도시된 위상 2) 동안 접지 노드(예컨대, 접지 노드(508))를 출력 노드에 (예컨대, 스위치(522)를 폐쇄함으로써) 결합한다. 위에서 논의된 바와 같이, 스위치(522)의 폐쇄는 이에 따라 하강 에지(403)가 형성되게 할 것이다.
[0077] 활동(806)에서, 파형 생성 시스템은 약 100ns 내지 약 2000ns 동안, 파형의 제3 위상(예컨대, 도 7에 도시된 위상 3) 동안 제1 보상 전압 소스(예컨대, 제1 보상 전압 소스(505A))를 출력 노드에 (예컨대, 스위치(523A)를 폐쇄함으로써) 결합한다. 따라서 스위치(523A)의 폐쇄 및 스위치(522)의 개방은 하강 에지(407)가 형성되게 할 것이다.
[0078] 활동(808)에서, 파형 생성 시스템은 약 100ns 내지 약 2000ns 동안, 파형의 제4 위상(예컨대, 도 7에 도시된 위상 4) 동안 제2 보상 전압 소스(예컨대, 제2 보상 전압 소스(505B))를 출력 노드에 (예컨대, 스위치(523B)를 폐쇄함으로써) 결합한다. 도 5a 또는 도 5c에 예시된 어느 하나의 파형 생성기(500) 또는 파형 생성기(550) 구성을 사용할 때, 스위치(523B)의 폐쇄 및 스위치(523A)의 개방은 이에 따라, 하강 에지(407)가 형성되게 할 것이다.
[0079] 파형 생성기(550)를 포함하는 일부 실시예들에서, RF 신호 생성기(예컨대, RF 생성기(506))는 제1 위상 동안 필터(예컨대, 고역 통과 필터(546))를 통해 출력 노드에 결합된다. RF 신호 생성기는 파형의 제1 위상, 제2 위상, 제3 위상 및 제4 위상 동안 출력 노드에 결합될 수 있다. 메인 전압 소스 및 접지 노드는 제3 위상 및 제4 위상 동안 출력 노드로부터 (예컨대, 스위치들(520, 522)을 개방함으로써) 분리된다. 일부 실시예들에서, 메인 전압 소스는 필터(예컨대, RF 필터(540))를 통해 출력 노드에 결합되고, 접지 노드는 필터(예컨대, RF 필터(542))를 통해 출력 노드에 결합된다.
[0080] 일부 실시예들에서, 2개 이상의 보상 전압 소스들(예컨대, 제1 보상 전압 소스(505A) 및 제2 보상 전압 소스(505B))은 각각 파형의 제3 위상 및 제4 위상 동안 출력 노드에 결합되고 메인 전압 소스 및 접지 노드는 제3 및 제4 위상들 동안 출력 노드로부터 분리된다. 제1 및 제2 보상 전압 소스들은 각각 필터(예컨대, RF 필터(544))를 통해 출력 노드에 결합될 수 있다.
[0081] "결합된"이란 용어는, 2개의 오브젝트들 사이에서의 직접적인 또는 간접적인 결합을 지칭하도록 본원에서 사용된다. 예컨대, 오브젝트 A가 오브젝트 B를 물리적으로 터치하고 오브젝트 B가 오브젝트 C를 터치하면, 오브젝트들 A 및 C는, 오브젝트들 A 및 C가 서로를 물리적으로 직접 터치하지 않더라도, 서로 결합된 것으로 여전히 고려될 수도 있다. 예컨대, 제1 오브젝트가 제2 오브젝트와 직접 물리적으로 접촉하지 않더라도, 제1 오브젝트는 제2 오브젝트에 결합될 수 있다.
[0082] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (19)

  1. 플라즈마 프로세싱을 위한 펄스 전압 파형을 생성하기 위한 파형 생성기로서,
    출력 노드에 선택적으로 결합되는 메인 전압 소스 ― 상기 출력 노드는 프로세싱 챔버 내에 배치된 전극에 결합되도록 구성되고,
    상기 출력 노드는 접지 노드에 선택적으로 결합됨 ―;
    상기 출력 노드에 선택적으로 결합된 제1 보상 전압 소스; 및
    상기 출력 노드에 선택적으로 결합된 제2 보상 전압 소스를 포함하는,
    파형 생성기.
  2. 제1 항에 있어서,
    펄스 전압 파형의 제1 위상 동안 상기 출력 노드에 상기 메인 전압 소스를 결합하도록 구성된 제1 스위치;
    상기 펄스 전압 파형의 제2 위상 동안 상기 출력 노드에 상기 접지 노드를 결합하도록 구성된 제2 스위치; 및
    상기 펄스 전압 파형의 제3 위상 동안 상기 출력 노드에 상기 제1 보상 전압 소스를 결합하도록 구성된 제3 스위치를 더 포함하는,
    파형 생성기.
  3. 제2 항에 있어서,
    상기 펄스 전압 파형의 제4 위상 동안 상기 출력 노드에 상기 제2 보상 전압 소스를 결합하도록 구성된 제4 스위치를 더 포함하는,
    파형 생성기.
  4. 제2 항에 있어서,
    상기 제3 위상 동안 접지에 상기 제1 보상 전압을 선택적으로 결합하고 상기 펄스 전압 파형의 제4 위상 동안 상기 출력 노드에 상기 제2 보상 전압 소스를 선택적으로 결합하도록 구성된 제4 스위치를 더 포함하는,
    파형 생성기.
  5. 제1 항에 있어서,
    RF(radio frequency) 신호 생성기; 및
    상기 RF 신호 생성기와 상기 출력 노드 사이에 결합된 제1 필터를 더 포함하는,
    파형 생성기.
  6. 제5 항에 있어서,
    상기 접지 노드와 상기 출력 노드 사이에 결합된 제2 필터;
    상기 메인 전압 소스와 상기 출력 노드 사이에 결합된 제3 필터;
    상기 제1 보상 전압 소스와 상기 출력 노드 사이에 결합된 제4 필터; 및
    상기 제2 보상 전압 소스와 상기 출력 노드 사이에 결합된 제5 필터를 더 포함하는,
    파형 생성기.
  7. 제1 항에 있어서,
    상기 메인 전압 소스, 상기 제1 보상 전압 소스 및 상기 제2 보상 전압 소스는 각각 DC(direct current) 전압 소스를 포함하는,
    파형 생성기.
  8. 펄스 전압 파형을 생성하기 위한 방법으로서,
    펄스 전압 파형을 생성하는 제1 위상 동안 출력 노드에 메인 전압 소스를 결합하는 단계 ― 상기 출력 노드는 프로세싱 챔버 내에 배치된 전극에 결합됨 ―;
    상기 제1 위상에 후속적으로, 상기 생성된 펄스 전압 파형의 제2 위상 동안 상기 출력 노드에 접지 노드를 결합하는 단계;
    상기 제2 위상에 후속적으로, 상기 생성된 펄스 전압 파형의 제3 위상 동안 상기 출력 노드에 제1 보상 전압 소스를 결합하는 단계; 및
    상기 제3 위상에 후속적으로, 상기 생성된 펄스 전압 파형의 제4 위상 동안 상기 출력 노드에 제2 보상 전압 소스를 결합하는 단계를 포함하는,
    펄스 전압 파형을 생성하기 위한 방법.
  9. 제8 항에 있어서,
    상기 제3 위상 동안 상기 접지 노드에 상기 제1 보상 전압 소스의 제1 포트를 결합하고 상기 출력 노드의 제2 포트를 결합하는 단계; 및
    상기 제4 위상 동안 상기 제2 보상 전압 소스에 상기 제1 보상 전압 소스의 제1 포트를 결합하는 단계를 더 포함하는,
    펄스 전압 파형을 생성하기 위한 방법.
  10. 제8 항에 있어서,
    상기 생성된 펄스 전압 파형의 제1 위상은 20ns 내지 2000ns이고,
    상기 생성된 펄스 전압 파형의 제2 위상은 10ns 내지 100ns이고,
    상기 생성된 펄스 전압 파형의 제3 위상은 100ns 내지 2000ns이고, 그리고
    상기 생성된 펄스 전압 파형의 제4 위상은 100ns 내지 2000ns인,
    펄스 전압 파형을 생성하기 위한 방법.
  11. 제8 항에 있어서,
    상기 생성된 펄스 전압 파형의 제1 위상, 제2 위상, 제3 위상 및 제4 위상 동안 상기 출력 노드에 RF 신호 생성기가 결합되는,
    펄스 전압 파형을 생성하기 위한 방법.
  12. 제11 항에 있어서,
    상기 RF 신호 생성기는 제1 필터를 통해 상기 출력 노드에 결합되고,
    상기 접지 노드는 제2 필터를 통해 상기 출력 노드에 결합되고,
    상기 메인 전압 소스는 제3 필터를 통해 상기 출력 노드에 결합되고,
    상기 제1 보상 전압 소스는 제4 필터를 통해 상기 출력 노드에 결합되고, 그리고
    상기 제2 보상 전압 소스는 제5 필터를 통해 상기 출력 노드에 결합되는,
    펄스 전압 파형을 생성하기 위한 방법.
  13. 제11 항에 있어서,
    상기 메인 전압 소스, 상기 제1 보상 전압 소스 및 상기 제2 보상 전압 소스는 각각 DC(direct current) 전압 소스를 포함하는,
    펄스 전압 파형을 생성하기 위한 방법.
  14. 파형 생성을 위한 장치로서,
    프로세스 챔버의 전극;
    메인 전압 소스;
    제1 보상 전압 소스;
    제2 보상 전압 소스; 및
    프로그램 정보가 저장되어 있는 비휘발성 메모리를 포함하고, 상기 프로그램 정보는 다수의 명령들을 포함하며, 상기 다수의 명령들은, 프로세서에 의해 실행될 때 상기 장치로 하여금:
    펄스 전압 파형을 형성하는 프로세스의 제1 위상 동안 상기 전극에 상기 메인 전압 소스를 결합하고;
    상기 제1 위상에 후속적으로, 상기 펄스 전압 파형의 제2 위상 동안 접지에 상기 전극을 결합하고;
    상기 제2 위상에 후속적으로, 상기 펄스 전압 파형의 제3 위상 동안 상기 전극에 상기 제1 보상 전압 소스를 결합하고; 그리고
    상기 제3 위상에 후속적으로, 상기 펄스 전압 파형의 제4 위상 동안 상기 전극에 상기 제2 보상 전압 소스를 결합하게 하는,
    파형 생성을 위한 장치.
  15. 제14 항에 있어서,
    상기 명령들은, 프로세서에 의해 실행될 때, 추가로, 상기 장치로 하여금,
    상기 제3 위상 동안 상기 접지 노드에 상기 제1 보상 전압 소스를 결합하게 하고; 그리고
    상기 제4 위상 동안 상기 제2 보상 전압 소스에 상기 제1 보상 전압 소스를 결합하게 하는,
    파형 생성을 위한 장치.
  16. 제14 항에 있어서,
    상기 펄스 전압 파형의 제1 위상은 20ns 내지 2000ns이고,
    상기 펄스 전압 파형의 제2 위상은 10ns 내지 100ns이고,
    상기 펄스 전압 파형의 제3 위상은 100ns 내지 2000ns이고, 그리고
    상기 펄스 전압 파형의 제4 위상은 100ns 내지 2000ns인,
    파형 생성을 위한 장치.
  17. 제14 항에 있어서,
    상기 펄스 전압 파형의 제1 위상, 제2 위상, 제3 위상, 및 제4 위상 동안 상기 전극에 결합된 RF 신호 생성기를 더 포함하는,
    파형 생성을 위한 장치.
  18. 제17 항에 있어서,
    상기 RF 신호 생성기는 제1 필터를 통해 상기 전극에 결합되고,
    상기 접지 노드는 제2 필터를 통해 상기 전극에 결합되고,
    상기 메인 전압 소스는 제3 필터를 통해 상기 전극에 결합되고,
    상기 제1 보상 전압 소스는 제4 필터를 통해 상기 전극에 결합되고, 그리고
    상기 제2 보상 전압 소스는 제5 필터를 통해 상기 전극에 결합되는,
    파형 생성을 위한 장치.
  19. 제14 항에 있어서,
    상기 메인 전압 소스, 상기 제1 보상 전압 소스 및 상기 제2 보상 전압 소스는 각각 DC(direct current) 전압 소스를 포함하는,
    파형 생성을 위한 장치.
KR1020237044585A 2021-06-16 2022-05-26 이온 전류 보상의 장치 및 방법 KR20240012554A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/349,763 2021-06-16
US17/349,763 US11810760B2 (en) 2021-06-16 2021-06-16 Apparatus and method of ion current compensation
PCT/US2022/031072 WO2022265838A1 (en) 2021-06-16 2022-05-26 Apparatus and method of ion current compensation

Publications (1)

Publication Number Publication Date
KR20240012554A true KR20240012554A (ko) 2024-01-29

Family

ID=84489363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237044585A KR20240012554A (ko) 2021-06-16 2022-05-26 이온 전류 보상의 장치 및 방법

Country Status (5)

Country Link
US (1) US11810760B2 (ko)
KR (1) KR20240012554A (ko)
CN (1) CN117501404A (ko)
TW (1) TW202301913A (ko)
WO (1) WO2022265838A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11967483B2 (en) * 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing

Family Cites Families (571)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3140292A (en) 1961-04-07 1964-07-07 Universal Oil Prod Co Preparation of metal phthalocyanines
US3361906A (en) 1964-07-13 1968-01-02 Eldred R. Guthrie Electrical switch for model railroad track switch
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
TW480759B (en) 1999-03-18 2002-03-21 Seiko Epson Corp Electronic machine, charged electronic machine and control method of electronic machine
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3556396B1 (en) 2010-08-31 2022-04-20 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
JPWO2013118660A1 (ja) 2012-02-09 2015-05-11 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
TWI576890B (zh) 2012-02-20 2017-04-01 Tokyo Electron Ltd Power supply system, plasma processing device and plasma processing method
US9842725B2 (en) * 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
MX2020001174A (es) 2012-08-15 2021-08-12 Lockheed Martin Energy Llc Hexacianuros de hierro de alta solubilidad.
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9875881B2 (en) 2013-02-20 2018-01-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) * 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
EP3384510B1 (en) 2015-11-30 2021-09-15 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102476353B1 (ko) 2016-07-26 2022-12-09 삼성전자주식회사 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3813259B1 (en) 2017-03-31 2022-10-26 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US10432248B1 (en) * 2018-03-15 2019-10-01 Lam Research Corporation RF metrology system for a substrate processing apparatus incorporating RF sensors with corresponding lock-in amplifiers
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) * 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR102532203B1 (ko) 2018-07-31 2023-05-12 삼성전자 주식회사 전압 생성기, 전압 파형 생성기, 반도체 소자 제조 장치, 전압 파형 발생 방법 및 반도체 소자의 제조 방법
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
KR20200086808A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 균일성 제어 방법 및 플라즈마 프로세싱 시스템
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN114762251A (zh) 2019-09-25 2022-07-15 鹰港科技有限公司 具有能量恢复的非线性传输线高电压脉冲锐化
US11545341B2 (en) * 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
JP7458287B2 (ja) * 2020-10-06 2024-03-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
TW202301913A (zh) 2023-01-01
US20220406567A1 (en) 2022-12-22
CN117501404A (zh) 2024-02-02
US11810760B2 (en) 2023-11-07
WO2022265838A1 (en) 2022-12-22

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
KR20240012554A (ko) 이온 전류 보상의 장치 및 방법
US11476090B1 (en) Voltage pulse time-domain multiplexing
KR20230025486A (ko) 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
CN117795639A (zh) 射频等离子体处理腔室中的失真电流减缓
US11967483B2 (en) Plasma excitation with ion energy control
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法