KR20230041816A - 펄스 전압 및 라디오 주파수 전력을 사용한 플라즈마 프로세싱 - Google Patents

펄스 전압 및 라디오 주파수 전력을 사용한 플라즈마 프로세싱 Download PDF

Info

Publication number
KR20230041816A
KR20230041816A KR1020237007023A KR20237007023A KR20230041816A KR 20230041816 A KR20230041816 A KR 20230041816A KR 1020237007023 A KR1020237007023 A KR 1020237007023A KR 20237007023 A KR20237007023 A KR 20237007023A KR 20230041816 A KR20230041816 A KR 20230041816A
Authority
KR
South Korea
Prior art keywords
pulse voltage
substrate
waveform
time interval
generator
Prior art date
Application number
KR1020237007023A
Other languages
English (en)
Inventor
레오니드 도르프
라진더 딘자
제임스 로저스
다니엘 상 변
예브게니 카메네츠키
유에 구오
카르틱 라마스와미
발렌틴 엔. 토도로우
올리비에 루에르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230041816A publication Critical patent/KR20230041816A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2007Holding mechanisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본원에서 제공되는 본 개시내용의 실시예들은 프로세싱 챔버 내의 기판의 플라즈마 프로세싱을 위한 장치 및 방법을 포함한다. 더 구체적으로, 본 개시내용의 실시예들은, 라디오 주파수(RF: radio frequency) 생성기로부터의 RF 생성 RF 파형을 프로세싱 챔버 내의 하나 이상의 전극들에 제공하도록 구성되는 바이어싱 방식, 및 하나 이상의 펄스 전압(PV: pulsed-voltage) 생성기들로부터 프로세싱 챔버 내의 하나 이상의 전극들로 전달되는 펄스 전압(PV: pulsed-voltage) 파형을 설명한다. 본원에서 개시되는 플라즈마 프로세스(들)는 이온 에너지 분포 함수(IEDF: ion energy distribution function)의 형상 및 플라즈마 프로세싱 동안의 기판의 표면과 플라즈마의 상호작용을 제어하는 데 사용될 수 있다.

Description

펄스 전압 및 라디오 주파수 전력을 사용한 플라즈마 프로세싱
[0001] 본원에서 설명되는 실시예들은 일반적으로, 반도체 디바이스 제조 하드웨어 및 프로세스들에 관한 것으로, 더 구체적으로는, 반도체 제조에서 사용되는 플라즈마 프로세싱 챔버에서 형성되는 플라즈마로의 전력의 전달을 제어하는 장치 및 방법들에 관한 것이다.
[0002] 고 종횡비 피처(high aspect ratio feature)들을 신뢰성 있게 생성하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large scale integration)에 대한 핵심 기술 난제들 중 하나이다. 고 종횡비 피처들을 형성하는 하나의 방법은 기판의 재료 층, 이를테면 유전체 층에 고 종횡비 개구들을 형성하기 위해 플라즈마 보조 에칭 프로세스, 이를테면 반응성 이온 에칭(RIE: reactive ion etch) 플라즈마 프로세스를 사용한다. 통상적인 RIE 플라즈마 프로세스에서는, RIE 프로세싱 챔버에서 플라즈마가 형성되고, 플라즈마로부터의 이온들이 기판의 표면을 향해 가속되어, 기판의 표면 상에 형성된 마스크 층 아래에 배치된 재료 층에 개구들이 형성된다.
[0003] 통상적인 반응성 이온 에칭(RIE) 플라즈마 프로세싱 챔버는 라디오 주파수(RF: radio frequency) 바이어스 생성기를 포함하며, 이는 "전력 전극"(예를 들어, 바이어싱 전극), 이를테면 "캐소드"로 더 일반적으로 지칭되는 "정전 척"(ESC: electrostatic chuck) 어셈블리에 인접하게 포지셔닝된 금속 플레이트에 RF 전압을 공급한다. 전력 전극은 ESC 어셈블리의 일부인 유전체 재료(예를 들어, 세라믹 재료)의 두꺼운 층을 통해 프로세싱 시스템의 플라즈마에 용량 결합(capacitively couple)될 수 있다. 용량 결합 가스 방전에서, 반사 전력을 최소화하고 전력 전달 효율을 최대화하기 위해 겉보기 부하(apparent load)를 50 Ω으로 튜닝하는 RF 매칭 네트워크("RF 매치")를 통해 RF 전극에 결합되는 라디오 주파수(RF) 생성기를 사용함으로써 플라즈마가 생성된다. 전력 전극으로의 RF 전압의 인가는, 프로세싱 동안 ESC 어셈블리의 기판 지지 표면 상에 포지셔닝된 기판의 프로세싱 표면 위에 전자-반발 플라즈마 시스(electron-repelling plasma sheath)("캐소드 시스"로 또한 지칭됨)가 형성되게 한다. 플라즈마 시스의 비-선형 다이오드-유사 성질은 인가된 RF 필드의 정류를 초래하며, 따라서 기판과 플라즈마 사이에 직류(DC) 전압 강하 또는 "자기-바이어스(self-bias)"가 나타나서 기판 전위를 플라즈마 전위에 대해 네거티브로 만든다. 이러한 전압 강하는 기판을 향해 가속되는 플라즈마 이온들의 평균 에너지를 결정하고, 따라서 에칭 이방성을 결정한다. 더 구체적으로, 이온 방향성, 피처 프로파일, 및 마스크 및 정지-층에 대한 에칭 선택성은 이온 에너지 분포 함수(IEDF: Ion Energy Distribution Function)에 의해 제어된다. RF 바이어스를 갖는 플라즈마들에서, IEDF는 통상적으로, 2개의 비-이산 피크들(하나는 저 에너지의 피크이고, 하나는 고 에너지의 피크임), 및 2개의 피크들 사이에서 연장되는 에너지들의 범위를 갖는 이온 집단(ion population)을 갖는다. IEDF의 2개의 피크들 사이의 이온 집단의 존재는, 기판과 플라즈마 사이의 전압 강하가 RF 바이어스 주파수에서 진동(oscillate)한다는 사실을 반영한다. 더 높은 자기-바이어스 전압들을 달성하기 위해 더 낮은 주파수 RF 바이어스 생성기가 사용될 때, 이러한 2개의 피크들 사이의 에너지의 차이는 상당할 수 있고; 저 에너지 피크에서의 이온들로 인한 에칭 프로파일이 더 등방성이기 때문에, 이는 잠재적으로, 에칭된 피처 벽들의 휨(bowing)으로 이어질 수 있다. 고-에너지 이온들과 비교하여, 저-에너지 이온들은 (예를 들어, 대전 효과로 인해) 에칭된 피처의 최하부의 코너들에 도달하는 데 덜 효과적이지만, 마스크 재료의 스퍼터링을 덜 야기한다. 이는 하드-마스크 개구 또는 유전체 몰드 에칭과 같은 고 종횡비 에칭 애플리케이션들에서 중요하다. 피처 사이즈들이 계속해서 감소하고 종횡비가 증가하면서, 피처 프로파일 제어 요건들이 더 엄격해지기 때문에, 프로세싱 동안 기판 표면에 잘 제어된 IEDF를 갖는 것이 더 바람직하게 된다.
[0004] 다른 종래의 플라즈마 프로세스들 및 프로세싱 챔버 설계들은 또한, 다수의 상이한 RF 주파수들을 플라즈마 프로세싱 챔버 내의 전극들 중 하나 이상에 전달하는 것이 다양한 플라즈마 특성들, 이를테면 플라즈마 밀도, 이온 에너지 및/또는 플라즈마 케미스트리를 제어하는 데 사용될 수 있다는 것을 밝혔다. 그러나 상이한 RF 주파수들을 제공하도록 각각 구성된 2개 이상의 RF 소스들로부터의 다수의 종래의 정현파 파형들의 전달은 시스 특성들을 적절하게 또는 바람직하게 제어할 수 없으며, 바람직하지 않은 아킹 문제들로 이어질 수 있다는 것이 밝혀졌다. 더욱이, 프로세싱 동안의 RF 소스들 사이의 직접 또는 용량 결합으로 인해, 각각의 RF 소스는 연결된 다른 RF 소스(들)의 출력에 제공되는 RF 전류(예를 들어, "크로스-토크"로 종종 지칭됨)를 유도하여서, 전력이 의도된 부하(플라즈마)로부터 우회되게 할 뿐만 아니라 어쩌면 RF 소스들 각각에 대한 손상을 야기할 수 있다.
[0005] 따라서, 거의 일정한 시스 전압을 유지하는 것을 가능하게 하고 이에 따라 기판의 표면에 바람직하고 반복 가능한 IEDF를 생성하여, IEDF의 형상 및 일부 경우들에서 기판의 표면에 형성된 피처들의 에칭 프로파일에 대한 정밀 제어를 가능하게 하는, 신규하고 강건하며 신뢰성 있는 플라즈마 프로세싱 및 바이어싱 방법들에 대한 필요가 당업계에 있다.
[0006] 본 개시내용은 일반적으로, 기판 지지 어셈블리, 펄스 전압 파형 생성기, 제1 필터 어셈블리, 라디오 주파수(RF) 생성기 및 제2 필터 어셈블리를 포함하는 플라즈마 프로세싱 챔버를 포함한다. 기판 지지 어셈블리는 기판 지지 표면, 지지 베이스, 및 지지 베이스와 기판 지지 표면 사이에 배치된 바이어싱 전극을 포함하며, 제1 유전체 층이 지지 베이스와 바이어싱 전극 사이에 배치되고, 제2 유전체 층이 바이어싱 전극과 기판 표면 사이에 배치된다. 펄스 전압 파형 생성기는 바이어싱 전극에 전기적으로 결합되고, 펄스 전압 파형을 포함하는 펄스 전압 신호를 생성하도록 구성된다. 제1 필터 어셈블리는 펄스 전압 파형 생성기와 바이어싱 전극 사이에 전기적으로 결합된다. 라디오 주파수(RF) 생성기는 지지 베이스 또는 바이어싱 전극에 전기적으로 결합되고, RF 파형을 포함하는 RF 신호를 생성하도록 구성된다. 제2 필터 어셈블리는 라디오 주파수 생성기와 지지 베이스 또는 바이어싱 전극 사이에 전기적으로 결합된다. 일부 구성들에서, 제2 필터 어셈블리는 라디오 주파수 생성기와 라디오 주파수 매치 사이에 전기적으로 결합되며, 라디오 주파수 매치는 라디오 주파수 생성기와 지지 베이스 또는 바이어싱 전극 사이에 전기적으로 결합된다. 일부 다른 구성들에서, 라디오 주파수 매치가 제2 필터 어셈블리와 지지 베이스 또는 바이어싱 전극 사이에 배치된다.
[0007] 본 개시내용의 실시예들은, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법을 추가로 제공할 수 있으며, 방법은, 라디오 주파수 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 지지 베이스에 라디오 주파수 신호를 전달하는 단계 ― 라디오 주파수 생성기는 펄스 전압 필터 어셈블리를 통해 지지 베이스에 전기적으로 결합됨 ―, 및 제1 펄스 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서의 제1 펄스 전압 파형을 설정하는 단계 ― 제1 펄스 전압 파형 생성기는 제1 라디오 주파수 필터 어셈블리를 통해 바이어싱 전극에 전기적으로 결합됨 ― 를 포함한다. 바이어싱 전극은 지지 베이스와 기판 지지 어셈블리의 기판 지지 표면 사이에 배치된다. 지지 베이스와 바이어싱 전극 사이에 제1 유전체 층이 배치되고, 그리고 바이어싱 전극과 기판 지지 표면 사이에 제2 유전체 층이 배치된다.
[0008] 본 개시내용의 실시예들은, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법을 추가로 제공할 수 있으며, 방법은, 라디오 주파수 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 지지 베이스에 라디오 주파수 신호를 전달하는 단계 ― 라디오 주파수 생성기는 펄스 전압 필터 어셈블리를 통해 지지 베이스에 전기적으로 결합됨 ―, 제1 펄스 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서의 제1 펄스 전압 파형을 설정하는 단계 ― 제1 펄스 전압 파형 생성기는 제1 라디오 주파수 필터 어셈블리를 통해 바이어싱 전극에 전기적으로 결합됨 ― , 및 제2 펄스 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 에지 제어 전극에서의 제2 펄스 전압 파형을 설정하는 단계 ― 제2 펄스 전압 파형 생성기는 제2 라디오 주파수 필터 어셈블리를 통해 에지 제어 전극에 전기적으로 결합됨 ― 를 포함한다. 바이어싱 전극은 지지 베이스와 기판 지지 어셈블리의 기판 지지 표면 사이에 배치된다. 지지 베이스와 바이어싱 전극 사이에 제1 유전체 층이 배치되고, 바이어싱 전극과 기판 지지 표면 사이에 제2 유전체 층이 배치되고, 그리고 에지 제어 전극은 바이어싱 전극의 적어도 일부를 둘러싼다.
[0009] 본 개시내용의 실시예들은, 기판 지지 어셈블리, 펄스 전압 파형 생성기, 라디오 주파수 필터 어셈블리, 라디오 주파수 생성기, 및 펄스 전압 필터 어셈블리를 포함하는 플라즈마 프로세싱 챔버를 추가로 제공할 수 있다. 기판 지지 어셈블리는 기판 지지 표면, 지지 베이스, 및 지지 베이스와 기판 지지 표면 사이에 배치된 제1 바이어싱 전극을 포함하며, 제1 유전체 층이 지지 베이스와 제1 바이어싱 전극 사이에 배치되고, 제2 유전체 층이 제1 바이어싱 전극과 기판 지지 표면 사이에 배치된다. 펄스 전압 파형 생성기는 제1 전기 전도체를 통해 제1 바이어싱 전극에 전기적으로 결합되고, 제1 바이어싱 전극에서의 펄스 전압 파형을 설정하도록 구성된다. 라디오 주파수 필터 어셈블리는 펄스 전압 파형 생성기와 제1 전기 전도체 사이에 전기적으로 결합된다. 라디오 주파수 생성기는 제2 전기 전도체를 통해 지지 베이스 또는 제1 바이어싱 전극에 전기적으로 결합되고, 지지 베이스 또는 제1 바이어싱 전극에서의 라디오 주파수 전압 파형을 설정하도록 구성된다. 펄스 전압 필터 어셈블리는 라디오 주파수 생성기와 제2 전기 전도체 사이에 전기적으로 결합된다.
[0010] 본 개시내용의 실시예들은, 기판 지지 어셈블리, 제1 펄스 전압 파형 생성기, 제1 라디오 주파수 필터 어셈블리, 제2 펄스 전압 파형 생성기, 제2 라디오 주파수 필터 어셈블리, 라디오 주파수 생성기 및 펄스 전압 필터 어셈블리를 포함하는 플라즈마 프로세싱 챔버를 추가로 제공할 수 있다. 기판 지지 어셈블리는 기판 지지 표면, 지지 베이스, 및 지지 베이스와 기판 지지 표면 사이에 배치된 제1 바이어싱 전극 ― 제1 유전체 층이 지지 베이스와 제1 바이어싱 전극 사이에 배치되고, 제2 유전체 층이 제1 바이어싱 전극과 기판 지지 표면 사이에 배치됨 ―, 및 에지 제어 전극을 포함한다. 제1 펄스 전압 파형 생성기는 제1 전기 전도체를 통해 제1 바이어싱 전극에 전기적으로 결합되고, 제1 바이어싱 전극에서의 펄스 전압 신호 파형을 설정하도록 구성된다. 제1 라디오 주파수 필터 어셈블리는 제1 펄스 전압 파형 생성기와 제1 전기 전도체 사이에 전기적으로 결합된다. 제2 펄스 전압 파형 생성기는 제2 전기 전도체를 통해 에지 제어 전극에 전기적으로 결합되고, 에지 제어 전극에서의 펄스 전압 파형을 설정하도록 구성된다. 제2 라디오 주파수 필터 어셈블리는 제2 펄스 전압 파형 생성기와 제2 전기 전도체 사이에 전기적으로 결합된다. 라디오 주파수 생성기는 제3 전기 전도체를 통해 지지 베이스 또는 제1 바이어싱 전극에 전기적으로 결합되고, 지지 베이스 또는 제1 바이어싱 전극에서의 RF 파형을 설정하도록 구성된다. 펄스 전압 필터 어셈블리는 라디오 주파수 생성기와 제3 전기 전도체 사이에 전기적으로 결합된다.
[0011] 본 개시내용의 실시예들은, 펄스 전압 생성 유닛 인클로저 및 정션 박스 인클로저(junction box enclosure)를 포함하는 펄스 전압 서브시스템 어셈블리를 제공할 수 있다. 펄스 전압 생성 유닛 인클로저는 제1 생성기 출력 결합 어셈블리에 전기적으로 결합된 제1 펄스 전압 파형 생성기를 포함할 수 있다. 정션 박스 인클로저는 제1 바이어스 보상 모듈 구획 및 라디오 주파수 필터 구획을 포함할 수 있다. 제1 바이어스 보상 모듈 구획은 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리와 제1 생성기 출력 결합 어셈블리 사이에 전기적으로 결합된 제1 차단 커패시터, 및 포지티브 단자 및 네거티브 단자를 갖는 제1 DC 전력 공급부를 포함하고, 포지티브 단자 또는 네거티브 단자는 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리에 전기적으로 결합된다. 라디오 주파수 필터 구획은 제1 라디오 주파수 필터 출력 결합 어셈블리와 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리 사이에 전기적으로 결합된 제1 라디오 주파수 필터 어셈블리를 포함한다. 펄스 전압 서브시스템 어셈블리는 플라즈마 프로세싱 챔버에 결합되도록 구성된다. 제1 라디오 주파수 필터 출력 결합 어셈블리는 플라즈마 프로세싱 챔버에 배치된 제1 전극에 전기적으로 결합되도록 구성된다.
[0012] 본 개시내용의 실시예들은, 펄스 전압 생성 유닛 인클로저 및 정션 박스 인클로저를 포함하는 펄스 전압 서브시스템 어셈블리를 추가로 제공할 수 있다. 펄스 전압 생성 유닛 인클로저는 제1 생성기 출력 결합 어셈블리에 전기적으로 결합된 제1 펄스 전압 파형 생성기, 및 제2 생성기 출력 결합 어셈블리에 전기적으로 결합된 제2 펄스 전압 파형 생성기를 포함한다. 정션 박스 인클로저는 제1 바이어스 보상 모듈 구획, 제2 바이어스 보상 모듈 구획 및 라디오 주파수 필터 구획을 포함한다. 제1 바이어스 보상 모듈 구획은 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리와 제1 생성기 출력 결합 어셈블리 사이에 전기적으로 결합된 제1 차단 커패시터, 및 포지티브 단자 및 네거티브 단자를 갖는 제1 DC 전력 공급부를 포함하고, 포지티브 단자 또는 네거티브 단자는 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리에 전기적으로 결합된다. 제2 바이어스 보상 모듈 구획은 제2 바이어스 보상 모듈 구획 출력 결합 어셈블리와 제2 생성기 출력 결합 어셈블리 사이에 전기적으로 결합된 제2 차단 커패시터, 및 포지티브 단자 및 네거티브 단자를 갖는 제2 DC 전력 공급부를 포함하고, 포지티브 단자 또는 네거티브 단자는 제2 바이어스 보상 모듈 구획 출력 결합 어셈블리에 전기적으로 결합된다. 라디오 주파수 필터 구획은 제1 라디오 주파수 필터 출력 결합 어셈블리와 제1 바이어스 보상 모듈 구획 출력 결합 어셈블리 사이에 전기적으로 결합된 제1 라디오 주파수 필터 어셈블리, 및 제2 라디오 주파수 필터 출력 결합 어셈블리와 제2 바이어스 보상 모듈 구획 출력 결합 어셈블리 사이에 전기적으로 결합된 제2 라디오 주파수 필터 어셈블리를 포함한다. 펄스 전압 서브시스템 어셈블리는 플라즈마 프로세싱 챔버에 결합되도록 구성된다. 제1 라디오 주파수 필터 출력 결합 어셈블리는 플라즈마 프로세싱 챔버에 배치된 제1 전극에 전기적으로 결합되도록 구성되고, 플라즈마 프로세싱 챔버에 배치된 제2 전극에 전기적으로 결합되도록 구성된다.
[0013] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이러한 실시예들 중 일부는 첨부된 도면들에 예시된다. 그러나 첨부된 도면들이 단지 예시적인 실시예들만을 예시하므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0014] 도 1a는 일 실시예에 따른, 본원에서 설명되는 방법들을 실시하도록 구성된 프로세싱 챔버의 개략적인 단면도이다.
[0015] 도 1b는 일 실시예에 따른, 본원에서 설명되는 방법들을 실시하도록 구성된 프로세싱 챔버의 개략적인 단면도이다.
[0016] 도 1c는 일 실시예에 따른, 프로세싱 챔버에 결합된 패키징 어셈블리의 개략적인 단면도이다.
[0017] 도 1d는 일 실시예에 따른, 프로세싱 챔버에 결합된 패키징 어셈블리의 대안적인 버전의 개략적인 단면도이다.
[0018] 도 2는 일 실시예에 따른, 도 1a 또는 도 1b에 예시된 프로세스 챔버에 사용될 수 있는 바이어싱 방식의 단순화된 개략도이다.
[0019] 도 3a는 일 실시예에 따른, 도 1a 또는 도 1b에 예시된 프로세스 챔버에서 수행될 수 있는 네거티브 펄스 바이어싱 방식의 기능적으로 등가 회로도이다.
[0020] 도 3b는 일 실시예에 따른, 도 1a 또는 도 1b에 예시된 프로세스 챔버에서 수행될 수 있는 포지티브 펄스 바이어싱 방식의 기능적으로 등가 회로도이다.
[0021] 도 3c는 일 실시예에 따른, 도 1a 또는 도 1b에 예시된 프로세스 챔버에서 사용될 수 있는 쿨롱 정전 척(ESC: coulombic electrostatic chuck)의 기능적으로 등가 회로도이다.
[0022] 도 3d는 일 실시예에 따른, 도 1a 또는 도 1b에 예시된 프로세스 챔버에서 사용될 수 있는 존센-라벡 ESC의 기능적으로 등가 회로도이다.
[0023] 도 4a는 일 실시예에 따른, 바이어싱 전극 및 기판에 설정된 네거티브 펄스 전압(PV: pulsed voltage) 파형들의 예를 예시한다.
[0024] 도 4b는 하나 이상의 실시예들에 따른 일련의 펄스 전압(PV) 파형 버스트들의 예를 예시한다.
[0025] 도 4c는 하나 이상의 실시예들에 따른 일련의 펄스 전압(PV) 파형 버스트들의 예를 예시한다.
[0026] 도 4d는 하나 이상의 실시예들에 따른, 일련의 펄스 전압(PV) 파형 버스트들에 의해 형성된 이온 에너지 분포 함수(IEDF)의 예를 예시한다.
[0027] 도 5a는 일 실시예에 따른, 바이어싱 전극에 설정된 네거티브 펄스 전압(PV) 파형의 예를 예시한다.
[0028] 도 5b는 일 실시예에 따른, 바이어싱 전극에 설정된 성형 펄스 전압(PV) 파형의 예를 예시한다.
[0029] 도 5c는 일 실시예에 따른, 바이어싱 전극에 설정된 포지티브 펄스 전압(PV) 파형의 예를 예시한다.
[0030] 도 5d는 일 실시예에 따른, 프로세싱 동안 기판에 설정된 네거티브 펄스 전압(PV) 파형과 포지티브 펄스 전압(PV) 파형의 비교를 예시한다.
[0031] 도 6a는 일 실시예에 따른 라디오 주파수(RF) 파형의 예를 예시한다.
[0032] 도 6b는 일 실시예에 따른 펄스 라디오 주파수(RF) 파형의 예를 예시한다.
[0033] 도 6c는 하나 이상의 실시예들에 따른, 하나 이상의 전극들에 제공될 수 있는 라디오 주파수(RF) 파형 및 펄스 전압(PV) 파형들의 예를 예시한다.
[0034] 도 6d 내지 도 6h는 각각, 하나 이상의 실시예들에 따른, 하나 이상의 전극들에 제공될 수 있는 라디오 주파수(RF) 파형 및 펄스 전압(PV) 파형의 예들을 예시한다.
[0035] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있는 것으로 고려된다.
[0036] 본원에서 제공되는 본 개시내용의 실시예들은 프로세싱 챔버 내의 기판의 플라즈마 프로세싱을 위한 장치 및 방법을 포함한다. 더 구체적으로, 본 개시내용의 실시예들은, 라디오 주파수(RF: radio frequency) 생성기로부터의 RF 생성 RF 파형을 프로세싱 챔버 내의 하나 이상의 전극들에 제공하도록 구성되는 바이어싱 방식, 및 하나 이상의 펄스 전압(PV: pulsed-voltage) 생성기들로부터 프로세싱 챔버 내의 하나 이상의 전극들로 전달되는 펄스 전압(PV: pulsed-voltage) 파형을 설명한다. 일반적으로, 생성된 RF 파형은 프로세싱 챔버 내에 플라즈마를 설정 및 유지하도록 구성되고, 전달된 PV 파형(들)은, 기판의 표면에 걸쳐 거의 일정한 시스 전압을 설정하고 따라서 프로세싱 챔버 내에서 수행되는 하나 이상의 플라즈마 프로세싱 단계들 동안 기판의 표면에 바람직한 이온 에너지 분포 함수(IEDF: distribution function)를 생성하도록 구성된다. 본원에서 개시되는 플라즈마 프로세스(들)는, IEDF의 형상 및 이에 따른 프로세싱 동안 기판의 표면과 플라즈마의 상호작용을 제어하는 데 사용될 수 있다. 일부 구성들에서, 본원에서 개시되는 플라즈마 프로세스(들)는 프로세싱 동안 기판의 표면에 형성되는 피처들의 프로파일을 제어하는 데 사용된다. 일부 실시예들에서, 펄스 전압 파형은, 플라즈마 프로세싱 챔버 내에 배치된 기판 지지 어셈블리 내에 배치된 바이어싱 전극에 전기적으로 결합된 PV 생성기에 의해 설정된다.
[0037] 일부 반도체 플라즈마 프로세스들 동안, 기판 지지 어셈블리(136)의 최상부에 배치된 기판 위에 형성되는 전자 반발 시스(electron-repelling sheath)에서의 전압 강하에 의해, 이온들이 기판을 향해 의도적으로 가속된다(도 1a 내지 도 1c). 본원에서 제공되는 본 개시내용의 범위에 대해 제한하는 것으로 의도되지는 않지만, 기판 지지 어셈블리(136)는 종종, 본원에서 "캐소드 어셈블리" 또는 "캐소드"로 지칭된다. 일부 실시예들에서, 기판 지지 어셈블리(136)는 기판 지지부(105) 및 지지 베이스(107)를 포함한다. 기판 지지부(105)는 기판 수용 표면(105A) 상에 기판을 척킹(예를 들어, 유지)하도록 구성된 정전 척(ESC) 어셈블리를 포함할 수 있다.
[0038] 본원에서 제공되는 본 개시내용의 일부 실시예들에서, 프로세싱 챔버는 용량 결합 가스 방전을 제공하도록 구성되며, 따라서 RF 매칭 네트워크("RF 매치")를 통해 RF 전극에 결합된 RF 생성기를 포함하는 RF 생성기 어셈블리의 사용에 의해 플라즈마가 생성된다. RF 매칭 네트워크는, 반사 전력을 최소화하고 전력 전달 효율을 최대화하기 위해 겉보기 부하를 50 Ω으로 튜닝하도록 구성된다. 일부 실시예들에서, RF 전극은 기판의 플라즈마 대면 표면에 평행하게 포지셔닝된 금속 플레이트를 포함한다.
[0039] 부가적으로, 본원에서 개시되는 플라즈마 프로세싱 방법들 동안, 기판 지지 어셈블리(136) 내에 배치된 하나 이상의 바이어싱 전극들(104)(도 1a 및 도 1b)에서의 펄스 전압 파형을 설정하도록 구성되는 펄스 전압(PV) 생성기의 사용에 의해 플라즈마 프로세싱 동안 이온 가속 캐소드 시스가 일반적으로 형성된다. 일부 실시예들에서, 하나 이상의 바이어싱 전극들(104)은, 기판 지지 어셈블리(136)(예를 들어, 정전 척(ESC) 어셈블리) 내에 형성된 유전체 재료의 얇은 층에 의해 기판으로부터 분리되는 척킹 전극, 및 선택적으로는 기판(103)이 기판 지지 어셈블리(136)의 기판 지지 표면(105A) 상에 배치될 때 기판(103)을 둘러싸는 에지 링(114) 내에 또는 그 아래에 배치되는 에지 제어 전극을 포함한다. 아래에서 추가로 논의될 바와 같이, 이 펄스 전압 파형(PVWF: pulsed-voltage waveform)은 PV 파형의 펄스 기간의 상당한 부분 동안 거의 일정한 시스 전압(예를 들어, 플라즈마 전위와 기판 전위 사이의 차이)이 형성되게 하도록 구성될 수 있으며, 이는 본원에서 "이온-전류 위상(ion-current phase)"으로 또한 지칭되는 펄스 기간의 이 부분 동안 기판에 도달하는 이온들의 단일(좁은) 피크 포함 이온 에너지 분포 함수(IEDF)에 대응한다.
[0040] 그러나 위에서 언급된 바와 같이, 프로세싱 동안 RF 생성기 어셈블리와 PV 생성기 어셈블리 사이의 직접적 또는 용량 결합으로 인해, RF 생성기 및 PV 생성기(들)로부터의 생성된 출력들 사이의 상호작용은 전력이 의도된 (플라즈마) 부하로부터 멀어지게 우회되게 할 뿐만 아니라, 어쩌면, 본원에서 개시되는 필터링 방식 및/또는 프로세싱 방법의 사용 없이 RF 소스 및 PV 소스(들) 각각에 대한 손상을 야기할 것이다. 따라서, 본원에서 개시되는 장치 및 방법들은, 적어도, 하나 이상의 파형 종속적 필터 어셈블리들을 통해 각각의 생성기를 자신의 개개의 전극에 결합하고 따라서 하나 이상의 파형 종속적 필터 어셈블리들이 자신들의 개개의 RF 및 PV 생성기들로부터 플라즈마로 제공되는 전력 전달을 크게 방해하지 않게 함으로써, 플라즈마 프로세싱 챔버의 하나 이상의 전극들(예를 들어, 캐소드(들))에 RF 및 PV 전력을 조합하는 방법을 제공하도록 구성된다.
플라즈마 프로세싱 챔버 예
[0041] 도 1a는 복합 부하(complex load)(130)(도 3a 및 도 3b)가 플라즈마 프로세싱 동안 형성되는 프로세싱 챔버(100)의 개략적인 단면도이다. 도 3a 및 도 3b 각각은, 프로세싱 챔버(100)에서 발견되는 컴포넌트들을 사용하여 수행될 수 있는 펄스 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)의 예들이다. 프로세싱 챔버(100)는 하나 이상의 실시예들에 따라 본원에서 제안된 바이어싱 방식들 중 하나 이상을 실시하도록 구성된다. 일 실시예에서, 프로세싱 챔버는 플라즈마 프로세싱 챔버, 이를테면 반응성 이온 에칭(RIE) 플라즈마 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 강화 증착 챔버, 예를 들어, 플라즈마 강화 화학 기상 증착(PECVD: plasma-enhanced chemical vapor deposition) 챔버, 플라즈마 강화 물리 기상 증착(PEPVD: plasma enhanced physical vapor deposition) 챔버, 또는 플라즈마 강화 원자 층 증착(PEALD: plasma-enhanced atomic layer deposition) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반 이온 주입 챔버, 예를 들어 플라즈마 도핑(PLAD: plasma doping) 챔버이다. 일부 실시예들에서, 플라즈마 소스는, 기판 지지 어셈블리(136)에 대면하는, 프로세싱 볼륨에 배치된 전극(예를 들어, 챔버 덮개(chamber lid)(123))을 포함하는 용량 결합 플라즈마(CCP: capacitively coupled plasma) 소스이다. 도 1a에 예시된 바와 같이, 기판 지지 어셈블리(136)에 대향하게 포지셔닝된 대향 전극, 이를테면 챔버 덮개(123)는 접지에 전기적으로 결합된다. 그러나 다른 대안적인 실시예들에서, 도 1b에 예시된 바와 같이, 대향 전극은 RF 생성기에 전기적으로 결합된다. 또 다른 실시예들에서, 프로세싱 챔버는 대안적으로 또는 부가적으로, 라디오 주파수(RF) 전력 공급부에 전기적으로 결합된 유도성 결합 플라즈마(ICP: inductively coupled plasma) 소스를 포함할 수 있다.
[0042] 프로세싱 챔버(100)는 또한, 챔버 덮개(123), 하나 이상의 측벽들(122) 및 챔버 베이스(124)를 포함하는 챔버 바디(113)를 포함하며, 이들은 프로세싱 볼륨(129)을 정의한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 일반적으로, 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지부를 형성하도록 크기결정되고 형상화되며 그리고 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129)에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안 프로세싱 챔버(100)의 엘리먼트들에 인가되는 부가적인 에너지 및 압력을 견디도록 구성되는 재료들을 포함한다. 일 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 금속, 이를테면 알루미늄, 알루미늄 합금 또는 스테인리스 강으로 형성된다. 챔버 덮개(123)를 통해 배치된 가스 유입구(128)는, 함께 유체 연통하는 프로세싱 가스 소스(119)로부터 프로세싱 볼륨(129)으로 하나 이상의 프로세싱 가스들을 제공하기 위해 사용된다. 기판(103)은 하나 이상의 측벽들(122) 중 하나에 있는 개구(도시되지 않음)를 통해 프로세싱 볼륨(129) 내로 로딩되고 프로세싱 볼륨(129)으로부터 제거되며, 이 개구는 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(도시되지 않음)로 밀봉된다. 본원에서 기판(103)은 리프트 핀 시스템(도시되지 않음)을 사용하여 ESC 기판 지지부(105)의 기판 수용 표면(105A)으로 그리고 기판 수용 표면(105A)으로부터 이송된다.
[0043] 일부 실시예들에서, RF 생성기 어셈블리(160)는 ESC 기판 지지부(105)에 근접하게 그리고 기판 지지 어셈블리(136) 내에 배치된 지지 베이스(107)에 RF 전력을 전달하도록 구성된다. 지지 베이스(107)에 전달되는 RF 전력은 프로세싱 볼륨(129) 내에 배치된 프로세싱 가스들의 사용에 의해 형성된 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는, 둘 다 RF 생성기 어셈블리(160) 내에 배치되는 RF 매칭 회로(161) 및 제1 필터 어셈블리(162)를 통해, RF 생성기(118)에 전기적으로 결합되는 RF 전극이다. 일부 실시예들에서, 플라즈마 생성기 어셈블리(160) 및 RF 생성기(118)는, 프로세싱 볼륨(129)에 배치된 프로세싱 가스들 및 RF 생성기(118)에 의해 지지 베이스(107)에 제공되는 RF 전력에 의해 생성된 필드들을 사용하여, 프로세싱 플라즈마(101)를 점화 및 유지하는 데 사용된다. 프로세싱 볼륨(129)은 진공 배출구(120)를 통해 하나 이상의 전용 진공 펌프들에 유체적으로 결합되며, 하나 이상의 전용 진공 펌프들은 프로세싱 볼륨(129)을 대기압-미만(sub-atmospheric pressure) 조건들로 유지하고 프로세싱 볼륨(129)으로부터 프로세싱 및/또는 다른 가스들을 배기한다. 프로세싱 볼륨(129)에 배치된 기판 지지 어셈블리(136)는 지지 샤프트(138) 상에 배치되며, 지지 샤프트(138)는 접지되고 챔버 베이스(124)를 통해 연장된다. 그러나 일부 실시예들에서, RF 생성기 어셈블리(160)는 지지 베이스(107)에 비해 기판 지지부(105)에 배치된 바이어싱 전극(104)에 RF 전력을 전달하도록 구성된다.
[0044] 위에서 간략히 논의된 바와 같이, 기판 지지 어셈블리(136)는 일반적으로, 기판 지지부(105)(예를 들어, ESC 기판 지지부) 및 지지 베이스(107)를 포함한다. 일부 실시예들에서, 기판 지지 어셈블리(136)는 부가적으로, 아래에서 추가로 논의되는 바와 같이, 절연체 플레이트(111) 및 접지 플레이트(112)를 포함할 수 있다. 기판 지지부(105)는 지지 베이스(107)에 열적으로 결합되고 지지 베이스(107) 상에 배치된다. 일부 실시예들에서, 지지 베이스(107)는 기판 프로세싱 동안 기판 지지부(105) 및 기판 지지부(105) 상에 배치된 기판(103)의 온도를 조절하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는 내부에 배치된 하나 이상의 냉각 채널들(도시되지 않음)을 포함하며, 하나 이상의 냉각 채널들은 냉각제 소스(도시되지 않음), 이를테면 비교적 높은 전기 저항을 갖는 냉매 소스 또는 워터 소스에 유체적으로 결합되고 냉각제 소스(도시되지 않음)와 유체 연통한다. 일부 실시예들에서, 기판 지지부(105)는 자신의 유전체 재료에 임베딩된 저항성 가열 엘리먼트와 같은 가열기(도시되지 않음)를 포함한다. 본원에서, 지지 베이스(107)는 내식성(corrosion resistant) 열 전도성 재료, 이를테면, 내식성 금속, 예를 들어, 알루미늄, 알루미늄 합금 또는 스테인레스 강으로 형성되고, 접착제를 이용하여 또는 기계적 수단에 의해 기판 지지부에 결합된다.
[0045] 지지 베이스(107)는 절연체 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 격리되고, 접지 플레이트(112)는 절연체 플레이트(111)와 챔버 베이스(124) 사이에 개재된다. 일부 실시예들에서, 프로세싱 챔버(100)는, 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물들과의 접촉으로부터 ESC 기판 지지부(105) 및/또는 지지 베이스(107)의 부식을 방지하기 위해 기판 지지 어셈블리(136)의 부분들을 적어도 부분적으로 에워싸는 석영 파이프(110) 또는 칼라(collar)를 더 포함한다. 통상적으로, 석영 파이프(110), 절연체 플레이트(111) 및 접지 플레이트(112)는 라이너(108)에 의해 에워싸인다. 본원에서, ESC 기판 지지부(105)의 기판 수용 표면과 대략 동일 평면에 있는 플라즈마 스크린(109)은 하나 이상의 측벽들(122)과 라이너(108) 사이의 볼륨에 플라즈마가 형성되는 것을 방지한다.
[0046] 기판 지지부(105)는 통상적으로, 유전체 재료, 이를테면 벌크 소결된 세라믹 재료, 이를테면 내부식성 금속 산화물 또는 금속 질화물 재료, 예를 들어 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 형성된다. 본원의 실시예들에서, 기판 지지부(105)는 자신의 유전체 재료에 임베딩된 바이어싱 전극(104)을 더 포함한다. 일 구성에서, 바이어싱 전극(104)은, 본원에서 ESC 기판 지지부로 또한 지칭되는 기판 지지부(105)의 기판 수용 표면(105A)에 기판(103)을 고정(척킹)하고, 본원에서 설명되는 펄스 전압 바이어싱 방식들 중 하나 이상을 사용하여 프로세싱 플라즈마(101)에 대해 기판(103)을 바이어싱하는 데 사용되는 척킹 폴이다. 통상적으로, 바이어싱 전극(104)은 하나 이상의 전기 전도성 부분들, 이를테면 하나 이상의 금속 메시들, 포일들, 플레이트들, 또는 이들의 조합들로 형성된다. 일부 실시예들에서, 바이어싱 전극(104)은 척킹 모듈(116)에 전기적으로 결합되며, 척킹 모듈(116)은 동축 송신 라인106(예를 들어, 동축 케이블)과 같은 전기 전도체를 사용하여 약 -5000 V 내지 약 5000 V의 정적 DC 전압과 같은 척킹 전압을 바이어싱 전극(104)에 제공한다. 아래에서 추가로 논의될 바와 같이, 척킹 모듈(116)은 바이어스 보상 회로 엘리먼트들(116A)(도 3a 및 도 3b), DC 전력 공급부(155) 및 차단 커패시터(153)를 포함한다. 본원에서 차단 커패시터(153)로 또한 지칭되는 척킹 모듈 차단 커패시터가 펄스 전압 파형 생성기(PVWG)(150)의 출력과 바이어싱 전극(104) 사이에 배치된다.
[0047] 바이어싱 전극(104)은, 기판 지지부(105)의 유전체 재료의 층에 의해, 기판 지지부(105)의 기판 수용 표면(105A)으로부터 그리고 따라서 기판(103)으로부터 이격된다. 프로세싱 동안 기판(103)을 유지하기 위해 기판 지지부(105) 내에서 활용되는 정전 척킹 방법의 타입, 이를테면, 쿨롱 ESC 또는 존센-라벡 ESC에 따라, 플라즈마(101)에 대한 바이어싱 전극(104)의 전기적 결합을 모델링하는 데 사용되는 유효 회로 엘리먼트들은 변할 것이다. 도 3c 및 도 3d는, 각각, 쿨롱 ESC 또는 존센-라벡 ESC가 플라즈마 프로세싱 동안 활용될 때 생성되는 유효 회로 엘리먼트들(191)을 예시한다. 일반적으로, 약 5nF 내지 약 50nF의 유효 커패시턴스(CE)를 통상적으로 가질 수 있는 유전체 재료의 층과 바이어싱 전극(104)에 의해 평행한 플레이트형 구조가 형성된다. 통상적으로, 유전체 재료(예를 들어, 알루미늄 질화물(AlN), 알루미늄 산화물(Al2O3) 등)의 층은 약 0.1mm 내지 약 1mm, 이를테면 약 0.1mm 내지 약 0.5mm, 예를 들어 약 0.3mm의 두께를 갖는다. 본원에서, 바이어싱 전극(104)은 지지 샤프트(138) 내에 배치된 외부 전도체, 이를테면 송신 라인(106)을 사용하여 펄스 전압 파형 생성기(PVWG)(150)의 출력에 전기적으로 결합된다. 일부 실시예들에서, 유전체 재료 및 층 두께는, 유전체 재료의 층의 척 커패시턴스(CESC)가 예를 들어 약 5nF 내지 약 50nF, 이를테면 약 7 내지 약 10nF가 되도록 선택될 수 있다.
[0048] 도 3d에 예시된 존센-라벡 ESC의 더 복잡한 모델에서, 회로 모델은 도시된 바와 같이 ESC 유전체 재료 척 커패시턴스(CESC), ESC 유전체 재료 저항(RCER), 갭 커패시턴스(Cabt), 기판 커패시턴스(Csub) 및 기판 저항(Rsub)의 조합을 포함한다. 갭 커패시턴스들(Cabt)은 일반적으로, 기판 지지부(105) 상에 포지셔닝되는 기판 위 및 아래의 가스 함유 공간들을 설명할 것이다. 갭 커패시턴스(Cabt)는 척 커패시턴스(CESC)와 동일한 범위의 커패시턴스를 갖는 것으로 예상된다.
[0049] 일부 애플리케이션들에서, 기판(103)은 통상적으로 반도체 재료 및/또는 유전체 재료의 얇은 층으로 제조되기 때문에, 기판(103)은 전기적으로 바이어싱 전극(104)과 기판 수용 표면(105A) 사이에 배치된 ESC 유전체 층의 일부인 것으로 간주될 수 있다. 따라서, 일부 애플리케이션들에서, 척 커패시턴스(CESC)는 ESC와 기판의 결합된 직렬 커패시턴스(즉, 기판 커패시턴스(Csub))에 의해 근사화된다. 그러나 쿨롱 척의 경우, 기판 커패시턴스(Csub)가 통상적으로 매우 크거나(> 10nF) 또는 기판이 전도성(무한 커패시턴스)일 수 있기 때문에, 직렬 커패시턴스는 주로 커패시턴스(CESC)에 의해 결정된다. 이 경우, 도 3c에 예시된 바와 같이, 유효 커패시턴스(CE)는 척 커패시턴스(CESC)와 사실상 동일하다. "존센-라벡 ESC"의 경우, ESC 유전체 층은, 예를 들어 유전체 재료가 약 9의 유전율(ε)을 갖는 도핑된 알루미늄 질화물(AlN)일 수 있기 때문에 ESC 유전체 층이 완벽한 절연체가 아니고 약간의 전도율을 갖는다는 점에서, "누설"된다. 그러나 존센-라벡 ESC의 유효 커패시턴스는 쿨롱 척과 유사해야 한다. 일 예에서, 존센-라벡 ESC 내의 유전체 층의 체적 저항률은 약 1012옴-cm(Ω-cm) 미만, 또는 약 1010Ω-cm 미만, 또는 심지어 108Ω-cm 내지 1012Ω-cm의 범위이다.
[0050] 기판 지지 어셈블리(136)는 에지 제어 전극(115)을 더 포함하며, 에지 제어 전극(115)은 에지 링(114) 아래에 포지셔닝되고, 그리고 바이어싱될 때, 기판(103)에 대한 바이어싱 전극(104)의 포지션으로 인해 바이어싱 전극(104)이 기판(103)의 에지에 있거나 또는 기판(103)의 에지 외부에 있는 생성된 플라즈마(101)의 일부분에 영향을 미치거나 또는 이를 변경할 수 있도록 바이어싱 전극(104)을 둘러싼다. 에지 제어 전극(115)은, 바이어싱 전극(104)을 바이어싱하는 데 사용되는 펄스 전압 파형 생성기(PVWG)(150)와 상이한 펄스 전압 파형 생성기(PVWG)(150)의 사용에 의해 바이어싱될 수 있다. 일 구성에서, 제1 PV 소스 어셈블리(196)의 제1 PV 파형 생성기(150)는 바이어싱 전극(104)을 바이어싱하도록 구성되고, 제2 PV 소스 어셈블리(197)의 제2 PV 파형 생성기(150)는 에지 제어 전극(115)을 바이어싱하도록 구성된다. 일 실시예에서, 도 1a에 도시된 바와 같이, 에지 제어 전극(115)은 기판 지지부(105)의 구역 내에 포지셔닝된다. 일반적으로, 원형 기판들을 프로세싱하도록 구성된 프로세싱 챔버들(100)의 경우, 도 1a 및 도 1b에 도시된 바와 같이, 에지 제어 전극(115)은 형상이 환형이고, 전도성 재료로 제조되며, 바이어싱 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 일부 실시예들에서, 도 1a에 예시된 바와 같이, 에지 제어 전극(115)은 기판 지지부(105)의 표면(105A)으로부터 바이어싱 전극(104)과 유사한 거리(즉, Z-방향)에 배치된 전도성 메시, 포일 또는 플레이트를 포함한다. 일부 다른 실시예들에서, 에지 제어 전극(115)은, 도 1b에 도시된 바와 같이, 기판 지지부(105) 및/또는 바이어싱 전극(104)의 적어도 일부를 둘러싸는 유전체 파이프(110)(예를 들어, AlN 또는 Al2O3)의 구역 상에 또는 구역 내에 포지셔닝된 전도성 메시, 포일 또는 플레이트를 포함한다. 대안적으로, 일부 다른 실시예들에서, 에지 제어 전극(115)은 기판 지지부(105)에 인접하게 배치된 에지 링(114) 내에 포지셔닝되거나 또는 에지 링(114)에 결합된다. 이러한 구성에서, 에지 링(114)은 반도체 또는 유전체 재료(예를 들어, AlN, Al2O3 등)로 형성된다.
[0051] 도 1b를 참조하면, 일부 실시예들에서, 기판 지지부(105)는 복수의 전도성 비아들(114V)의 사용에 의해 바이어싱 전극(104)에 전기적으로 결합되는 이차 전극(104L)(예를 들어, 금속 메시, 포일 또는 플레이트)을 더 포함한다. 비아들(114V) 중 하나 이상은 바이어싱 전극(104)과 전기적으로 접촉하는 제1 단부 및 이차 전극(104L)과 전기적으로 접촉하는 제2 단부를 갖는다. 바이어싱 전극(104) 아래에 배치되는 이차 전극(104L)은 프로세싱 동안 기판(103)의 표면에 걸친 플라즈마 균일성 및/또는 플라즈마 시스의 제어를 개선하도록 정렬, 포지셔닝 및 크기결정된다. 일부 구성들에서, 도 1b에 도시된 바와 같이, 에지 제어 전극(115)은, 조합하여 사용될 때 바이어싱 전극(104), 이차 전극(114L) 및 에지 제어 전극(115)이 기판(103)의 에지에 있거나 또는 기판(103)의 에지 외부에 있는 생성된 플라즈마(101)를 바람직하게 변경하도록, 2차 전극(104L)에 인접하게 포지셔닝된다.
[0052] 도 1a 및 도 1b를 참조하면, 지지 베이스(107)는 유전체 재료의 일부분에 의해 바이어싱 전극(104)으로부터 이격된다. 일부 구성들에서 유전체 재료의 일부분은 기판 지지부(105)를 형성하는 데 사용되는 유전체 재료이며, 기판 지지부(105)의 후면으로부터 바이어싱 전극(104)으로 연장된다. 기판 지지부(105)의 유전체 재료의 일부분은, 도 3a 및 도 3b에 개략적으로 예시된 바와 같이, ESC 커패시턴스(CE)와 직렬인 지지 베이스 커패시턴스(CCL)를 갖는다. 일부 실시예들에서, 지지 베이스(107)와 바이어싱 전극(104) 사이에 배치된 유전체 재료의 일부분의 두께는 바이어싱 전극(104)과 기판(103) 사이에 배치된 유전체 재료의 두께보다 더 두껍고, 유전체 재료들은 기판 지지부(105)의 일부를 형성하고 그리고/또는 기판 지지부(105)와 동일한 재료이다. 일 예에서, 지지 베이스(107)와 바이어싱 전극(104) 사이에 배치된 기판 지지부(105)의 유전체 재료의 일부분(예를 들어, Al2O3 또는 AlN)은 1mm 초과의 두께, 이를테면, 약 1.5mm 내지 약 20mm 두께이다.
[0053] 일반적으로, 프로세싱 챔버(100)의 프로세싱 볼륨(129)에 형성된 낮은 압력은 내부에 배치된 하드웨어 컴포넌트들의 표면들 사이, 이를테면 기판 지지부(105)의 유전체 재료와 기판 지지부(105)의 기판 수용 표면 상에 배치된 기판(103) 사이의 불량한 열 전도를 초래하고, 이는 기판(103)을 가열 또는 냉각시키는 데 있어서 기판 지지부의 유효성을 감소시킨다. 따라서, 일부 프로세스들에서, 열 전도성 불활성 열 전달 가스, 통상적으로 헬륨이 기판(103)의 비-디바이스 측 표면과 기판 지지부(105)의 기판 수용 표면(105A) 사이에 배치된 볼륨(도시되지 않음) 내로 도입되어 이들 사이의 열 전달을 개선한다. 열 전달 가스 소스(도시되지 않음)에 의해 제공되는 열 전달 가스는, 지지 베이스(107)를 통해 배치되고 기판 지지부(105)를 통해 추가로 배치된 가스 연통 경로(도시되지 않음)를 통해 후면 볼륨으로 유동한다.
[0054] 프로세싱 챔버(100)는 본원에서 프로세싱 챔버 제어기로 또한 지칭되는 제어기(126)를 더 포함한다. 본원에서, 제어기(126)는 중앙 프로세싱 유닛(CPU: central processing unit)(133), 메모리(134) 및 지원 회로들(135)을 포함한다. 제어기(126)는 본원에서 설명되는 기판 바이어싱 방법들을 포함하여 기판(103)을 프로세싱하는 데 사용되는 프로세스 시퀀스를 제어하는 데 사용된다. CPU(133)는 프로세싱 챔버 및 이와 관련된 서브-프로세서들을 제어하기 위해 산업 현장에서 사용하도록 구성된 범용 컴퓨터 프로세서이다. 일반적으로 비-휘발성 메모리인, 본원에서 설명되는 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격의 다른 적합한 형태들의 디지털 저장부를 포함할 수 있다. 지원 회로들(135)은 CPU(133)에 통상적으로 결합되고, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 명령하기 위해 메모리(134) 내에 코딩 및 저장될 수 있다. 제어기(126) 내의 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령들)은 어느 태스크들이 프로세싱 챔버(100) 내의 컴포넌트들에 의해 수행 가능한지를 결정한다. 바람직하게는, 제어기(126) 내의 CPU(133)에 의해 판독 가능한 프로그램은, 프로세서(CPU(133))에 의해 실행될 때, 본원에서 설명되는 전극 바이어싱 방식의 모니터링 및 실행에 관련된 태스크들을 수행하는 코드를 포함한다. 프로그램은, 본원에서 설명되는 전극 바이어싱 방식을 구현하는 데 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해 프로세싱 챔버(100) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 것이다.
[0055] 프로세싱 동안, 제1 PV 소스 어셈블리(196) 및 제2 PV 소스 어셈블리(197)의 PV 파형 생성기들(150) 내의 PV 생성기들(314)은 프로세싱 챔버(100)에 배치된 부하(예를 들어, 복합 부하(130))에 대한 펄스 전압 파형을 설정한다. 본원에서 제공되는 본 개시내용에 대해 제한하는 것으로 의도하는 것이 아니라 논의를 단순화하기 위해, 에지 제어 전극(115)을 바이어싱하는 데 사용되는, 제2 PV 소스 어셈블리(197) 내의 컴포넌트들은 도 3a 및 도 3b에 개략적으로 도시되지 않는다. PV 파형 생성기들(150) 각각으로부터의 PV 파형의 전달의 전체 제어는 제어기(126)로부터 제공되는 신호들의 사용에 의해 제어된다. 일 실시예에서, 도 3a에 예시된 바와 같이, PV 파형 생성기(150A)는, 자신의 내부 스위치(S1)를 미리 결정된 레이트로 반복적으로 폐쇄 및 개방함으로써, 미리 결정된 길이의 규칙적으로 반복되는 시간 인터벌들 동안 자신의 출력에 걸쳐(즉, 접지에 대해) 미리 결정된 실질적으로 일정한 포지티브 전압을 유지하도록 구성된다. 대안적으로, 일 실시예에서, 도 3b에 예시된 바와 같이, PV 파형 생성기(150B)는, 자신의 내부 스위치(S1)를 미리 결정된 레이트로 반복적으로 폐쇄 및 개방함으로써, 미리 결정된 길이의 규칙적으로 반복되는 시간 인터벌들 동안 자신의 출력에 걸쳐(즉, 접지에 대해) 미리 결정된 실질적으로 일정한 네거티브 전압을 유지한다. 도 3a 및 도 3b에서, PV 파형 생성기(150A, 150B)는 바이어싱 전극(104)에서의 원하는 펄스 전압 파형을 설정하는 데 있어서의 PV 파형 생성기(150A, 150B)의 역할을 이해하는 데 중요한 컴포넌트들의 최소 조합으로 축소된다. 도 3a 및 도 3b에 개략적으로 예시된 바와 같이, 각각의 PV 파형 생성기(150)는 출력(350)에 PV 파형을 제공하도록 구성된, 높은 반복 레이트 스위치들, 커패시터들(도시되지 않음), 인덕터들(도시되지 않음), 플라이백 다이오드들(도시되지 않음), 전력 트랜지스터들(도시되지 않음) 및/또는 저항기들(도시되지 않음)과 같은 하나 이상의 전기 컴포넌트들 및 PV 생성기(314)(예를 들어, DC 전력 공급부)를 포함할 것이다. 나노초 펄스 생성기로서 구성될 수 있는 실제 PV 파형 생성기(150)는 임의의 수의 내부 컴포넌트들을 포함할 수 있고, 도 3a 및 도 3b에 예시된 것보다 더 복잡한 전기 회로에 기초할 수 있다. 도 3a 및 도 3b의 개략도들은 각각, 단지, PV 파형 생성기(150)의 동작, 프로세싱 볼륨 내의 플라즈마와 PV 파형 생성기(150)의 상호작용, 및 바이어싱 전극(104)에서의 입력 펄스 전압 파형(401, 431, 441)(도 4a 내지 도 4c)과 같은 펄스 전압 파형을 설정하는 데 있어서의 PV 파형 생성기(150)의 역할의 기본 원리를 설명하기 위해 요구되는 만큼, PV 파형 생성기(150)의 컴포넌트들 및 이의 전기 회로부의 기능적으로 등가 표현을 제공한다. 도 3a 및 도 3b에 도시된 개략도로부터 추론될 수 있는 바와 같이, 스위치(S1)가 개방(오프) 포지션으로부터 폐쇄(온) 포지션으로 이동할 때, 스위치(S1)는 PV 파형 생성기(150)의 출력을 자신의 PV 생성기(314)에 연결하며, 이는 실질적으로 일정한 출력 전압을 생성한다. PV 파형 생성기(150)는 주로, 정전압 소스가 아니라 전하 주입기(전류 소스)로서 사용될 수 있고; 따라서, 스위치가 폐쇄(온) 포지션으로 유지되는 경우에도 출력 전압이 시간상 변할 수 있다는 점에서, 출력 전압의 안정성에 대해 엄격한 요건들을 부과할 필요가 없다. 추가로, 일부 구성들에서, PV 생성기(314)는, 한 방향으로만 전류를 통과시킨다는(예를 들어, 출력이 커패시터를 충전할 수 있지만 방전하지 않을 수 있다는) 점에서, 기본적으로 소싱(sourcing) 공급부이지만 싱킹(sinking) 공급부는 아니다. 부가적으로, 스위치(S1)가 개방(오프) 포지션으로 유지될 때, PV 파형 생성기(150)의 출력에 걸친 전압(V0)은 PV 생성기(314)에 의해 제어되지 않고, 대신 다른 회로 엘리먼트들과 자신의 내부 컴포넌트들의 상호작용에 의해 결정된다.
[0056] 전류 리턴 출력 스테이지(314A)는 접지에 연결된 하나의 단부, 및 PV 파형 생성기(150)의 출력에서 연결 포인트(즉, 생성기 출력 결합 어셈블리(도시되지 않음)의 일 측)에 연결된 다른 단부를 갖는다. 전류 리턴 출력 스테이지(314A)는 다음의 엘리먼트들: 저항기, 직렬로 연결된 저항기 및 인덕터, 스위치(S2), 및/또는 병렬 커패시터들을 포함하는 전기 엘리먼트들의 더 복잡한 조합을 포함할 수 있으며, 이는 접지를 향한 포지티브 전류 흐름을 허용한다.
[0057] PV 송신 라인(157)(도 1a 및 도 1b)의 일부를 형성하는 송신 라인(131)은 PV 파형 생성기(150)의 출력(350)을 제2 필터 어셈블리(151)에 전기적으로 연결한다. 아래의 논의는 PV 파형 생성기(150)를 바이어싱 전극(104)에 결합하는 데 사용되는 제1 PV 소스 어셈블리(196)의 PV 송신 라인(157)을 주로 논의하지만, PV 파형 생성기(150)를 에지 제어 전극(115)에 결합하는 제2 PV 소스 어셈블리(197)의 PV 송신 라인(158)은 동일한 또는 유사한 컴포넌트들을 포함할 것이다. 따라서, 일반적으로, PV 파형 생성기(150)의 출력(350)은 말단이며, 여기서 PV 펄스 생성기(314)의 출력은 내부 전기 전도체를 통해 출력(350) 및 전류 리턴 출력 스테이지(314A)에 연결된다. 송신 라인(131)은, PV 파형 생성기(150)의 출력(350)에 포지셔닝된 생성기 출력 결합 어셈블리(181)(도 1c)를 제2 필터 어셈블리(151)에 연결한다. PV 송신 라인(157, 158)의 다양한 부분들 내의 전기 전도체(들)는 (a) 강성 동축 송신 라인과 직렬로 연결된 가요성 동축 케이블을 포함할 수 있는 동축 송신 라인(예를 들어, 동축 라인(106)), (b) 절연된 고전압 코로나-내성 훅업 와이어(insulated high-voltage corona-resistant hookup wire), (c) 베어 와이어(bare wire), (d) 금속 로드, (e) 전기 커넥터, 또는 (f) (a) - (e)의 전기 엘리먼트들의 임의의 조합을 포함할 수 있다. 바이어싱 전극(104) 및 지지 샤프트(138) 내의 PV 송신 라인(157)의 일부분과 같은 PV 송신 라인(157)의 외부 전도체 부분(예를 들어, 제1 전기 전도체)은 접지에 대한 일부 결합된 스트레이 커패시턴스(Cstray)(도 3a 및 도 3b)를 가질 것이다. 도면들에 도시되지 않았지만, 에지 제어 전극(115) 및 PV 송신 라인(158)의 외부 전도체 부분(예를 들어, 제2 전기 전도체)은 또한 접지에 대한 일부 결합된 스트레이 커패시턴스(Cstray)를 가질 것이다. PV 파형 생성기(150)의 내부 전기 전도체는 외부 전기 전도체와 동일한 기본 엘리먼트들을 포함할 수 있다. 대부분의 실제 애플리케이션들에서, 송신 라인(131)은, PV 파형 생성기(150)의 내부 컴포넌트들에 의해 생성되는 부분(즉, 생성기 출력 결합 어셈블리(181)(도 3a 및 도 3b)의 좌측) 및/또는 PV 파형 생성기(150)를 제2 필터 어셈블리(151)에 연결하는 외부 라인/케이블들에 의해 생성되는 부분(즉, 생성기 출력 결합 어셈블리(181)의 우측)을 포함할 수 있는 라인 인덕턴스(159)를 포함할 것이다.
[0058] 도 1a를 다시 참조하면, 프로세싱 챔버(100)는 접지된 챔버 덮개(123)를 포함한다. 일반적으로 종래의 플라즈마 프로세싱 챔버 설계들과 상이한 이러한 구성에서, RF 전력은 대신 기판 지지부를 통해 전달된다. 따라서, RF 생성기(118)를 지지 베이스(107)에 결합함으로써, 기능적으로 캐소드 어셈블리의 일부인 ESC의 전체 바디가 최상부 전극이 접지되는 것을 가능하게 하고, 전류 리턴 영역이 최대화될 수 있게 한다. RF 전력 전달 및 PV 파형 전달을 활용하는 플라즈마 프로세스들의 경우, 플라즈마 프로세싱 챔버 내의 접지된 표면적 및 이에 따른 전류 리턴 영역을 최대화하는 것은, PV 파형 생성기(150)의 출력에 의해 생성된 PV 파형 사이클의 ESC-재충전/시스-붕괴 위상 동안의 플라즈마 전위 점프를 최소화하며, 이는 아래에서 추가로 논의된다. 따라서, 본원에서 제공되는 장치 및 방법들은 챔버 벽들에 대한 전력 손실들을 최소화할 것이고, 플라즈마 프로세싱 효율을 개선한다. 본원에서 설명되는 RF 전력 및 PV 펄스 파형 전달 방법들은 또한, 특정 프로세스 이점들을 제공하는데, 그 이유는 이들이 플라즈마 특성들 및 라디칼 생성에 영향을 미치고 이에 대한 개선된 제어를 가능하게 하기 때문이다. 그러나 위에서 언급된 바와 같이, ESC 세라믹 층을 통해 지지 베이스(107)와 바이어싱 전극(104) 사이에 뿐만 아니라, RF 송신 라인(167)과 PV 송신 라인(157) 사이에 강한 용량 결합이 있으며, 따라서 기판 지지 어셈블리(136)(즉, 캐소드 어셈블리)를 통해 두 타입들의 전력이 모두 전달될 때, 각각의 생성기는 다른 생성기를 통해 전류를 유도하여, 전력이 의도된 (플라즈마) 부하로부터 멀어지게 우회되게 할 뿐만 아니라, 생성기들 둘 모두에 대한 가능한 손상을 야기할 것이다.
[0059] 본원에서 개시되는 다른 실시예들 중 하나 이상에 사용될 수 있는 다른 대안적인 챔버 덮개(123) 구성에서, 도 1b에 도시된 바와 같이, 챔버 덮개(123)(즉, 대향 전극)는 하나 이상의 측벽들(122)로부터 전기적으로 격리되고, 플라즈마 생성기 어셈블리(160)를 통해 RF 생성기(118)에 전기적으로 결합된다. 이러한 구성에서, 챔버 덮개(123)는, 프로세싱 볼륨(129) 내의 프로세싱 플라즈마(101)를 점화 및 유지하기 위해, RF 생성기(118)에 의해 구동될 수 있다. 일 예에서, RF 생성기(118)는 약 300kHz 초과의 RF 주파수, 이를테면 약 300kHz 내지 60MHz, 또는 심지어 약 2MHz 내지 약 40MHz 범위의 주파수의 RF 신호를 챔버 덮개(123)에 제공하도록 구성된다.
바이어싱 서브시스템 어셈블리
[0060] 도 1c는 기판 지지 어셈블리(136)와 같은, 프로세싱 챔버(100) 내의 하나 이상의 전극들에 대해 라디오 주파수 파형(들) 및 펄스 전압 파형(들)을 제어, 생성 및 전달하는 데 사용되는 다양한 전기 컴포넌트들을 인클로징하고 개별적으로 격리시키도록 구성된 바이어싱 서브시스템 어셈블리(170)를 포함하는 프로세싱 챔버(100)의 개략도이다. 적어도 프로세스 챔버(100) 내의 바이어싱 서브시스템 어셈블리(170)의 구성 및 포지셔닝으로 인해, 생성된 RF 및 PV 파형들의 더 반복가능하고 효율적인 전달이 프로세싱 동안 달성될 수 있다. 복수의 유사하게 구성된 프로세스 챔버들 각각 내에서의 바이어싱 서브시스템 어셈블리(170)의 사용은 또한, 많은 프로세싱 챔버들을 포함하는 기판 프로세싱 설비 및 많은 프로세싱 챔버들을 포함하는 전세계의 다른 기판 프로세싱 설비들에서 발견되는 프로세스 결과 변동성을 감소시키는 것을 도울 수 있다고 여겨진다.
[0061] 바이어싱 서브시스템 어셈블리(170)는 일반적으로 펄스 전압 생성 유닛 인클로저(172) 및 정션 박스 인클로저(169)를 포함할 것이다. 바이어싱 서브시스템 어셈블리(170)는 일반적으로, 수동 컴포넌트들을 포함하는 전기 회로들뿐만 아니라 능동 전력 및 전압 소스들을 포함할 것이다. 능동 소스들은 하나 이상의 펄스 전압 파형 생성기들, 하나 이상의 RF 생성기들 및/또는 하나 이상의 DC 전력 소스들을 포함할 수 있다. 전기 회로들 내의 수동 컴포넌트들은 저항기들, 커패시터들, 인덕터들 및 다이오드들을 포함할 수 있다. 사용 시 바이어싱 서브시스템 어셈블리(170)는 상이한 종류들의 전력 소스들의 출력이 동일한 부하(예를 들어, 복합 부하(130))에 인가될 수 있도록 이들을 결합하는 데 사용될 수 있다. 부하는, 프로세싱 챔버(100)에서 형성된 플라즈마(101), 캐소드 시스, 캐소드 및 이의 전력 전달 시스템(예를 들어, 송신 라인(들))뿐만 아니라 스트레이 유도성 및 용량성 엘리먼트들을 포함할 수 있다.
[0062] 일부 실시예들에서, 정션 박스 인클로저(169)는 하나 이상의 바이어스 보상 모듈 구획들(171) 및 라디오 주파수(RF) 필터 구획(173)을 포함한다. 일부 실시예들에서, 바이어싱 서브시스템 어셈블리(170)는 또한 RF 전달 인클로저(174)를 포함한다. 구획들(171, 172 및 173) 및 RF 전달 인클로저(174) 각각은 하나 이상의 벽들(171A, 172A, 173A 및 174A)을 각각 포함하며, 하나 이상의 벽들(171A, 172A, 173A 및 174A)은 각각, 프로세스 챔버(100) 외부의 환경 및 인접하게 포지셔닝된 인클로저들에서 발견되는 전기 컴포넌트들로부터 이들의 내부 전기 컴포넌트들을 적어도 부분적으로 인클로징하고, 분리하고 격리시키도록 구성된다. 통상적으로, 인접한 구획들을 서로 격리시키기 위해 단일 벽만이 사용된다. 도 1c 및 도 1d는 일부 구역들에서 바로 인접한 관계로 포지셔닝된 2개의 벽들을 개략적으로 예시하지만, 이는 본원에서 제공되는 본 개시내용의 범위에 대해 제한하는 것으로 의도되지 않는데, 그 이유는 단일 벽이 2개의 별개의 접한 벽들 대신에 사용될 수 있기 때문이다. 바이어싱 서브시스템 어셈블리(170)는, 컴포넌트들을 강성으로 장착하고, 컴포넌트들 사이의 거리를 반복 가능하게 정의하며, 프로세스 챔버(100) 내의 다른 컴포넌트들과 바이어싱 서브시스템 어셈블리(170) 사이에 제공된 임의의 연결부들(예를 들어, 기판 지지 어셈블리(136)에 대한 연결부들)에 대한 변형(strain)을 회피하기 위해, 프로세스 챔버(100)의 벽들 중 하나 이상, 이를테면 베이스(124) 상에 포지셔닝되거나 또는 이에 결합된다. 일부 실시예들에서, 바이어싱 서브시스템 어셈블리(170)의 표면(예를 들어, 벽들(173A)의 노출된 표면)은 프로세스 챔버(100)의 벽들 중 하나 이상(예를 들어, 베이스(124))에 인접하게 포지셔닝된다. 일부 실시예들에서, 바이어싱 서브시스템 어셈블리(170)의 노출된 표면(예를 들어, 벽(173A)의 표면)은 베이스(124)로부터 24 인치 미만, 이를테면 12 인치 미만, 또는 심지어 6 인치 미만의 거리(124A)(도 1c)에 포지셔닝된다. 일 예에서, 벽들(173A)의 노출된 표면은 베이스(124)의 하부 표면에 직접 결합된다. 라우팅을 최적화하고, 그리고 바이어싱 서브시스템 어셈블리(170)의 RF 전달 인클로저(174) 및/또는 구획들(171, 172, 및 173) 내의 전기 컴포넌트들을 상호연결하는 전류 운반 엘리먼트들, 이를테면 라디오 주파수 필터 구획(173) 내의 컴포넌트들과 바이어스 보상 구획 컴포넌트들 사이의 연결부들의 연결부 길이들을 최소화함으로써, 시스템의 이러한 영역들 각각에 형성된 스트레이 인덕턴스 및 스트레이 커패시턴스가 최소화될 수 있는 것으로 여겨진다. 실제로, 바이어싱 서브시스템 어셈블리(170)는, 생성 및 설정된 파형들의 진동들을 상당히 감소시키고 따라서 프로세스 챔버(100) 내의 전극들, 이를테면 기판 지지 어셈블리(136) 내의 전극들에 제공되는 고전압 신호들의 무결성 및 반복성을 개선하는 데 사용될 수 있다.
[0063] 펄스 전압 생성 유닛 인클로저(172)는, 적어도 벽(들)(172A)에 의해, 바이어스 보상 모듈 구획(171), 라디오 주파수 필터 구획(173) 및 RF 전달 인클로저(174)에서 발견되는 전기 컴포넌트들로부터 격리되는 적어도 하나의 PV 파형 생성기(150)를 포함한다. 벽(들)(172A)은, 하나 이상의 PV 파형 생성기들(150)을 지지하고 프로세스 챔버(100) 외부에 있고 그리고/또는 RF 전달 인클로저(174) 내의 컴포넌트들에 의해 생성되는 임의의 전자기 간섭으로부터 하나 이상의 PV 파형 생성기들(150)을 격리시키도록 구성되는 접지된 시트 금속 박스(예를 들어, 알루미늄 또는 SST 박스)를 포함할 수 있다. 펄스 전압 생성 유닛 인클로저(172)와 바이어스 보상 모듈 구획(171) 사이의 계면에서, PV 파형 생성기(150)의 출력(350)을 송신 라인(131)의 제1 부분 및 바이어스 보상 모듈 구획(171) 내에 배치된 전기 컴포넌트들(예를 들어, 차단 커패시터(153))에 연결하는 데 생성기 출력 결합 어셈블리(181)가 사용된다. 본원에서 사용되는 바와 같은 "결합 어셈블리"라는 용어는 일반적으로, 하나 이상의 전기 컴포넌트들, 이를테면, 하나 이상의 전기 커넥터들, 이산 전기 엘리먼트들(예를 들어, 커패시터, 인덕터 및 저항기), 및/또는 2개 이상의 전기 컴포넌트들을 함께 전기적으로 결합하는 전류 운반 엘리먼트들을 연결하도록 구성된 전도성 엘리먼트들을 설명한다.
[0064] 하나 이상의 바이어스 보상 모듈 구획들(171)은, 적어도 벽(들)(171A)에 의해, 펄스 전압 생성 유닛 인클로저(172), 라디오 주파수 필터 구획(173) 및 RF 전달 인클로저(174)에서 발견되는 전기 컴포넌트들로부터 격리되는 바이어스 보상 회로 엘리먼트들(116A)(도 3a 및 도 3b) 및 차단 커패시터(153)를 포함한다. 일 실시예에서, 바이어스 보상 회로 엘리먼트들(116A)은, 벽(171A)에 형성된 바이어스 보상 모듈 구획 DC 소스 결합 어셈블리(185)의 사용에 의해, 외부에 위치된 DC 전력 공급부(155)에 결합된다. 대안적으로, 일 실시예(도시되지 않음)에서, 바이어스 보상 회로 엘리먼트들(116A) 및 DC 전력 공급부(155) 둘 모두는 바이어스 보상 모듈 구획(171) 내에 배치되고 그리고 벽(171A)에 의해 인클로징된다. 벽(들)(171A)은, 프로세스 챔버(100) 외부에 있고 그리고/또는 RF 전달 인클로저(174) 및 펄스 전압 생성 유닛 인클로저(172) 내의 컴포넌트들에 의해 생성되는 임의의 전자기 간섭으로부터 바이어스 보상 모듈 구획(171) 내의 컴포넌트들을 격리시키도록 구성되는 접지된 시트 금속 박스를 포함할 수 있다. 바이어스 보상 모듈 구획(171)과 라디오 주파수 필터 구획(173) 사이의 계면에서, 바이어스 보상 회로 엘리먼트들(116A), DC 전력 공급부(155) 및 차단 커패시터(153)를 라디오 주파수 필터 구획(173) 내에 배치된 전기 컴포넌트들(예를 들어, 제2 필터 어셈블리(151)) 및 송신 라인(131)의 제2 부분에 연결하는 데 바이어스 보상 모듈 구획 출력 결합 어셈블리(182)가 사용된다.
[0065] 라디오 주파수 필터 구획(173)은, 적어도 벽(들)(173A)에 의해, 펄스 전압 생성 유닛 인클로저(172), 하나 이상의 바이어스 보상 모듈 인클로저들(171) 및 RF 전달 인클로저(174)에서 발견되는 전기 컴포넌트들로부터 격리된 챔버 상호연결 컴포넌트들 및 하나 이상의 제2 필터 어셈블리들(151)을 포함한다. 벽(들)(173A)은, 프로세스 챔버(100) 외부에 있고 그리고/또는 RF 전달 인클로저(174) 및 펄스 전압 생성 유닛 인클로저(172) 내의 컴포넌트들에 의해 생성되는 임의의 전자기 간섭으로부터 라디오 주파수 필터 구획(173) 내의 컴포넌트들을 격리시키도록 구성되는 접지된 시트 금속 박스를 포함할 수 있다. 프로세스 챔버(100)의 베이스(124)와 라디오 주파수 필터 구획(173) 사이의 계면에서, 프로세스 챔버(100) 내의 전극들 중 하나, 이를테면 기판 지지 어셈블리(136) 내의 전극들 중 하나에 바이어싱 서브시스템 어셈블리(170)를 전기적으로 연결하는 PV 송신 라인들(157, 158)의 일부분에 라디오 주파수 필터 구획(173)의 출력 연결부(들)를 연결하는 데 캐소드 결합 어셈블리(183)가 사용된다.
[0066] RF 전달 인클로저(174)는 RF 매칭 회로(161), 제1 필터 어셈블리(162), 선택적으로 RF 생성기(118), 및 적어도 벽(들)(174A)에 의해 하나 이상의 바이어스 보상 모듈 인클로저들(171) 및 펄스 전압 생성 유닛 인클로저(172)에서 발견되는 전기 컴포넌트들로부터 격리되는 다른 챔버 상호연결 컴포넌트들을 포함한다. 벽(들)(174A)은, 프로세스 챔버(100) 외부에 있고 그리고/또는 펄스 전압 생성 유닛 인클로저(172) 내의 컴포넌트들에 의해 생성되는 임의의 전자기 간섭으로부터 RF 전달 인클로저(174) 내의 컴포넌트들을 격리시키도록 구성되는 접지된 시트 금속 박스를 포함할 수 있다. 프로세스 챔버(100)의 베이스(124)와 RF 전달 인클로저(174) 사이의 계면에서, 프로세스 챔버(100) 내의 전극들 중 하나, 이를테면 기판 지지 어셈블리(136) 내의 전극들 중 하나에 바이어싱 서브시스템 어셈블리(170)의 RF 전달 인클로저(174)를 전기적으로 연결하는 RF 송신 라인(167)의 일부분에 RF 전달 인클로저(174)의 출력 연결부(들)를 연결하는 데 캐소드 결합 어셈블리(184)가 사용된다. 지지 베이스(107) 및 지지 샤프트(138) 내의 RF 송신 라인(167)의 일부분과 같은 RF 송신 라인(167)의 외부 전도체 부분(예를 들어, 제3 전기 전도체)은 접지에 대한 일부 결합된 스트레이 커패시턴스(Cstray)를 가질 것이다.
[0067] 도 1d는 도 1c에 예시된 바이어싱 서브시스템 어셈블리(170)의 대안적인 버전을 포함하는 프로세싱 챔버(100)의 개략도이다. 도 1d에 도시된 바와 같이, 제1 필터 어셈블리(162)는 RF 전달 인클로저(174)로부터 제거되고 라디오 주파수 필터 구획(173) 내에 리포지셔닝되었다. 이 구성에서, RF 생성기(118)는 RF 매칭 회로(161), 캐소드 결합 어셈블리(184), 제1 RF 결합 어셈블리(186), 제1 필터 어셈블리(162), 제2 RF 결합 어셈블리(187), RF 송신 라인(167)을 통해, 그리고 그 다음 기판 지지 어셈블리(136)를 갖는 전극으로 RF 파형을 전달하도록 구성된다. 이러한 구성에서, 라디오 주파수 필터 구획(173)은 하나 이상의 제2 필터 어셈블리들(151), 제1 필터 어셈블리(162) 및 다른 챔버 상호연결 컴포넌트들을 포함한다.
플라즈마 프로세싱 바이어싱 방식들 및 프로세스들
[0068] 도 2는 도 1a 또는 도 1b에 예시된 프로세스 챔버에 사용될 수 있는 바이어싱 방식의 단순화된 개략도이다. 도 2에 도시된 바와 같이, RF 생성기(118) 및 PV 파형 생성기들(150)은 RF 파형 및 펄스 전압 파형들을 프로세싱 챔버(100)의 챔버 바디(113) 내에 배치된 하나 이상의 전극들에 각각 전달하도록 구성된다. 일 실시예에서, RF 생성기(118) 및 PV 파형 생성기들(150)은 RF 파형 및 펄스 전압 파형(들)을 기판 지지 어셈블리(136) 내에 배치된 하나 이상의 전극들에 동시에 전달하도록 구성된다. 하나의 비-제한적인 예에서, 위에서 논의된 바와 같이, RF 생성기(118) 및 PV 파형 생성기(150)는 RF 파형 및 펄스 전압 파형을 지지 베이스(107) 및 바이어싱 전극(104)에 각각 전달하도록 구성되며, 이들 둘 모두는 기판 지지 어셈블리(136)에 배치된다. 다른 예에서, RF 생성기(118), 제1 PV 파형 생성기(150) 및 제2 PV 파형 생성기(150)는 RF 파형, 제1 펄스 전압 파형 및 제2 펄스 전압 파형을 지지 베이스(107), 바이어싱 전극(104) 및 에지 제어 전극(115)에 각각 전달하도록 구성되며, 이들 모두는 기판 지지 어셈블리(136)에 배치된다.
[0069] 도 2에 예시된 바와 같이, RF 생성기(118)는, RF 매칭 회로(161) 및 제1 필터 어셈블리(162)를 포함하는 플라즈마 생성기 어셈블리(160)를 통해, 정현파 RF 파형(601)(도 6a 내지 도 6g)을 포함하는 RF 신호를 전달함으로써, 챔버 바디(113)에 배치된 하나 이상의 전극들에 정현파 RF 파형을 제공하도록 구성된다. 부가적으로, PV 파형 생성기들(150) 각각은, 제2 필터 어셈블리(151)를 통해 바이어싱 전극(104)에서의 PV 파형(401(도 4a, 도 5a), 441(도 5b), 또는 431(도 5c))을 설정함으로써, 챔버 바디(113)에 배치된 하나 이상의 전극들에, 통상적으로 일련의 전압 펄스들(예를 들어, 나노초 전압 펄스들)을 포함하는 PV 파형을 제공하도록 구성된다. 척킹 모듈(116) 내의 컴포넌트들은 선택적으로, 각각의 PV 파형 생성기(150)와 제2 필터 어셈블리(151) 사이에 포지셔닝될 수 있다.
[0070] 위에서 간략히 논의된 바와 같이, 도 3a 및 도 3b는 각각, 본원에서 제안된 펄스 전압 및 RF 바이어싱 방식의 기능적으로 등가인 단순화된 전기 회로(140)의 예들이고, 이는 또한 프로세스 볼륨 내의 플라즈마의 표현을 포함한다. 도 3a는 바이어싱 전극(104)에서의 PV 파형, 이를테면 PV 파형(431)(도 5c)을 설정하는 프로세스의 일부분 동안 포지티브 전압을 제공하도록 구성된, 제1 PV 소스 어셈블리(196) 내의 PV 파형 생성기(150)를 활용하는 펄스 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)를 묘사한다. 도 3b는 바이어싱 전극(104)에서의 PV 파형, 이를테면 PV 파형(401)(도 4a 및 도 5a)을 설정하는 프로세스의 일부분 동안 네거티브 전압을 제공하도록 구성된, 제1 PV 소스 어셈블리(196) 내의 PV 파형 생성기(150)를 활용하는 펄스 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)를 묘사한다. 이러한 회로들은 제1 PV 소스 어셈블리(196)의 펄스 전압 파형 생성기(150)와 프로세싱 챔버(100) 내의 RF 생성기(118)의 상호작용의 단순화된 모델을 예시하고, 프로세스 챔버(100)의 동작 동안 사용되는 기본 엘리먼트들을 일반적으로 예시한다. 명료성 목적들로, 다음의 정의들이 본 개시내용 전반에 걸쳐 사용된다: (1) 기준이 특정되지 않는 한, 모든 전위들은 접지를 기준으로 하며; (2) (기판 또는 바이어싱 전극과 같은) 임의의 물리적 지점에서의 전압은 마찬가지로, 접지(제로 전위 지점)에 대한 이 지점의 전위로서 정의되며; (3) 캐소드 시스는 플라즈마에 대한 네거티브 기판 전위에 대응하는 전자 반발 이온 가속 시스인 것으로 암시되며; (4) 시스 전압(때때로 "시스 전압 강하"로 또한 지칭됨)(Vsh)은 플라즈마와 (예를 들어, 기판 또는 챔버 벽의) 인접한 표면 사이의 전위차의 절대 값으로서 정의되고; 그리고 (5) 기판 전위는 플라즈마에 대면하는 기판 표면에서의 전위이다.
[0071] 도 3a 및 도 3b에 예시된 복합 부하(130)는 3개의 직렬 엘리먼트들로서 프로세싱 플라즈마(101)를 표현하는 표준 전기 플라즈마 모델로서 도시된다. 제1 엘리먼트는 기판(103)에 인접한 전자 반발 캐소드 시스(electron-repelling cathode sheath)(우리는 때때로 "플라즈마 시스" 또는 단지 "시스"로 또한 지칭함)이다. 캐소드 시스는 도 3a 및 도 3b에서 통상적인 3-부분 회로 엘리먼트에 의해 표현되며, 3-부분 회로 엘리먼트는 (a) 개방될 때 시스 붕괴를 표현하는 다이오드(DSH); (b) 시스의 존재 시 기판으로 흐르는 이온 전류를 표현하는 전류 소스(Ii), 및 (c) 이온 가속 및 에칭이 발생하는, 바이어싱 사이클의 메인 부분(즉, PV 파형의 이온 전류 위상)에 대한 시스를 표현하는 커패시터(CSH)(예를 들어, ~100-300pF)를 포함한다. 제2 엘리먼트는 단일 저항기(Rplasma)(예를 들어, 저항기(146) = ~ 5 - 10옴)로 표현되는 벌크 플라즈마이다. 제3 엘리먼트는 챔버 벽들에 형성되는 전자 반발 벽 시스이다. 벽 시스는 마찬가지로, 3-부분 회로 엘리먼트에 의해 도 3에서 표현되며, 3-부분 회로 엘리먼트는 (a) 다이오드(Dwall), (b) 벽으로의 이온 전류를 표현하는 전류 소스(Iiwall), 및 (c) (본문에서 나중에 설명되는) PV 파형의 ESC 재충전 위상 동안의 벽 시스를 주로 표현하는 커패시터(Cwall)(예를 들어, ~5 ~ 10nF)를 포함한다. 접지된 금속 벽들의 내부 표면은 또한, 도 3에서 대형 커패시터(Ccoat)(예를 들어, ~300-1000nF)로 표현되는 유전체 재료의 얇은 층으로 코팅되는 것으로 간주될 수 있다.
[0072] 도 3a 및 도 3b에 예시된 바와 같이, RF 생성기(118)는, 생성된 RF 전력을 제1 필터 어셈블리(162), RF 매칭 회로(161), 라인 인덕턴스(LLine), 지지 베이스 커패시턴스(CCL) 및 유효 커패시턴스(CE)를 통해 전달함으로써, RF 신호를 지지 베이스(107) 및 궁극적으로는 복합 부하(130)에 제공하도록 구성된다. 일 실시예에서, RF 매칭 회로(161)는, 제어기(126)로부터의 입력에 의해 제어될 수 있는 조정가능 션트 커패시턴스 엘리먼트(CShunt) 및 조정가능 직렬 커패시턴스 엘리먼트(CSER) 및 직렬 인덕턴스 엘리먼트(LSER)를 포함한다. 일부 실시예들에서, 대안적으로, RF 매칭 회로(161)는 예를 들어 L 네트워크, 파이 네트워크 또는 트랜스매치 회로들과 같은 다른 회로 엘리먼트 구성들의 사용에 의해 형성될 수 있다. 위에서 언급된 바와 같이, RF 매칭 회로(161)는 일반적으로, RF 생성기(118)로부터의 RF 신호의 전달에 의해 생성되는 반사 전력을 최소화하고 반사 전력의 전력 전달 효율을 최대화하기 위해 겉보기 부하를 50 Ω으로 튜닝하도록 구성된다. 일부 실시예들에서, RF 매칭 회로(161)는 선택적이며, 이러한 경우들에서, 복합 부하(130)에 RF 전력을 비효율적으로 전달하는 것을 방지하기 위해 기판의 플라즈마 프로세싱 동안 다른 RF 신호 매칭 기법들(예를 들어, 가변 주파수 튜닝)이 사용될 수 있다.
[0073] 본원에서 펄스 전압 필터 어셈블리로 또한 지칭되는 제1 필터 어셈블리(162)는, PV 파형 생성기(150)의 출력에 의해 생성된 전류가 RF 송신 라인(167)을 통해 흘러서 RF 생성기(118)를 손상시키는 것을 실질적으로 방지하도록 구성된 하나 이상의 전기 엘리먼트들을 포함한다. 제1 필터 어셈블리(162)는 PV 파형 생성기(150) 내의 PV 펄스 생성기(314)로부터 생성된 PV 신호에 대해 하이 임피던스(예를 들어, 하이 Z)로서 작용하고, 따라서 RF 생성기(118)로의 전류의 흐름을 억제한다. 일 실시예에서, 제1 필터 어셈블리(162)는 RF 매칭 회로(161)와 RF 생성기(118) 사이에 배치되는 차단 커패시터(CBC)를 포함한다. 이 구성에서, RF 매칭 엘리먼트(161)는 차단 커패시터(CBC)가 RF 생성기(118)에 겉보기인 부하를 튜닝할 때 차단 커패시터(CBC)의 커패시턴스를 보상하도록 구성된다. 일 예에서, PV 파형 생성기(150)로부터 제공되는 나노초 PV 파형(예를 들어, 펄스 기간 10-100 ns)이 RF 생성기(118)를 손상시키는 것을 방지하기 위해, 제1 필터 어셈블리(162)는 35-100pF 커패시터를 포함한다. 다른 예에서, 제1 필터 어셈블리(162)는 50pF 미만의 커패시턴스를 갖는 차단 커패시터(CBC)를 포함한다.
[0074] 일부 실시예들에서, 상이한 RF 주파수들의 RF 전력을 지지 베이스(107) 또는 기판 지지 어셈블리(136) 내의 다른 전극들에 개별적으로 제공하도록 각각 구성된 RF 생성기들(118) 및 RF 플라즈마 생성기 어셈블리들(160)의 2개 이상의 세트들을 활용하는 것이 바람직할 수 있다. 일 예에서, 제1 RF 생성기(118A)(도시되지 않음) 및 제1 RF 플라즈마 생성기 어셈블리(160A)(도시되지 않음)는 약 300kHz 내지 13.56MHz의 RF 주파수의 RF 신호를 지지 베이스(107)에 제공하도록 구성되고, 제2 RF 생성기(118B)(도시되지 않음) 및 제2 RF 플라즈마 생성기 어셈블리(160B)(도시되지 않음)는 약 40MHz 이상의 RF 주파수의 RF 신호를 지지 베이스(107)에 제공하도록 구성된다. 이 예에서, RF 생성기 어셈블리들(160A, 160B) 각각은, PV 파형 생성기(150)의 출력에 의해 생성되는 전류가 개개의 송신 라인들을 통해 흘러서 개개의 RF 생성기들 각각을 손상시키는 것을 방지하도록 적응되는 유사하게 구성된 제1 필터 어셈블리(162)(예를 들어, 커패시턴스(CBC)를 갖는 차단 커패시터를 포함함)를 포함할 것이다. 이외에도, RF 생성기 어셈블리들(160A, 160B) 각각은 또한, 제2 필터 어셈블리(151)와 같은 별개의 RF 필터 어셈블리를 포함할 수 있으며, 제2 필터 어셈블리(151)는 자신들의 개개의 RF 생성기 어셈블리와 직렬로 연결되고, 그리고 다른 RF 생성기들의 출력에 의해 생성되는 RF 전류들이 송신 라인을 통해 흘러서 이들의 개개의 RF 생성기를 손상시키는 것을 부가적으로 방지하기 위해, 다른 RF 생성기 어셈블리들로부터 전달되는 다른 RF 주파수들을 차단하도록 구성된다. 이 구성에서, 별개의 RF 필터 어셈블리는 생성된 RF 파형이 다른 RF 생성기(들)에 의해 생성되는 RF 파형(들)을 통과 및 차단하도록 할 수 있는 저역 통과 필터, 노치 필터 또는 고역 통과 필터를 포함할 수 있다.
[0075] 일부 실시예들에서, PV 파형을 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 개별적으로 제공하도록 각각 구성된 PV 생성기들의 2개 이상의 세트들을 활용하는 것이 또한 바람직할 수 있다. 이 예에서, PV 파형 생성기들(150)(도 3a 또는 도 3b에서는 하나만이 도시됨) 각각은, 다른 PV 생성기(들)의 출력에 의해 생성된 전류가 개개의 PV 송신 라인들(157)을 통해 흘러서 개개의 PV 생성기들 각각을 손상시키는 것을 방지하도록 적응되는 PV 필터 어셈블리(예를 들어, 커패시턴스(CBC)를 갖는 차단 커패시터를 포함함)를 포함할 것이다. 이외에도, PV 파형 생성기들(150) 각각은 또한, 각각의 개개의 PV 파형 생성기들과 직렬로 연결되고 다른 PV 파형 생성기들로부터 전달되는 RF 주파수들을 차단하도록 구성된 RF 필터 어셈블리, 이를테면 제2 필터 어셈블리(151)를 포함할 것이다.
[0076] 일부 실시예들에서, 도 1a 내지 도 3b에 도시된 바와 같이, PV 파형 생성기들(150) 각각은, 생성된 펄스 전압 파형들을 척킹 모듈(116)의 차단 커패시터(153) 및 제2 필터 어셈블리(151), 고전압 라인 인덕턴스(LHV), 및 유효 커패시턴스(CE)를 통해 전달함으로써, 펄스 전압 파형 신호를 바이어싱 전극(104) 및 궁극적으로는 복합 부하(130)에 제공하도록 구성된다. 이 경우, 시스템은 선택적으로, ESC 기판 지지부의 기판 수용 표면에 기판을 척킹, 이를테면 "전기적으로 클램핑"하기 위해 사용되는 척킹 모듈(116)을 포함한다. 기판을 척킹하는 것은, 기판 수용 표면과 기판의 비-디바이스 측 표면 사이의 갭을 헬륨 가스(He)로 충전할 수 있도록 하며, 이는 기판 수용 표면과 기판의 비-디바이스 측 표면 사이의 우수한 열 접촉을 제공하고 그리고 ESC 기판 지지부의 온도를 조절함으로써 기판 온도 제어를 허용하기 위해 행해진다. 바이어싱 전극(104)에서 척킹 모듈(116)에 의해 생성된 DC 척킹 전압을 PV 파형 생성기(150)에 의해 생성된 펄스 전압과 결합하는 것은 척킹 모듈에 의해 생성된 DC 척킹 전압과 동일한 펄스 전압 파형의 부가적인 전압 오프셋을 초래할 것이다. 부가적인 전압 오프셋은 도 4a, 및 도 5a 및 도 5b에 예시된 오프셋(ΔV)으로부터 가산 또는 감산될 수 있다. PV 파형 생성기(150)의 PV 펄스 생성기(314)의 동작에 대한 척킹 모듈(116)의 영향은, 적절하게 큰 차단 커패시터(153) 및 차단 저항기(154)를 선택함으로써 무시 가능하게 될 수 있다. 차단 저항기(154)는 척킹 모듈(116)을 송신 라인(131) 내의 지점에 연결하는 컴포넌트들 내에 포지셔닝된 저항기를 개략적으로 예시한다. 단순화된 전기 회로에서 차단 커패시터(153)의 메인 기능은, 차단 커패시터(153)에 걸쳐 강하하고 PV 파형 생성기의 출력을 교란시키지 않는, DC 전력 공급부(155)에 의해 생성된 DC 전압으로부터 PV 펄스 생성기(314)를 보호하는 것이다. 차단 커패시터(153)의 값은, DC 전압만을 차단하면서 펄스 바이어스 생성기의 펄스 전압 출력에 어떠한 부하도 제공하지 않도록 선택된다. 충분히 큰 차단 커패시터(153) 커패시턴스(예를 들어, 10 - 80nF)를 선택함으로써, 차단 커패시터(153)는, 예를 들어 PV 파형 생성기(150)에 의해 생성되는 400kHz PV 파형 신호에 대해, 이것이 시스템에서의 임의의 다른 관련 커패시턴스보다 훨씬 더 크고 그리고 척 커패시턴스(CE) 및 시스 커패시턴스(CSH)와 같은 다른 관련 커패시터들에 걸친 전압 강하와 비교하여 이 엘리먼트에 걸친 전압 강하가 매우 작다는 점에서, 거의 투명하다. 부가적으로, 일부 실시예들에서, 차단 커패시터(153)는 제1 필터 어셈블리(162)에서 발견되는 차단 커패시터(CBC)의 커패시턴스보다 상당히 더 큰 커패시턴스를 갖는다. 일부 실시예들에서, 차단 커패시터(153)는 제1 필터 어셈블리(162)에서 발견되는 차단 커패시터(CBC)보다 적어도 10배, 또는 적어도 10의 2승배, 또는 약 10의 3승배 더 큰 커패시턴스를 갖는다. 일 예에서, 차단 커패시터(CBC)의 커패시턴스는 약 38pF이고, 차단 커패시터(153)의 커패시턴스는 약 40nF이다.
[0077] 도 3a 및 도 3b를 참조하면, 척킹 모듈(116) 내의 차단 저항기(154)의 목적은, 고주파 펄스 바이어스 생성기의 전압을 차단하고 고주파 펄스 바이어스 생성기가 DC 전압 공급부(155)에서 유도하는 전류를 최소화하는 것이다. 이 차단 저항기(154)는 자신을 통과하는 전류를 효율적으로 최소화하기에 충분히 크게 크기결정된다. 예를 들어, PV 파형 생성기(150)로부터 척킹 모듈(116)로의 400kHz 전류를 무시 가능하게 만들기 위해 1MOhm 이상의 저항이 사용된다. 일 예에서, 차단 저항기는 약 500kOhm 초과의 저항을 갖는다. 대략 0.5-1mA의 결과적인 평균 유도 전류는 실제로, 약 5mA DC 전류인, 척킹 모듈 전력 공급부들에 대한 통상적인 제한보다 훨씬 더 작다. 커패시턴스(155B), 다이오드(155C), 저항기(155A) 및 차단 저항기(154)를 포함하는 바이어스 보상 회로 엘리먼트들(116A)의 컴포넌트들은 함께, 펄스 전압에 대한 전류 억제/필터링 회로를 형성하고, 따라서 펄스 전압이 척킹 모듈(116)을 통해 전류를 유도하지 않는다. 차단 저항기(154)는 DC 전력 공급부(155)와 출력(350) 및/또는 생성기 출력 결합 어셈블리(181)(도 1c) 사이에 배치된다. 일부 실시예들에서, 다이오드(155C)는 차단 저항기(154)와 병렬로 연결되며, 다이오드(155C)는, 다이오드(155C)의 애노드 측이 PV 송신 라인(157)에 연결되도록 배향된다.
[0078] 제2 필터 어셈블리(151)는, RF 생성기(118)의 출력에 의해 생성된 전류가 PV 송신 라인(157)을 통해 흘러서 PV 파형 생성기(150)의 PV 펄스 생성기(314)를 손상시키는 것을 방지하도록 구성된 하나 이상의 전기 엘리먼트들을 포함한다. 위에서 논의된 바와 같이, PV 송신 라인(157)은 동축 송신 라인(106) 및 송신 라인(131)을 포함하는 어셈블리이다. 일 실시예에서, 제2 필터 어셈블리(151)는, 병렬로 연결되고 PV 펄스 생성기(314)와 바이어싱 전극(104) 사이의 송신 라인(157)에 배치되는, 커패시턴스(CFC)를 갖는 필터 커패시터(151A)와 인덕턴스(LFL)를 갖는 필터 인덕터(151B)를 포함한다. 일부 구성들에서, 제2 필터 어셈블리(151)는 척킹 모듈(116)의 차단 커패시터(153)와 바이어싱 전극(104) 사이에 배치된다. 제2 필터 어셈블리(151)는 RF 파형 생성기(118)로부터 생성된 RF 신호에 대해 하이 임피던스(예를 들어, 하이 Z)로서 작용하고, 따라서 PV 펄스 생성기(314)로의 전류의 흐름을 억제한다. 일부 실시예들에서, 필터 커패시터(151A)의 커패시턴스(CFC)는 차단 커패시터(153)의 커패시턴스보다 상당히 더 작은데, 이를테면, 차단 커패시터(153)의 커패시턴스보다 적어도 10배, 또는 적어도 10의 2승배, 또는 10의 3승배 더 작다. 일 예에서, 필터 커패시터(151A)의 커패시턴스(CFC)는 약 51pF이고, 차단 커패시터(153)의 커패시턴스는 약 40nF이다.
[0079] 위에서 논의된 바와 같이, 제2 필터 어셈블리(151)는 RF 신호 및 임의의 연관된 고조파들이 PV 펄스 생성기(314)로 가는 것을 차단하도록 구성된다. 일부 실시예들에서, RF 생성기에 의해 생성된 RF 신호는 400kHz 초과의 RF 주파수, 이를테면 1MHz 이상, 또는 2MHz 이상, 또는 13.56MHz 이상, 또는 40MHz 이상의 RF 주파수를 전달하도록 구성된다. 일부 실시예들에서, RF 생성기(118)로부터 제공되는 RF 전력이 PV 펄스 생성기(314)를 손상시키는 것을 방지하기 위해, 제2 필터 어셈블리(151)는 약 25pF 내지 100pF 범위의 커패시턴스를 갖는 필터 커패시터(151A) 및 약 0.1 내지 1μH 범위의 인덕턴스를 갖는 필터 인덕터(151B)를 포함한다. 일 예에서, 40MHz의 주파수로 RF 생성기(118)로부터 제공된 RF 전력이 PV 펄스 생성기(314)를 손상시키는 것을 방지하기 위해, 제2 필터 어셈블리(151)는 약 51pF의 커패시턴스를 갖는 필터 커패시터(151A) 및 약 311 nH의 인덕턴스를 갖는 필터 인덕터(151B)를 포함한다. 일부 실시예들에서, 제1 필터 어셈블리(162)의 차단 커패시터(CBC)는 제2 필터 어셈블리(151)의 필터 커패시터(151A)의 커패시턴스 값의 10배 이내인 커패시턴스 값을 갖는다.
[0080] 일부 실시예들에서, 도 3a 및 도 3b에 도시된 바와 같이, 제2 필터 어셈블리(151)는, 송신 라인(157)과 접지 사이에 결합되는, 인덕턴스(L2)를 갖는 제2 필터 인덕터(151C) 및 커패시턴스(C2)를 갖는 제2 필터 커패시터(151E)를 더 포함하며, 그리고 또한, 송신 라인(157)과 접지 사이에 또한 결합되는, 인덕턴스(L3)를 갖는 제3 필터 인덕터(151D) 및 커패시턴스(C3)를 갖는 제3 필터 커패시터(151F)를 포함한다. 일부 구성들에서, 제2 필터 인덕터(151C) 및 제3 필터 인덕터(151D)는 약 0.1 내지 1μH의 인덕턴스를 가질 수 있고, 제2 필터 커패시터(151E) 및 제3 필터 커패시터(151F)는 약 25pF 내지 100pF의 커패시턴스를 갖는다.
펄스 파형 예들
[0081] 위에서 언급된 바와 같이, 본 개시내용의 실시예들은, 프로세싱 동안 거의 일정한 시스 전압의 유지를 가능하게 하고, 따라서 기판의 표면에 원하는 IEDF를 생성하는 것을 가능하게 하는 한편, 하나 이상의 RF 소스 어셈블리들의 사용에 의해 플라즈마 프로세싱 챔버의 프로세싱 볼륨에 형성되는 플라즈마의 양상들을 개별적으로 제어하는 능력을 또한 제공하는 신규한 기판 바이어싱 방법들을 제공한다. 일부 실시예들에서, 본원에서 개시되는 신규한 기판 바이어싱 장치 및 방법들의 사용에 의해, 프로세싱 동안 기판의 표면에 단일-피크(모노-에너제틱) IEDF가 형성될 수 있다. 다른 실시예들에서, 도 4d에 예시된 바와 같이, 본원에서 개시되는 신규한 기판 바이어싱 장치 및 방법들 중 하나 이상의 사용에 의해, 프로세싱 동안 기판의 표면에 2-피크(바이-에너제틱) IEDF가 형성된다. 도 1a에 예시된 바와 같은 본원에서 개시되는 일부 장치 구성(들)에서, 또한, 플라즈마 프로세싱 챔버 내의 접지된 표면의 영역이 최대화될 수 있게 하고, 따라서 챔버 벽들에 대한 전력 손실들을 최소화하고 플라즈마 프로세싱 효율을 개선한다.
[0082] 도 4a 내지 도 4c 및 도 5a 내지 도 5c와 관련하여 아래에서 추가로 논의되는 바와 같이, 플라즈마 프로세싱 동안 거의 일정한 시스 전압의 유지를 가능하게 하는 신규한 기판 바이어싱 방법들은, 플라즈마 프로세싱 챔버에서 수행되는 플라즈마 프로세스 동안 기판에 대해 수행되는 플라즈마 프로세싱 시퀀스 동안 일련의 펄스들 및/또는 펄스들의 버스트들의 전달을 포함한다. 본원에서 제공되는 본 개시내용의 실시예들은, 다수의 상이한 위상들을 각각 포함하는 원하는 펄스 전압 파형(PVWF)을 갖는 펄스들의 전달을 포함한다. 아래에서 추가로 논의되는 바와 같이, 각각의 PV 파형은, PV 파형 생성기(150)로부터 제공되는 전압 신호 또는 일부 경우들에서는 일정한 전류 신호의 전달에 의해 제어되는 다수의 위상들 중 적어도 하나의 위상을 포함한다. 일반적으로, 논의 목적들로, PV 파형의 각각의 펄스는, 도 5a 내지 도 5c에 예시된 바와 같이, 제1 구역(405) 및 제2 구역(406)을 포함하는 2개의 메인 구역들로 세그먼팅될 수 있다. 일반적으로, 각각의 PV 파형은 진폭(Vout), 오프셋(예를 들어, ΔV), 펄스 기간(TP) 및 펄스 반복 주파수(
Figure pct00001
)를 포함할 것이다.
[0083] 도 4a는, PV 소스 어셈블리 내의 PV 파형 생성기(150)의 사용에 의해 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정될 수 있는 PV 파형의 네거티브 펄스 바이어싱 방식 타입을 예시한다. 일부 실시예들에서, 도 4a에 예시된 PV 파형은, 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150) 및 제2 PV 소스 어셈블리(197)의 PV 파형 생성기(150)의 사용에 의해 각각 바이어싱 전극(104) 및 에지 제어 전극(115)에 개별적으로 설정된다. 도 5a는 PV 파형 생성기들(150)이 바이어싱 전극(104) 또는 에지 제어 전극(115)에 PV 파형을 설정하기 위해 다상 네거티브 펄스 파형들(401)의 시리즈(550)의 생성을 제어하도록 구성되는 네거티브 펄스 바이어싱 방식 타입의 펄스 전압 파형을 예시한다. 일부 실시예들에서, 다상 네거티브 펄스 파형들(401)은 일련의 반복 사이클들을 포함하여, 각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖는다. 다상 네거티브 펄스 파형들(401)은 또한 제1 시간 인터벌의 적어도 일부 동안에만 존재하는 포지티브 전압 펄스를 포함할 것이고, 펄스 전압 파형은 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정하다. PV 파형 생성기(150)의 출력은 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결된다.
[0084] 도 4a에 도시된 바와 같이, 기판 PV 파형(425)은, PV 파형 생성기(150)에 의해 바이어싱 전극(104) 또는 에지 제어 전극(115)에 형성되는 설정된 PV 파형으로 인해 기판에 설정된 일련의 PV 파형들이다. 기판 PV 파형(425)은 프로세싱 동안 기판의 표면에 설정되며, 그리고 예시적인 기판 PV 파형(425)의 지점(420)과 지점(421) 사이에서 연장되는 시스 붕괴 및 ESC 재충전 위상(450)(또는 논의의 단순화를 위해 시스 붕괴 위상(450)), 지점(421)과 지점(422) 사이에서 연장되는 시스 형성 위상(451), 및 지점(422)과 다음으로 순차적으로 설정되는 펄스 전압 파형의 지점(420)의 시작 사이에서 연장되는 이온 전류 위상(452)을 포함한다. 도 4a 내지 도 4c에 도시된 바와 같이, 플라즈마 전위 곡선(433)은, 하나 이상의 PV 파형 생성기들(150)의 사용에 의해 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정되는 네거티브 펄스 파형들(401)의 전달 동안의 로컬 플라즈마 전위를 예시한다.
[0085] 일부 실시예들에서, 프로세싱 챔버(100)에서의 프로세싱 동안, PV 파형 생성기(150)가 설정된 다상 네거티브 펄스 파형(401)의 위상들 중 2개의 위상들 동안 네거티브 전압의 전달을 공급 및 제어할 때, 다상 네거티브 펄스 파형(401), 이를테면, 네거티브 방향으로 추세를 보이고 그리고/또는 네거티브 전압 레벨(예를 들어, 이온 전류 위상)로 유지되는 PV 파형의 부분들이 형성된다. 예를 들어, 네거티브 펄스 파형(401)의 이러한 네거티브 전압 포함 부분들은, 유추에 의해, 기판 PV 파형(425)에 대해, 도 4a에 예시된 시스 형성 위상(451) 및 이온 전류 위상(452)과 관련될 것이다. 이 경우, 도 4a에 도시된 바와 같이, 다상 네거티브 펄스 파형(401)에 대해, PV 파형 생성기(150)로부터의 네거티브 전압의 전달은 지점(411)(즉, 다상 네거티브 펄스 파형(401)의 피크)과, 지점(413)과 일치하는 기판 PV 파형의 시스 붕괴 위상(450)의 시작 사이에서 또는 이들로부터 연장되는 제2 위상(406) 동안 발생한다. 일부 실시예들에서, 지점들(412 및 413) 사이에 있는 설정된 다상 네거티브 펄스 파형(401)의 부분과 일치하는 이온 전류 위상(452) 동안, PV 파형 생성기(150)는 일정한 네거티브 전압(예를 들어, VOUT)을 제공하도록 구성된다. 예를 들어, 이온 전류 위상(452) 동안 기판 표면 상에 포지티브 전하를 증착하는 이온 전류(Ii)로 인해, 지점들(422 및 420)(도 4a) 사이의 라인의 포지티브 기울기로 보이는 바와 같이, 기판 표면에서의 전압은 시간의 경과에 따라 증가할 것이다. 기판 표면에서의 시간의 경과에 따른 전압 증가는 시스 전압을 감소시키고, 이온 에너지의 확산을 초래할 것이다. 따라서, 시스 전압의 감소 및 이온 에너지의 확산의 영향들을 최소화하기 위해, 적어도 PV 파형 주파수(1/TPD, 여기서 TPD는 PV 파형 기간(도 5a))를 제어 및 세팅하는 것이 바람직하다.
[0086] 도 5b는 PV 파형 생성기(150)가 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정되는 다상 성형 펄스 파형들(441)의 시리즈(551)의 생성을 제어하도록 구성되는, PV 파형의 성형 펄스 바이어싱 방식 타입을 예시한다. 일부 실시예들에서, 다상 성형 펄스 파형(441)은, 하나 이상의 내부 스위치들 및 DC 전력 공급부들의 사용에 의해 전압 펄스의 하나 이상의 위상들(예를 들어, 제1 구역(405)) 동안 포지티브 전압을 공급하고 전압 펄스의 하나 이상의 위상들(예를 들어, 제2 구역(406)) 동안 네거티브 전압을 공급하도록 구성된 PV 파형 생성기(150)에 의해 형성된다.
[0087] 일부 실시예들에서, 도 5c에 예시된 바와 같이, PV 파형 생성기(150)는 다상 포지티브 펄스 파형들(431)의 시리즈(552)를 바이어싱 전극(104) 및 에지 제어 전극(115)에 제공하도록 구성된다. 포지티브 펄스 파형(431)의 각각의 포지티브 펄스는 다수의 위상들, 이를테면 시스 붕괴 위상, ESC 재충전 위상, 시스 형성 위상 및 이온 전류 위상을 포함할 수 있다. 이러한 예에서, 제1 구역(405)은 일반적으로, 시스 붕괴 위상 및 ESC 재충전 위상을 포함한다. 제2 구역(406)은 일반적으로 시스 형성 위상 및 이온 전류 위상을 포함한다. 일부 실시예들에서, 다상 포지티브 펄스 파형들(431)은 일련의 반복 사이클들을 포함하여, 각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖는다. 다상 포지티브 펄스 파형들(431)은 또한 제1 시간 인터벌의 적어도 일부 동안에만 존재하는 포지티브 전압 펄스를 포함할 것이고, 다상 포지티브 펄스 파형들(431)은 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정하다. PV 파형 생성기(150)의 출력은 제1 시간 인터벌의 적어도 일부 동안 포지티브 전압 공급부에 연결된다.
[0088] 도 5a, 도 5b 및 도 5c에 각각 예시된 다양한 펄스 전압 파형들(401, 441 및 431)은 척킹 모듈(116)의 입력에 제공되는 펄스 전압 파형들을 나타내고, 따라서 도 4a에 예시된 바와 같이 바이어싱 전극(104) 및 에지 제어 전극(115)에 설정되는 펄스 전압 파형들과 상이할 수 있다. 각각의 PV 파형에서 발견되는 DC 오프셋(ΔV)은 PV 파형을 설정하는 데 사용되는 PV 파형 생성기(150) 구성의 다양한 특성들에 종속적이다.
[0089] 도 4b는, 펄스 전압 파형들의 일련의 버스트들(462)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정되고 그리고 기판 표면에 설정되는 프로세싱 방법을 예시한다. 도 4b에 예시된 예에서, 각각의 버스트(462) 내의 복수의 펄스들(461)은 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정되는 일련의 네거티브 펄스 파형들(401)을 포함한다. 이 예에서, 버스트들(462) 각각은 일관된 펄스 전압 형상을 갖는 PV 파형(예를 들어, 각각의 PV 파형(401)의 일부분 동안 일정한 전압 규모가 제공됨)을 갖는 펄스들(461), 시간의 경과에 따라 하나의 버스트(462)로부터 다른 버스트로 변하지 않는 버스트 전달 길이(TON), 및 시간의 경과에 따라 가변 길이를 갖지 않는 버스트 레스트 길이(burst rest length)(TOFF)를 포함한다. 버스트 레스트 길이(TOFF)는 일정 시간 기간 동안 버스트 전달 길이(TON) 시간 동안 제공되는 PV 파형들의 전달을 중단(halting)시킴으로써 형성된다. 버스트 동안 복수의 펄스들이 전달되는 시간의 길이(즉, 버스트 전달 길이(TON))를 버스트 기간의 지속기간(즉, TBD = TON + TOFF)으로 나눈 비율인, 버스트들(462)의 듀티 사이클이 또한 이 예에서 일정하다. 당업자는, 다른 프로세싱 방법들에서, 복수의 펄스들(461)이 네거티브 펄스 파형들(401), 성형 펄스 파형들(441) 또는 포지티브 펄스 파형들(431), 또는 이들의 조합들을 포함할 수 있다는 것을 인식할 것이다. 도 4b에 예시된 바와 같이, 버스트 레스트 길이(TOFF) 동안, 바이어싱 전극 전위 곡선(436)은 척킹 모듈(116)에 의해 인가 및 제어되는 척킹 전압에 의해 주로 제어되고, 따라서 플라즈마 전위와 상이한 전압 레벨에 있을 수 있다.
[0090] 도 4c는, 펄스들의 복수의 상이하게 구성된 버스트들, 이를테면 버스트들(462) 및 버스트들(463)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 설정되고 그리고 기판 표면에 설정되는 프로세싱 방법을 예시한다. 도 4d는 플라즈마 프로세싱 동안의 IEDF의 플롯에 대한, 도 4c에 예시된 프로세싱 방법의 수행에 의해 생성된 효과를 예시한다. 반복 사이클 내에서 복수의 상이하게 구성된 버스트들의 전달을 제어함으로써, IEDF가 2개 이상의 이산 IEDF 피크들을 포함하도록, 이를테면, 도 4d에 예시된 2개의 이산 IEDF 피크들이 도 4c에 예시된 프로세싱 방법의 수행에 의해 형성되도록 이온 에너지들의 분포를 제어하는 것이 가능하다고 여겨진다. 대조적으로, RF 바이어싱 방식을 활용하는 종래의 플라즈마 프로세스들에서, IEDF는 통상적으로, 낮은 에너지 및 높은 에너지로 형성되는 2개의 피크들 및 2개의 피크들 사이에 있는 에너지들을 갖는 일부 이온 집단을 갖고, 따라서 바람직하게는 이산 IEDF 피크들을 형성하지 않을 것이다. 종래 방식으로 형성된 IEDF 곡선의 예가 미국 특허 번호 제10,555,412호의 도 1b에 예시되며, 이 미국 특허는 그 전체가 인용에 의해 본원에 포함된다. 이러한 종래의 바이어싱 방식들에서, 인가된 RF 전압(이를테면 도 6a에 도시된 것과 같은 파형을 가짐)은 전체 RF 기간에 걸쳐 캐소드 시스를 변조하여, 항상 시스 전압 강하를 과도하게 변화시켜 이중 피크 IEDF를 초래한다. 위에서 논의된 바와 같이, 종래의 프로세스 동안 형성된 2개의 IEDF 피크들(즉, 비-이산 IEDF 피크들의 형성) 사이에서 연장되는 이온 에너지들의 범위는 플라즈마 프로세싱 동안 기판의 표면 내에 형성되는 에칭된 피처 벽들의 프로파일에 영향을 미칠 것이다.
[0091] 도 4c에 예시된 방법의 일부 실시예들에서, 복수의 상이하게 구성된 버스트들은 반복 사이클 길이(TTrain)를 갖는 상이하게 구성된 버스트들의 반복 사이클을 포함한다. 버스트들(462) 및 버스트들(463) 각각은 네거티브 펄스 파형들(401), 성형 펄스 파형들(441) 또는 포지티브 펄스 파형들(431), 또는 이들의 조합들을 포함할 수 있는 복수의 펄스들(461)을 포함한다. 일부 실시예들에서, 복수의 상이하게 구성된 버스트들은 적어도 2개의 상이하게 구성된 버스트들을 포함하고, 따라서 복수의 상이하게 구성된 버스트들 내의 버스트들 중 적어도 2개의 버스트들 동안 형성된 복수의 펄스들(461)의 적어도 특징들은 상이한 특징들을 갖는다. 일 예에서, 도 4c에 예시된 바와 같이, 버스트들(462)을 갖는 복수의 펄스들(461)의 특징들은 버스트들(463)을 갖는 복수의 펄스들(461)과 상이한 펄스 전압 규모(예를 들어, 상이한 VOUT)를 가지며, 따라서 상이한 피크 높이들을 갖는 2개의 IEDF 피크들(도 4d)을 형성할 수 있다. 일부 실시예들에서, 펄스들(461)은 약 1 킬로볼트(kV) 내지 약 10kV의 펄스 전압 규모(VOUT)를 갖는다. 일부 실시예들에서, 반복 사이클 내에서 버스트들 중 적어도 2개의 버스트들 사이에서 상이한 복수의 펄스들(461)의 특징들은, 상이한 개별적인 PV 파형 기간들, 상이한 펄스 전압 규모들, 제1 구역(405) 및 제2 구역(406) 내에서의 PV 파형의 적어도 일부의 상이한 형상들(예를 들어, 전압 규모, 기울기(dV/dt)), 또는 다른 PV 파형 특징들을 포함한다. 버스트들(462 및 463) 각각은, 버스트 전달 길이(TON) 및 버스트 레스트 길이(TOFF)를 포함하는 버스트 기간을 갖는다. 추가로, 버스트 기간(TBD) 및 버스트 듀티 사이클(예를 들어, TON/TBD)은 버스트 전달 길이(TON) 및 버스트 기간(TBD)에 기초한다. 일부 실시예들에서, 버스트 전달 길이(TON)는 약 50㎲ 내지 약 50 밀리초(ms), 이를테면 약 200㎲ 내지 약 5ms이고, 버스트 듀티 사이클은 약 5% 내지 약 100%, 이를테면 약 50% 내지 약 95%이다. 일 예에서, 버스트들(462) 및 버스트들(463) 둘 모두에 대해, 버스트 전달 길이(TON)는 약 800㎲이고, 버스트 듀티 사이클은 약 80%이다. 더 구체적으로, 도 4c는 기판 지지 어셈블리(136)의 바이어싱 전극(104)에 제공되는, PV 파형 생성기(150)의 출력에 포지셔닝된 생성기 출력 결합 어셈블리(181)의 생성기 단부로부터 비롯하는 입력 펄스 전압 파형의 다수의 버스트들(각각은 복수의 파형 사이클들을 포함함)의 예를 포함한다. 복수의 상이하게 구성된 버스트들은 상이하게 구성된 오프셋들(ΔV), 버스트 기간들(TBD), 버스트 주파수들(
Figure pct00002
), 및/또는 버스트 듀티 사이클들(
Figure pct00003
)을 갖는 것을 특징으로 할 수 있다. 따라서, 상이하게 구성된 버스트들 중 2개 이상의 버스트들 사이에서 복수의 펄스들(461)의 특징들을 변경함으로써, 2개 이상의 이산 IEDF 피크들이 형성되어, 프로세싱 동안 기판 상에서 달성되는 플라즈마 프로세싱 결과들을 조정 또는 변경할 수 있다.
[0092] 도 5d는, 하나 이상의 PV 파형 생성기들(150)의 사용에 의해, 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 포지티브 펄스 파형들(431)(도시되지 않음) 또는 네거티브 펄스 파형들(401)(도시되지 않음)을 설정함으로써 프로세싱 동안 기판에 형성된 일련의 PV 파형들을 예시한다. 기판에 형성된 PV 파형들은 네거티브 펄스 파형들(401)의 설정에 의해 형성된 기판 PV 파형들(425), 또는 포지티브 펄스 파형들(431)의 설정에 의해 형성된 기판 PV 파형들(531)을 포함한다. 일부 실시예들에서, 네거티브 펄스 파형들(401)은, 시간(TN1)과 시간(TN2) 사이에서 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 네거티브 전압을 설정함으로써 형성된다. 일부 실시예들에서, 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되는, PV 파형 생성기(들)(150)의 출력(350)에서 제공되는 네거티브 전압은 네거티브 펄스 파형들(401)의 제2 구역(406)의 적어도 일부 동안 실질적으로 일정하다. 일 예에서, PV 파형 생성기(들)(150)의 출력(350)에서 제공되는 네거티브 전압은, 제2 구역(406)의 시작 및/또는 종료에서 발견되는 임의의 스위칭 관련 전압 진동들 또는 트랜지션들을 제외하고, 전체 제2 구역(406)에 대해 실질적으로 일정하다. 도 3b를 참조하면, 시간(TN1)과 시간(TN2) 사이의 시간 기간 동안 스위치(S1)가 폐쇄되고 폐쇄 상태를 유지하게 하고, 동일한 시간 기간 동안 스위치(S2)가 개방되고 개방 상태를 유지하게 함으로써, 네거티브 전압이 제공된다. 시간(TN2)에 시작하고 시간(TN1)에 종료되는 다른 시간 기간 동안, 스위치(S1)는 개방되고 개방 상태로 유지될 것이고, 스위치(S2)는 폐쇄되고 폐쇄 상태로 유지될 것이다.
[0093] 일부 실시예들에서, 포지티브 펄스 파형들(431)은, 시간(TP1)과 시간(TP2) 사이에서 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 포지티브 전압을 설정함으로써 형성된다. 도 3a를 참조하면, 시간(TP1)과 시간(TP2) 사이의 시간 기간 동안 스위치(S1)가 폐쇄되고 폐쇄 상태를 유지하게 하고, 동일한 시간 기간 동안 스위치(S2)가 개방되고 개방 상태를 유지하게 함으로써, 포지티브 전압이 제공된다. 시간(TP2)에 시작하고 시간(TP1)에 종료되는 다른 시간 기간 동안, 스위치(S1)는 개방되고 개방 상태로 유지될 것이고, 스위치(S2)는 폐쇄되고 폐쇄 상태로 유지될 것이다. 일부 실시예들에서, 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되는, PV 파형 생성기(들)(150)의 출력(350)에서 제공되는 포지티브 전압은 포지티브 펄스 파형들(431)의 제1 구역(405)의 적어도 일부 동안 실질적으로 일정하다.
[0094] 도 5d에 도시된 바와 같이, 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 네거티브 펄스 파형들(401) 또는 포지티브 펄스 파형들(431)을 설정하는 프로세스는, 상이한 파형 특징들을 가질 수 있는 기판 PV 파형들(425) 또는 기판 PV 파형들(531)을 각각 형성할 것이다. 일 예에서, 포지티브 펄싱 프로세스 동안 시스가 존재하지 않는(즉, ESC 재충전 위상(570) 동안 형성) 파형 사이클의 더 짧은 시간 기간(TPNSH)을 포함하는 기판 PV 파형들(531)을 형성하는 것에 비하여, 네거티브 펄싱 프로세스를 사용하는 경우 시스가 존재하지 않는(즉, ESC 재충전 위상(560) 동안 형성) 파형 사이클의 더 긴 시간 기간(TNNSH)을 포함하는 기판 PV 파형들(425)을 형성하는 것이 바람직하다. 이 예에서, 네거티브 PV 파형 동안 시스가 존재하지 않는 시간 기간(TNNSH)은 약 175 나노초(ns)일 수 있는 반면, 포지티브 PV 파형 동안 시스가 존재하지 않는 시간 기간(TPNSH)은 약 80 ns일 수 있다.
[0095] 펄스 전압 파형들을 설정하는 프로세스들 중 어느 하나에서, 이를테면, 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 네거티브 펄스 파형들(401), 성형 펄스 파형들(441) 또는 포지티브 펄스 파형들(431)을 설정하는 것은, 플라즈마 프로세스 동안 기판 프로세싱 시간의 큰 퍼센티지(예를 들어, 85%- 90%) 동안 시스 전압을 거의 일정하게 유지하는 것을 가능하게 할 수 있다. 도 4a 내지 도 5d에 예시된 파형들은 단지, 기판의 플라즈마 프로세싱 동안 사용될 수 있는, 본원에서 설명되는 방법들 중 하나에 사용될 수 있는 펄스 전압 파형의 단순화된 개략적인 표현들을 보여주는 것으로 의도된다. PV 파형 생성기들(150)에 의해 생성된 실제 파형들은 상당히 더 복잡할 수 있고, 도 3a 및 도 3b에 도시되지 않은 다수의 미세-스케일 피처들(예를 들어, 유도성 엘리먼트들의 존재에 의해 야기되는 고주파 진동들)을 포함할 수 있다. 그러나 이러한 미세-스케일 피처들은 본원에서 제안된 펄스 전압 바이어싱 방식 및 제어 방법들에 의해 생성되는 실제 펄스 전압 파형의 일반적인 형상을 결정하는 근본적인 물리적 현상들의 이해에 필수적인 것은 아니다.
펄스 전압 파형 위상들
[0096] 일반적으로, 네거티브 펄스 파형들(401), 성형 펄스 파형들(441) 또는 포지티브 펄스 파형들(431)을 설정하는 것과 같은 펄스 전압 파형들은 전압 오프셋(ΔV) 외에 기간(TPD)으로 반복되는 주기적인 일련의 짧은 펄스들을 포함한다. 일 예에서, 기간(TPD)은 약 1㎲ 내지 약 5㎲, 이를테면 약 2.5㎲일 수 있다. 각각의 기간(반복 사이클) 내의 파형은 다음을 포함한다:
[0097] (1) 시스 붕괴 위상 ― 이 시스 붕괴 위상 동안, 시스 커패시터(Csh)(도 3a 및 도 3b)가 방전되고, 기판 전위가 로컬 플라즈마 전위(예를 들어, 도 4a의 플라즈마 전위 곡선(433))의 레벨이 됨 ―. 시스 붕괴 위상은, ESC 재충전 위상 (2) 동안 플라즈마로부터 제공되는 전자들에 의해 척 커패시터(CE)의 신속한 재충전을 가능하게 한다.
[0098] (2) 기판 표면에 대해 수행된 이온 전류 위상 동안 기판 표면 상에 축적된 총 전하와 반대 극성의 상당량의 전하를 신속하게 주입 또는 축적함으로써, ESC 재충전 위상 동안의 척 커패시터(CE)의 재충전. 이 위상 동안의 플라즈마 전류는 또한, 전자들에 의해 운반되는데, 즉, 캐소드 시스의 부재 시에, 전자들이 기판에 도달하고 표면 전하를 빌드 업(build up)하여 커패시터(CE)를 충전시킨다.
[0099] (3) 시스 형성 위상 동안, 프로세싱 챔버의 스트레이 커패시터를 방전시키고, 시스를 재형성하며, 시스 전압(VSH)의 값을 세팅하기 위한 네거티브 전압 점프. 시스 형성(Csh의 충전)의 시작은, 기판 전위가 로컬 플라즈마 전위 미만으로 감소하기 시작하는 지점으로서 명확하게 식별될 수 있다.
[00100] (4) 일반적으로 긴(예를 들어, PV 파형 사이클 지속기간(T)의 50% 초과, 이를테면, 약 80-90%) 이온 전류 위상 ― 이 이온 전류 위상 동안, 이온 전류는 기판 표면 상에 포지티브 전하의 축적을 야기하고, 시스 및 척 커패시터들을 점진적으로 방전시켜, 시스 전압 강하를 느리게 감소시키고 기판 전위를 제로에 더 가깝게 함 ―. 이는 기판 전압 파형들(425(도 4a) 및 531(도 5d))에서 전압 드룹(voltage droop)을 초래한다. 생성된 시스 전압 드룹은 펄스 파형(들)이 위의 (1) 내지 (3)에서 설명된 다음 사이클로 이동할 필요가 있는 이유이다.
[00101] 위에서 논의된 바와 같이, 일부 실시예들에서, 프로세싱 챔버(100)는 적어도, 하나 이상의 RF 생성기들(118) 및 이들과 연관된 제1 필터 어셈블리(162), 및 하나 이상의 PV 생성기들(314) 및 이들과 연관된 제2 필터 어셈블리(151)를 포함할 것이며, 이들은 함께, 원하는 파형들을 기판 지지 어셈블리(136) 내에 배치된 하나 이상의 전극들에 전달하도록 구성된다. 제어기(126)의 메모리에 저장된 소프트웨어 명령은 프로세싱 챔버 내에 형성된 플라즈마의 하나 이상의 양상들을 설정, 유지 및 제어하도록 구성되는 RF 파형의 생성을 야기하도록 구성된다. 제어되는 플라즈마의 하나 이상의 양상들은 프로세싱 볼륨(129)에 형성되는 플라즈마의 이온 에너지, 플라즈마 케미스트리 및 플라즈마 밀도를 포함할 수 있지만, 이에 제한되지는 않는다.
[00102] 도 6a는 RF 생성기(118)로부터 제공되는 주파수(즉, 1/TRF)를 갖는 통상적인 정현파 RF 파형(601)을 예시한다. 통상적으로, 플라즈마의 하나 이상의 양상들은, 원하는 RF 주파수 및 RF 전력량, 그리고 일부 경우들에서는, 펄스 RF 신호의 듀티 사이클(즉, 정현파 RF 신호가 "온"인 시간(TRFON)의 퍼센티지 대 정현파 RF 신호가 "오프"인 시간(TRFOFF)의 퍼센티지)을 선택함으로써 제어될 수 있다. 원하는 RF 주파수의 선택은 일반적으로, 선택된 좁은 RF 주파수 범위 내의 하나 이상의 주파수들로 가변량의 RF 전력을 제공하도록 구성된 RF 생성기(예를 들어, 2MHz, 13.56MHz, 또는 40MHz RF 생성기)를 선택함으로써 수행된다.
[00103] 도 6b는 플라즈마 프로세스 동안 RF 생성기(118)로부터 제공될 수 있는 펄스 RF 파형(602)을 예시한다. 형성된 펄스 RF 파형(602)은 RF 펄스 RF 시퀀스 내의 RF 펄스 기간(TRFP), 및 정현파 RF 파형(601)이 RF 생성기(118)에 의해 제공되거나 또는 제공되지 않는 "온" 및 "오프" 시간들(즉, 각각, TRFON 및 TRFOFF)을 가질 수 있다.
[00104] 도 6c는 RF 생성기(118)로부터 제공되는 펄스 RF 파형(602)이 하나 이상의 PV 파형 생성기들(150) 및 제어기(126)의 사용에 의해 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되는 일련의 버스트들(612, 622 또는 632)과 동기화되는 방법을 예시한다. 도 6c에 도시된 바와 같이, 각각의 일련의 버스트들(612, 622, 632) 내의 버스트들(615, 625, 635)은 단일의 일관된 타입의 버스트를 포함하지만(즉, 펄스들(461)은 동일한 펄스 특징들을 가짐), 하나 이상의 PV 파형 생성기들(150)에 의해 생성된 각각의 일련의 버스트들 내의 버스트들은 상이하게 구성된 버스트들, 이를테면 도 4c의 버스트들(462, 463)을 포함할 수 있다는 것이 고려된다. 유사하게, 일부 실시예들에서, RF 파형(602) 내의 RF 펄스들은 일련의 상이하게 구성된 RF 펄스들을 포함할 수 있다. 버스트들(615, 625 또는 635)은 각각, 바이어싱 전극(104) 및 에지 제어 전극(115) 중 어느 하나 또는 둘 모두에 설정될 수 있는 네거티브 펄스 파형들(401), 성형 펄스 파형들(441) 또는 포지티브 펄스 파형들(431), 또는 이들의 조합들을 포함할 수 있는 복수의 펄스들(461)을 포함한다.
[00105] 일 예에서, 프로세싱 동안, 복수의 버스트들(615)을 포함하는 일련의 버스트들(612)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 펄스 RF 파형(602)의 전달과 동기화된다. 이 예에서, 복수의 버스트들(615) 각각은, 펄스 RF 파형(602) 내의 RF 펄스들의 RF 펄스 전달 길이(TRFON), RF 펄스 레스트 길이(TRFOFF) 및 RF 펄스 기간(TRFP)과 동일한 버스트 전달 길이, 버스트 레스트 길이 및 버스트 기간을 갖는다.
[00106] 다른 예에서, 프로세싱 동안, 복수의 버스트들(625)을 포함하는 일련의 버스트들(622)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 펄스 RF 파형(602)의 전달과 동기화된다. 이 예에서, 복수의 버스트들(625) 각각은, 펄스 RF 파형(602) 내의 RF 펄스들의 RF 펄스 전달 길이(TRFON), RF 펄스 레스트 길이(TRFOFF) 및 RF 펄스 기간(TRFP)과 동일한 버스트 전달 길이, 버스트 레스트 길이 및 버스트 기간을 갖는다. 그러나 이러한 예에서, 각각의 버스트(625)의 시작이 펄스 RF 파형(602) 내의 RF 펄스 각각의 적어도 일부가 전달된 후의 시간에 발생하도록 지연 기간(TDE)이 제공되며, 이는 본원에서 포지티브 지연 기간으로 또한 지칭된다. 또한 또는 대안적으로, 버스트들(625) 중 적어도 일부가 전달된 후(즉, 네거티브 지연 기간) RF 펄스들의 전달이 발생하도록, 버스트들(625)의 전달에 비해 RF 펄스의 전달을 지연시키는 것이 바람직할 수 있다.
[00107] 다른 예에서, 프로세싱 동안, 복수의 버스트들(635)을 포함하는 일련의 버스트들(632)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 펄스 RF 파형(602)의 전달과 동기화된다. 이 예에서, 복수의 버스트들(635) 각각은 펄스 RF 파형(602) 내의 RF 펄스들과 동일한 버스트 기간을 갖는다. 그러나 이러한 예에서, 버스트 전달 길이 및 버스트 레스트 길이는 펄스 RF 파형(602) 내의 RF 펄스들과 상이하다. 도 6c에 예시된 바와 같이, 각각의 버스트(635)의 버스트 전달 길이는 RF 펄스 전달 길이(TRFON)보다 시간 기간(TDS)만큼 더 길다. 이 경우, 버스트들(635)의 전달과 펄스 RF 파형(602) 사이의 듀티 사이클들은 상이하다.
[00108] 도 6c에 예시된 일련의 버스트들(612, 622, 632)은 각각 일정한 버스트 전달 길이 및 듀티 사이클을 포함하지만, 일련의 버스트들에서의 버스트 전달 길이 및/또는 듀티 사이클이 시간의 경과에 따라 변할 수 있다는 것이 고려된다. 또한, 도 6c에 예시된 일련의 버스트들(622)은 각각 일정한 지연 기간(TDE)을 포함하지만, 일련의 버스트들에서의 지연 기간은 시간의 경과에 따라 변할 수 있다는 것이 고려된다. 또한, 도 6c에 예시된 일련의 버스트들(632)은 각각 일정한 버스트 전달 길이 및 일정한 지연 기간을 포함하지만, 일련의 버스트들에서의 버스트 전달 길이는 시간의 경과에 따라 변할 수 있고 그리고/또는 버스트들(625)의 전달에 대한 RF 펄스들의 전달을 지연시키는 것이 바람직할 수 있다는 것이 고려된다.
[00109] 다른 예에서, 도 6d에 예시된 바와 같이, 프로세싱 동안, 복수의 버스트들(645)을 포함하는 일련의 버스트들(642)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 펄스 RF 파형(602)의 전달과 동기화된다. 이 예에서, 복수의 버스트들(645) 각각은, 펄스 RF 파형(602) 내의 RF 펄스들의 RF 펄스 전달 길이(TRFON) 및 RF 펄스 레스트 길이(TRFOFF)와 상이한 버스트 전달 길이, 버스트 레스트 길이 및 버스트 기간을 갖는다. 이 예에서, 각각의 버스트(645)의 시작이 펄스 RF 파형(602) 내의 RF 펄스 각각의 적어도 일부가 전달된 후의 시간에 발생하도록 시작 지연 기간(TDE)이 제공되고, 또한 RF 펄스 기간(TRFP)이 종료되기 전에 각각의 버스트(645)의 종료가 발생하도록 종료 지연 기간(TED)이 제공된다. 이러한 예에서, 각각의 버스트(645)의 듀티 사이클은 RF 펄스 미만이다.
[00110] 다른 예에서, 도 6e에 예시된 바와 같이, 프로세싱 동안, 복수의 버스트들(655 및 656)을 포함하는 일련의 버스트들(652)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 멀티레벨 펄스 RF 파형(603)의 전달과 동기화된다. 멀티레벨 펄스 RF 파형(603)은, RF 생성기의 사용에 의해 상이한 전력 레벨들로 정현파 RF 파형(601)의 전달에 의해 형성되는 복수의 RF 펄스 전력 레벨들(604 및 605)을 포함한다. 이 예에서, 복수의 버스트들(655 및 656) 각각은 RF 펄스 전력 레벨들(604 및 605)의 변화들과 동기화된다. 복수의 버스트들(655 및 656) 각각은, 버스트들(655 및 656) 각각에 대해 인가된 전압 레벨 피크들 각각의 네거티브 레벨들의 차이에 의해 예시된 바와 같이, 상이한 전압 레벨들로 공급되는 복수의 네거티브 펄스 파형들(401)을 포함한다. 일부 실시예들에서, 도 6e에 예시된 바와 같이, 버스트들(655 및 656) 및/또는 RF 펄스 전력 레벨들(604 및 605) 사이의 트랜지션들은, 각각, 버스트 레스트 길이(TOFF) 시간 또는 RF 펄스 레스트 길이(TRFOFF) 시간에 의해 분리되지 않는다.
[00111] 도 6e에 예시된 일련의 버스트들(652) 및 멀티레벨 펄스 RF 파형(603)을 포함하는 도 6f는, 일련의 버스트들(652) 및 멀티레벨 펄스 RF 파형(603)의 전달을 동기화하는 데 사용되는 TTL 신호 파형을 개략적으로 예시한다. 일부 실시예들에서, TTL 신호 파형은, 일련의 버스트들(652) 및 멀티레벨 펄스 RF 파형(603)의 전달이 동기화될 수 있도록, 제어기(126)에 의해 각각의 PV 파형 생성기(150) 및 RF 생성기(118)에 제공된다. 다른 실시예들에서, TTL 신호 파형은, 마스터 RF 생성기(118)로부터 제공되는 일련의 버스트들(652) 및 멀티레벨 펄스 RF 파형(603)의 전달이 동기화될 수 있도록, 마스터 RF 생성기(118)로부터 각각의 PV 파형 생성기(150)에 제공된다. TTL 신호 파형은, PV 파형 생성기(150) 및/또는 RF 생성기(118)로부터 제공될 원하는 PV 파형 특징 또는 RF 신호 파형 특징을 결정하기 위해 각각의 PV 파형 생성기(150) 및/또는 RF 생성기(118)에 의해 사용되는 하나 이상의 신호 특징들을 포함하는 멀티레벨 펄스들을 포함할 수 있다. 일 예에서, 프로세싱 시퀀스 동안 다양한 상이한 시간들에서의 신호 파형의 규모(예를 들어, 전압 레벨(들))는, 원하는 PV 파형 출력 전압 레벨을 결정하기 위해 각각의 PV 파형 생성기(150)에 의해 사용되고, 제공될 원하는 RF 전력 레벨을 결정하기 위해 RF 생성기(118)에 의해 사용된다.
[00112] 다른 예에서, 도 6g에 예시된 바와 같이, 프로세싱 동안, 복수의 버스트들(665 및 667)을 포함하는 일련의 버스트들(662)이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에 제공되고, 멀티레벨 펄스 RF 파형(606)의 전달과 동기화된다. 멀티레벨 펄스 RF 파형(606)은, RF 생성기의 사용에 의해 상이한 전력 레벨들로 정현파 RF 파형(601)의 전달에 의해 형성되는 복수의 RF 펄스 전력 레벨들(607 및 608)을 포함한다. 멀티레벨 펄스 RF 파형(606)은, 제1 전력 레벨(607)로부터 제2 전력 레벨(608)로의 트랜지션 사이에 배치되는, RF 레스트 시간(609)에 의해 예시되는 RF 펄스 레스트 길이(TRFOFF) 시간들을 포함할 수 있다. 일부 실시예들에서, RF 펄스 전력 레벨들(607 및 608) 사이의 각각의 트랜지션에 RF 펄스 레스트 길이(TRFOFF) 시간이 배치된다. 복수의 버스트들(665 및 667) 각각 사이의 트랜지션들은 RF 펄스 전력 레벨들(607 및 608)의 변화들과 동기화된다. 복수의 버스트들(665 및 667) 각각은, 버스트들(665 및 667) 각각의 피크들 각각의 네거티브 레벨들의 차이에 의해 예시된 바와 같이, 상이한 전압 레벨들로 공급되는 복수의 네거티브 펄스 파형들(401)을 포함한다. 일부 실시예들에서, 버스트(667)로부터 버스트(665)로의 트랜지션은 버스트 레스트 길이(TOFF) 시간만큼 분리되는 한편, 버스트(665)로부터 버스트(667)로의 트랜지션은 버스트 레스트 길이(TOFF) 시간만큼 분리되지 않는다. 그러나 일부 실시예들에서, 버스트(665)로부터 버스트(667)로의 트랜지션은 버스트 레스트 길이(TOFF) 시간만큼 분리되는 한편, 버스트(667)로부터 버스트(665)로의 트랜지션은 버스트 레스트 길이(TOFF) 시간만큼 분리되지 않는다. 버스트(665)로부터 버스트(667)로의 그리고 버스트(667)로부터 버스트(665)로의 트랜지션들은 각각 버스트 레스트 길이(TOFF) 시간만큼 분리될 수 있다.
[00113] 도 6g는 또한, 일련의 버스트들(662) 및 멀티레벨 펄스 RF 파형(606)의 전달을 동기화시키는 것을 돕는 데 사용될 수 있는 TTL 신호 파형을 예시한다. 위에서 유사하게 논의된 바와 같이, 일련의 버스트들(662) 및 멀티레벨 펄스 RF 파형(606)의 전달이 동기화될 수 있도록, TTL 신호 파형은 마스터 RF 생성기(118)로부터 각각의 PV 파형 생성기(150)에 제공되거나, 또는 TTL 신호 파형은 제어기(126)에 의해 각각의 PV 파형 생성기(150) 및 RF 생성기(118)에 제공된다. 도 6g에 도시된 바와 같이, 프로세싱 시퀀스 동안 다양한 상이한 시간들에서의 신호 파형의 규모는, 원하는 PV 파형 출력 전압 레벨을 결정하기 위해 각각의 PV 파형 생성기(150)에 의해 사용되고, 원하는 RF 전력 레벨을 결정하기 위해 RF 생성기(118)에 의해 사용된다. 일부 구성들에서, 도 6g의 레벨(LS2)과 같은, TTL 신호 파형의 레벨들 중 하나 이상에서 제공된 정보는, 버스트들(665 및 667) 중 하나 이상, 이를테면 버스트(667)에 대한 원하는 듀티 사이클, 버스트 내 펄스 수 및/또는 펄스 규모를 결정하는 데 사용되고, 그리고/또는 RF 파형(606)에 대한 듀티 사이클 및/또는 RF 펄스 규모가 TTL 신호 파형의 특징들로부터 결정된다.
[00114] 도 6h는 도 6g에 예시된 펄스 시퀀스의 대안적인 버전을 예시한다. 도 6h에 도시된 펄스 구성은 도 6g에 도시된 하이-로우(HL: High-Low) PV 펄스 시퀀스와 비교할 때 로우-하이(LH: Low-High) PV 펄스 시퀀스로 본원에서 지칭된다. 도 6h에 예시된 바와 같이, 일련의 버스트들(662)의 버스트들(665 및 667), 및 멀티레벨 펄스 RF 파형(606)의 RF 펄스 전력 레벨들(607 및 608)은 시간상 상이하게 순차적으로 포지셔닝된다. 이 구성에서, 버스트들(665 및 667) 및 RF 펄스 전력 레벨들(607 및 608)은, 버스트(667)가 버스트(665)의 전달에 선행하고 RF 펄스 전력 레벨(607)이 RF 펄스 전력 레벨(608)의 전달에 선행하도록, 시간상 재순서화되었다.
[00115] 일부 실시예에서, 일련의 버스트들, 이를테면 일련의 버스트들(612, 622 또는 632)은 하나 이상의 PV 파형 생성기들(150) 및 제어기(126)의 사용에 의해 동기화되어 바이어싱 전극(104) 및 에지 제어 전극(115)에 개별적으로 제공된다. 이외에도, 위에서 논의된 바와 같이, 펄스 RF 파형(602)은, 하나 이상의 PV 파형 생성기들(150) 및 제어기(126)의 사용에 의해 바이어싱 전극(104) 및 에지 제어 전극(115)에 제공될 수 있는 일련의 버스트들(612, 622 또는 632)과 동기화될 수 있다. 일 예에서, 일련의 버스트들(612)이 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150)로부터 바이어싱 전극(104)에 제공되고, 일련의 버스트들(612)이 제2 PV 소스 어셈블리(197)의 PV 파형 생성기(150)로부터 에지 제어 전극(115)에 제공되며, 이는 펄스 RF 파형(602)의 전달과 동기화된다.
[00116] 일부 실시예들에서, 바이어싱 전극(104)에 제공되는 버스트들 및/또는 일련의 버스트들 및 에지 제어 전극에 제공되는 버스트들 및/또는 일련의 버스트들은 하나 이상의 상이한 특징들을 갖는다. 일 예에서, 바이어싱 전극(104)에 제공되는 버스트에서 제공되는 펄스 전압 파형들은 에지 제어 전극(115)에 동시에 제공되는 버스트에서 제공되는 펄스 전압 파형들과 상이하다. 다른 예에서, 바이어싱 전극(104)에 제공되는 일련의 버스트에서 제공되는 버스트들(예를 들어, 버스트(615))은, 에지 제어 전극(115)에 제공되는 일련의 버스트에서 제공되는 버스트들(예를 들어, 버스트(635))과 상이한 버스트 전달 길이를 갖는다. 다른 예에서, 바이어싱 전극(104)에 제공되는 일련의 버스트에서 제공되는 버스트들은 에지 제어 전극(115)에 제공되는 일련의 버스트에서 제공되는 버스트들로부터 시간상 스태거링(stagger)된다. 이 예에서, 일련의 버스트들(612) 중 버스트들(615)은 바이어싱 전극(104)에 제공되고, 일련의 버스트들(622) 중 버스트들(625)은 에지 제어 전극(115)에 제공되며, 따라서 펄스 RF 파형(602)과 바이어싱 전극(104) 및 에지 제어 전극에 제공되는 버스트들의 전달의 타이밍은 서로에 대해 개별적으로 조정될 수 있다.
[00117] 일부 실시예들에서, 바이어싱 전극(104) 및 에지 제어 전극(115)에 제공되는 PV 파형들은 동기화되고, 각각의 전극에 제공되는 개별적인 펄스들의 진폭들이 상이할 수 있다는 점을 제외하고는 형상이 동일하다. 바이어싱 전극(104) 및 에지 제어 전극(115)에 인가되는 상이한 PV 파형 진폭은 기판 상에 형성되는 에칭된 피처들의 "에지 경사(edge tilt)"를 제어하는 데 사용될 수 있다. 일 예에서, 바이어싱 전극(104) 및 에지 제어 전극(115)에 제공되는 제1 버스트 내의 PV 파형들은 동기화되고 형상이 동일하며, 에지 제어 전극(115)에 인가되는 PV 파형의 피크-대-피크 전압은 바이어싱 전극(104)에 인가되는 PV 파형의 피크-대-피크 전압 초과이다. 다른 예에서, 바이어싱 전극(104) 및 에지 제어 전극(115)에 제공되는 제2 버스트 내의 PV 파형들은 동기화되고 형상이 동일하며, 에지 제어 전극(115)에 인가되는 PV 파형의 피크-대-피크 전압은 바이어싱 전극(104)에 인가되는 PV 파형의 피크-대-피크 전압 미만이다.
[00118] 일부 실시예들에서, 제어기(126)의 메모리에 저장된 소프트웨어 명령들은, 거의 일정한 시스를 설정하고 따라서 프로세싱 챔버에서의 플라즈마 프로세싱 동안 기판의 표면에 원하는 IEDF를 생성하는 데 사용되는 펄스 전압(PV) 파형 및/또는 펄스 전압(PV) 파형들의 버스트들의 생성을 야기하도록 구성된다. 펄스 전압(PV) 파형 및/또는 펄스 전압(PV) 파형들의 버스트들의 제어는 IEDF의 형상 및 IEDF에 대한 피크 수에 대한 정밀 제어를 가능하게 하고, 따라서 기판의 표면에 형성되는 피처들의 프로파일을 더 양호하게 제어한다. 펄스 전압(PV) 파형 및/또는 펄스 전압(PV) 파형들의 버스트들의 제어는 통상적으로, 펄스 전압(PV) 파형들의 위상들 중 하나 이상의 위상들 동안 원하는 전압 신호의 전달을 포함할 것이며, 그 다음, 펄스 전압(PV) 파형의 나머지 위상들의 형상이 파형 기간(TPD)의 레스트 동안 자연스럽게 진화할 수 있도록 한다. 제어기(126)의 메모리에 저장된 소프트웨어는 또한, 프로세싱 챔버(100) 내의 하나 이상의 전극들로의 RF 파형(들), 펄스 전압(PV) 파형들 및/또는 펄스 전압(PV) 파형들의 버스트들의 전달을 동기화하는 데 필요한 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해, 프로세싱 챔버(100) 및 프로세싱 챔버가 배치된 프로세싱 시스템 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 것이다.
[00119] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본 범위를 벗어나지 않고 본 개시내용의 다른 그리고 추가 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    라디오 주파수 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 지지 베이스에 라디오 주파수 신호를 전달하는 단계 ― 상기 라디오 주파수 생성기는 펄스 전압 필터 어셈블리를 통해 상기 지지 베이스에 전기적으로 결합됨 ―; 및
    제1 펄스 전압 파형 생성기의 사용에 의해, 상기 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서의 제1 펄스 전압 파형을 설정하는 단계 ― 상기 제1 펄스 전압 파형 생성기는 제1 라디오 주파수 필터 어셈블리를 통해 상기 바이어싱 전극에 전기적으로 결합됨 ― 를 포함하며,
    상기 바이어싱 전극은 상기 지지 베이스와 상기 기판 지지 어셈블리의 기판 지지 표면 사이에 배치되고,
    상기 지지 베이스와 상기 바이어싱 전극 사이에 제1 유전체 층이 배치되고, 그리고
    상기 바이어싱 전극과 상기 기판 지지 표면 사이에 제2 유전체 층이 배치되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 상기 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  3. 제2 항에 있어서,
    상기 제2 시간 인터벌은 상기 일련의 반복 사이클들의 각각의 사이클의 적어도 50%를 차지(account for)하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  4. 제1 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 제1 시간 인터벌의 적어도 일부 동안 포지티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  5. 제1 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    전압 파형 생성기의 출력이 제1 시간 인터벌의 적어도 일부 동안 포지티브 전압 공급부에 연결되고, 그리고
    상기 제2 시간 인터벌은 상기 제1 시간 인터벌보다 더 긴,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  6. 제5 항에 있어서,
    상기 제1 시간 인터벌은 상기 일련의 반복 사이클들의 각각의 사이클의 약 15% 미만을 차지하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    상기 제2 유전체 층은 유한 저항률을 갖는 재료를 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  8. 제1 항에 있어서,
    상기 제2 유전체 층은 약 0.1mm 내지 약 2mm의 두께를 갖는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  9. 제1 항에 있어서,
    상기 제1 라디오 주파수 필터 어셈블리를 통해 바이어싱 전극에 결합된 척킹 모듈을 사용하여 상기 기판과 상기 바이어싱 전극 사이에 전압 강하를 설정함으로써 상기 기판을 상기 기판 지지 어셈블리에 척킹하는 단계, 및
    상기 제1 펄스 전압 파형 생성기의 출력을 상기 척킹 모듈에 결합하는 제1 생성기 출력 결합 어셈블리를 더 포함하며,
    상기 제1 생성기 출력 결합 어셈블리는 상기 제1 생성기 출력 결합 어셈블리와 상기 바이어싱 전극 사이에 배치되는 차단 커패시터에 결합되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  10. 제1 항에 있어서,
    (a) 제1 시간 기간 동안, 상기 바이어싱 전극에서의 펄스 전압 파형들의 제1 버스트를 설정하는 단계 ― 상기 펄스 전압 파형들의 제1 버스트는 상기 제1 펄스 전압 파형을 포함함 ―;
    (b) 제2 시간 기간 동안, 상기 펄스 전압 파형들의 제1 버스트를 설정하는 단계를 중단(halting)하는 단계; 및
    상기 (a) 단계 및 상기 (b) 단계를 적어도 1회 이상 반복하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  11. 제10 항에 있어서,
    (c) 제3 시간 기간 동안, 상기 바이어싱 전극에서의 펄스 전압 파형들의 제2 버스트를 설정하는 단계 ― 상기 펄스 전압 파형들의 제2 버스트는 상기 제1 펄스 전압 파형과 상이한 제2 펄스 전압 파형을 포함함 ―;
    (d) 제4 시간 기간 동안, 상기 펄스 전압 파형들의 제2 버스트를 설정하는 단계를 중단하는 단계; 및
    상기 (c) 단계 및 상기 (d) 단계를 적어도 1회 이상 반복하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  12. 제11 항에 있어서,
    상기 (a) 단계, 상기 (b) 단계, 상기 (c) 단계 및 상기 (d) 단계를 적어도 1회 이상 순차적으로 반복하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  13. 제10 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 상기 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  14. 제10 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 제1 시간 인터벌의 적어도 일부 동안 포지티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  15. 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    라디오 주파수 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 지지 베이스에 라디오 주파수 신호를 전달하는 단계 ― 상기 라디오 주파수 생성기는 펄스 전압 필터 어셈블리를 통해 상기 지지 베이스에 전기적으로 결합됨 ―;
    제1 펄스 전압 파형 생성기의 사용에 의해, 상기 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서의 제1 펄스 전압 파형을 설정하는 단계 ― 상기 제1 펄스 전압 파형 생성기는 제1 라디오 주파수 필터 어셈블리를 통해 상기 바이어싱 전극에 전기적으로 결합됨 ―; 및
    제2 펄스 전압 파형 생성기의 사용에 의해, 상기 기판 지지 어셈블리 내에 배치된 에지 제어 전극에서의 제2 펄스 전압 파형을 설정하는 단계 ― 상기 제2 펄스 전압 파형 생성기는 제2 라디오 주파수 필터 어셈블리를 통해 상기 에지 제어 전극에 전기적으로 결합됨 ― 를 포함하며,
    상기 바이어싱 전극은 상기 지지 베이스와 상기 기판 지지 어셈블리의 기판 지지 표면 사이에 배치되고,
    상기 지지 베이스와 상기 바이어싱 전극 사이에 제1 유전체 층이 배치되고,
    상기 바이어싱 전극과 상기 기판 지지 표면 사이에 제2 유전체 층이 배치되고, 그리고
    상기 에지 제어 전극은 상기 바이어싱 전극의 적어도 일부를 둘러싸는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  16. 제15 항에 있어서,
    상기 제1 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 상기 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  17. 제16 항에 있어서,
    상기 제2 펄스 전압 파형은 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 상기 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  18. 제15 항에 있어서,
    (a) 제1 시간 기간 동안, 상기 바이어싱 전극에서의 펄스 전압 파형들의 제1 버스트를 설정하는 단계 ― 상기 제1 버스트의 펄스 전압 파형들은 상기 제1 펄스 전압 파형을 포함함 ―;
    (b) 제2 시간 기간 동안, 상기 펄스 전압 파형들의 제1 버스트를 설정하는 단계를 중단하는 단계; 및
    상기 (a) 단계 및 상기 (b) 단계를 적어도 1회 이상 반복하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  19. 제18 항에 있어서,
    상기 제1 펄스 전압 파형 및 상기 제2 펄스 전압 파형은 각각 일련의 반복 사이클들을 포함하여,
    각각의 사이클 내의 파형은 제1 시간 인터벌 동안 발생하는 제1 부분 및 제2 시간 인터벌 동안 발생하는 제2 부분을 갖고,
    포지티브 전압 펄스가 상기 제1 시간 인터벌의 적어도 일부 동안에만 존재하고,
    상기 제1 펄스 전압 파형 생성기의 출력이 상기 제2 시간 인터벌의 적어도 일부 동안 네거티브 전압 공급부에 연결되고, 그리고
    상기 펄스 전압 파형은 상기 제2 시간 인터벌의 적어도 일부 동안 실질적으로 일정한,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  20. 제18 항에 있어서,
    (c) 제3 시간 기간 동안, 상기 바이어싱 전극에서의 펄스 전압 파형들의 제2 버스트를 설정하는 단계 ― 상기 펄스 전압 파형들의 제2 버스트는 상기 제1 펄스 전압 파형과 상이한 제2 펄스 전압 파형을 포함함 ―;
    (d) 제4 시간 기간 동안, 상기 펄스 전압 파형들의 제2 버스트를 설정하는 단계를 중단하는 단계; 및
    상기 (c) 단계 및 상기 (d) 단계를 적어도 1회 이상 반복하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
KR1020237007023A 2020-07-31 2021-07-02 펄스 전압 및 라디오 주파수 전력을 사용한 플라즈마 프로세싱 KR20230041816A (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US202063059533P 2020-07-31 2020-07-31
US63/059,533 2020-07-31
US202163150529P 2021-02-17 2021-02-17
US63/150,529 2021-02-17
US17/315,256 US11462388B2 (en) 2020-07-31 2021-05-07 Plasma processing assembly using pulsed-voltage and radio-frequency power
US17/315,259 US11462389B2 (en) 2020-07-31 2021-05-07 Pulsed-voltage hardware assembly for use in a plasma processing system
US17/315,259 2021-05-07
US17/315,234 US11848176B2 (en) 2020-07-31 2021-05-07 Plasma processing using pulsed-voltage and radio-frequency power
US17/315,256 2021-05-07
US17/315,234 2021-05-07
PCT/US2021/040380 WO2022026127A1 (en) 2020-07-31 2021-07-02 Plasma processing using pulsed-voltage and radio-frequency power

Publications (1)

Publication Number Publication Date
KR20230041816A true KR20230041816A (ko) 2023-03-24

Family

ID=80003484

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237007023A KR20230041816A (ko) 2020-07-31 2021-07-02 펄스 전압 및 라디오 주파수 전력을 사용한 플라즈마 프로세싱

Country Status (6)

Country Link
US (5) US11848176B2 (ko)
JP (1) JP2023536807A (ko)
KR (1) KR20230041816A (ko)
CN (1) CN115605973A (ko)
TW (1) TW202221756A (ko)
WO (1) WO2022026127A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN111970137B (zh) * 2019-05-20 2022-04-05 华为技术有限公司 Tsn中控制器间通信的方法、装置及系统
JP7458287B2 (ja) * 2020-10-06 2024-03-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2023061727A (ja) * 2021-10-20 2023-05-02 東京エレクトロン株式会社 プラズマ処理装置
WO2023069633A1 (en) * 2021-10-21 2023-04-27 Applied Materials, Inc. Plasma processing chambers configured for tunable substrate and edge sheath control
TW202410126A (zh) * 2022-05-17 2024-03-01 美商蘭姆研究公司 利用非正弦電壓源的基板邊緣上方之離子能量分布控制
US20240055244A1 (en) * 2022-08-10 2024-02-15 Applied Materials, Inc. Pulsed voltage compensation for plasma processing applications
US11978613B2 (en) * 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240145215A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Pulsed voltage plasma processing apparatus and method
US20240153741A1 (en) * 2022-11-09 2024-05-09 Applied Materials, Inc. Multi-shape voltage pulse trains for uniformity and etch profile tuning
US20240177969A1 (en) * 2022-11-28 2024-05-30 Applied Materials, Inc. Solid-state switch based high-speed pulser with plasma iedf modification capability through multilevel output functionality
US20240177968A1 (en) * 2022-11-29 2024-05-30 Applied Materials, Inc. System and methods for implementing a micro pulsing scheme using dual independent pulsers
US20240186121A1 (en) * 2022-12-06 2024-06-06 Applied Materials, Inc. Thermal choke plate
DE102023101847A1 (de) 2023-01-25 2024-07-25 TRUMPF Hüttinger GmbH + Co. KG Verbindungsanordnung, Plasmaprozessstromversorgungsystem, Plasmaprozesssystem sowie ein Verfahren zum Betreiben eines Plasmaprozesses

Family Cites Families (583)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
SE9804417L (sv) 1998-12-18 1999-12-20 Foersvarets Forskningsanstalt Ett SAR-radarsystem
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002052628A1 (fr) 2000-12-26 2002-07-04 Tokyo Electron Limited Procede et appareil de traitement au plasma
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ES2208530T3 (es) 2001-04-27 2004-06-16 European Community Metodo y aparato para el tratamiento secuencial por plasma.
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
WO2005052606A1 (ja) 2003-11-28 2005-06-09 Advantest Corporation デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
CN102256431B (zh) 2004-06-21 2014-09-17 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20080107473A (ko) 2004-11-04 2008-12-10 가부시키가이샤 알박 정전 척 장치
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US9355824B2 (en) 2006-12-12 2016-05-31 Evatec Ag Arc suppression and pulsing in high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
WO2009069670A1 (ja) 2007-11-26 2009-06-04 Tokyo Electron Limited 微小構造体検査装置および微小構造体検査方法
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
KR101538531B1 (ko) 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
CN102474971B (zh) 2009-08-07 2015-03-04 株式会社京三制作所 脉冲调制高频功率控制方法以及脉冲调制高频电源装置
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9592090B2 (en) 2010-03-11 2017-03-14 Medtronic Advanced Energy Llc Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
KR101783077B1 (ko) 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
WO2013114882A1 (ja) 2012-02-01 2013-08-08 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
WO2013125523A1 (ja) 2012-02-20 2013-08-29 東京エレクトロン株式会社 電源システム、プラズマエッチング装置及びプラズマエッチング方法
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
CN107978506B (zh) 2012-08-28 2021-07-09 先进工程解决方案全球控股私人有限公司 控制开关模式离子能量分布系统的方法
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN105555990B (zh) 2013-07-17 2018-01-09 先进能源工业公司 在脉冲双磁控管溅射(dms)工艺中平衡靶消耗的系统和方法
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
EP4210223A1 (en) 2013-11-14 2023-07-12 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102099525B1 (ko) 2014-03-24 2020-04-09 에이이에스 글로벌 홀딩스 피티이 리미티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
JP2015185770A (ja) * 2014-03-25 2015-10-22 株式会社東芝 半導体装置の製造方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
JP6698033B2 (ja) 2014-12-25 2020-05-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
WO2017095890A1 (en) 2015-11-30 2017-06-08 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
WO2018126223A1 (en) 2016-12-30 2018-07-05 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
WO2018183874A1 (en) 2017-03-31 2018-10-04 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
JP6997642B2 (ja) * 2018-01-30 2022-01-17 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061918B2 (ja) * 2018-04-23 2022-05-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
WO2019245729A1 (en) 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
CN112805920A (zh) 2018-08-10 2021-05-14 鹰港科技有限公司 用于rf等离子体反应器的等离子体鞘控制
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
WO2020145051A1 (ja) 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
CN114041203A (zh) 2019-07-02 2022-02-11 鹰港科技有限公司 纳秒脉冲器射频隔离
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11108384B2 (en) 2019-09-25 2021-08-31 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
CN114930488A (zh) 2019-12-24 2022-08-19 鹰港科技有限公司 用于等离子体系统的纳秒脉冲发生器rf隔离
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
TW202221756A (zh) 2022-06-01
US20220037121A1 (en) 2022-02-03
CN115605973A (zh) 2023-01-13
WO2022026127A1 (en) 2022-02-03
US11848176B2 (en) 2023-12-19
US20220037120A1 (en) 2022-02-03
US20240030002A1 (en) 2024-01-25
US11462389B2 (en) 2022-10-04
US11462388B2 (en) 2022-10-04
US20220037119A1 (en) 2022-02-03
JP2023536807A (ja) 2023-08-30
US11776789B2 (en) 2023-10-03
US20230030927A1 (en) 2023-02-02

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
CN110473762B (zh) 通过控制离子能量分布来处理基板的方法和处理腔室
JP5745843B2 (ja) 強化された電荷中和及びプロセス制御を具えたプラズマ処理装置
KR20230025486A (ko) 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스
US11476090B1 (en) Voltage pulse time-domain multiplexing
KR20240012554A (ko) 이온 전류 보상의 장치 및 방법
TW202312218A (zh) 具有離子能量控制的電漿激發
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
KR20240015721A (ko) 플라즈마 프로세싱 챔버에서 피처 대전을 감소시키기 위한 방법 및 장치
JP6114262B2 (ja) 低圧法用のプラズマ浸漬モードにおけるイオン注入装置
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法
KR20230026484A (ko) 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법
TW202429957A (zh) 處理基板的處理腔室與方法