KR20220130058A - 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화 - Google Patents

단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화 Download PDF

Info

Publication number
KR20220130058A
KR20220130058A KR1020220114663A KR20220114663A KR20220130058A KR 20220130058 A KR20220130058 A KR 20220130058A KR 1020220114663 A KR1020220114663 A KR 1020220114663A KR 20220114663 A KR20220114663 A KR 20220114663A KR 20220130058 A KR20220130058 A KR 20220130058A
Authority
KR
South Korea
Prior art keywords
chamber
plasma
reaction chamber
processing
substrate
Prior art date
Application number
KR1020220114663A
Other languages
English (en)
Inventor
바드리 엔. 바라다라잔
바오 공
레이첼 이. 뱃저
후아탄 키우
슈라벤디크 바트 제이. 반
제프리 혼
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220130058A publication Critical patent/KR20220130058A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32486Means for reducing recombination coefficient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

본 명세서의 특정한 실시예들은 리모트 플라즈마 프로세싱에 사용된 반응 챔버를 컨디셔닝하는 방법들에 관한 것이다. 본 명세서의 다른 실시예들은 리모트 플라즈마 프로세싱을 위해 사용된 장치에 관한 것이다. 다양한 실시예들에서, 반응 챔버는 내부 챔버 표면들 상에 저 재결합 재료 코팅을 형성함으로써 컨디셔닝된다. 저 재결합 재료는 반응 챔버가 기판들을 프로세싱하기 위해 사용될 때 반응 챔버 내에서 발생하는 라디칼 재결합도를 최소화하는 것을 돕는다. 기판들에 대한 프로세싱 동안, 저 재결합 재료는 (예를 들어, 기판 프로세싱의 부산물과 같은) 상대적으로 보다 높은 재결합 재료로 커버될 수도 있고, 이는 시간에 따라 기판을 프로세싱하는데 이용가능한 라디칼들의 양의 감소를 발생시킨다. 저 재결합 재료 코팅은, 저 재결합 재료 코팅을 개질 (reform) 하도록 작용하는, 산화 플라즈마로의 노출을 통해 재컨디셔닝될 수도 있다. 재컨디셔닝 프로세스는 부가적인 프로세싱이 기판들 상에서 발생함에 따라 주기적으로 일어날 수도 있다.

Description

단속적 재생 플라즈마를 사용하는 ALD 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화{MINIMIZING RADICAL RECOMBINATION USING ALD SILICON OXIDE SURFACE COATING WITH INTERMITTENT RESTORATION PLASMA}
반도체 프로세싱은 통상적으로 특수화된 반도체 프로세싱 장치 내에서 발생한다. 장치는 종종, 프로세싱 동안 기판을 하우징하는 반응 챔버를 포함한다. 반응 챔버는 또한 반도체 제조 프로세스들을 완수하기 위해 다양한 하드웨어 부분들 (예를 들어, 기판 지지부, 샤워헤드, 등) 을 통상적으로 포함한다. 일부 경우들에서, 반응 챔버는 기판들을 프로세싱하기 위에 사용되기 전에 처리될 수도 있다. 반응 챔버 처리는 다수의 상이한 형태들을 취할 수도 있고, 다양한 이유들로 수행될 수도 있다.
본 명세서의 특정한 실시예들은 반응 챔버를 컨디셔닝하는 방법들에 관련된다. 본 명세서의 특정한 다른 실시예들은 반응 챔버를 컨디셔닝하도록 구성된 장치에 관련된다. 반응 챔버는 리모트 플라즈마 프로세싱을 사용하여 기판들을 프로세싱하기 위해 사용될 수도 있다. 다양한 실시예들에서, 반응 챔버를 컨디셔닝하는 것은 저 라디칼 재결합도를 촉진하는 재료로 챔버 내부 표면들을 코팅하는 것을 수반한다. 챔버는 또한 저 재결합 재료를 개질 (reform) 하기 위해 주기적으로 재컨디셔닝될 수도 있다.
본 명세서의 실시예들의 일 양태에서, 리모트 플라즈마 프로세싱을 수행하기 위해 사용된 반응 챔버를 컨디셔닝하기 위한 방법이 제공되고, 방법은, 반응 챔버 내에 기판이 존재하지 않는 동안 ALD (atomic layer deposition) 프로세스를 통해 반응 챔버 내에서 노출된 표면들 상에 저 재결합 재료 코팅을 형성하는 단계; 및 하나 이상의 기판들 상에 리모트 플라즈마 동작을 수행한 후, 반응 챔버 내에서 노출된 표면들을 재컨디셔닝하여 저 재결합 재료 코팅을 개질하도록 반응 챔버를 산화 플라즈마에 노출시키는 단계를 포함한다.
방법은, 저 재결합 재료 코팅을 형성한 후, 반응 챔버 내에서 하나 이상의 기판들을 프로세싱하기 위해 리모트 플라즈마 동작을 수행하는 단계를 더 포함하고, 리모트 플라즈마 동작은 반응 챔버 내에서 노출된 표면들의 적어도 일부 상에 제 2 코팅의 형성을 발생시키고, 제 2 코팅은 리모트 플라즈마 동작 동안 저 재결합 재료 코팅보다 보다 높은 라디칼 재조합 레이트를 촉진한다. 다양한 경우들에서, 반응 챔버를 산화 플라즈마에 노출시키는 단계는 저 재결합 재료 코팅을 개질하도록 제 2 코팅을 개질한다.
일부 실시예들에서, 반응 챔버는 약 0.05 내지 5 초의 지속 기간 동안 산화 플라즈마에 노출된다. 일부 이러한 경우들에서, 지속 기간은 약 0.1 내지 1 초일 수도 있다.
상기 반응 챔버 내에서 노출된 표면들 상에 저 재결합 재료 코팅을 형성하기 위한 ALD 프로세스는, (a) 제 1 반응물질을 반응 챔버 내로 흘리고 제 1 반응물질로 하여금 반응 챔버 내에서 노출된 표면들 상에 흡착되게 하는 단계; (b) 반응 챔버로부터 제 1 반응물질을 퍼지하는 단계; (c) 제 2 반응물질을 반응 챔버 내로 흘리는 단계; (d) 반응 챔버 내에서 노출된 표면들 상에 저 재결합 재료 코팅을 형성하기 위해 제 1 반응물질과 제 2 반응물질 간의 표면 반응을 구동하도록 반응 챔버 내에서 노출된 표면들을 플라즈마에 노출시키는 단계; 및 (e) 저 재결합 재료 코팅이 최종 코팅 두께에 도달할 때까지 단계 (a) 내지 (d) 를 반복하는 단계를 포함할 수도 있다. 반응 챔버 내에서 기판 지지부 상의 저 재결합 재료 코팅의 평균 두께로 측정될 때, 저 재결합 재료 코팅의 최종 코팅 두께는 적어도 약 50 Å일 수도 있다.
다양한 실시예들에서, 저 재결합 재료 코팅은 실리콘 옥사이드를 포함한다. 일부 이러한 실시예들에서, 리모트 플라즈마 동작을 수행하는 단계는 하나 이상의 기판들 상에 실리콘 함유 막을 증착하는 단계를 포함하고, 그리고 제 2 코팅은 실리콘 함유 재료를 포함한다.
플라즈마는 다수의 상이한 방식들로 생성될 수도 있다. 일부 실시예들에서, 제 1 반응물질 및 제 2 반응물질 중 적어도 하나는 리모트 플라즈마 챔버로부터 반응 챔버 내로 흐르고, 리모트 플라즈마 챔버는 프로세싱 챔버에 인접하게 위치되고 샤워헤드에 의해 프로세싱 챔버로부터 분리된다. 예를 들어, 제 1 반응물질 및 제 2 반응물질 양자는 리모트 플라즈마 챔버로부터 반응 챔버 내로 흐를 수도 있고, 산화 플라즈마는 리모트 플라즈마 챔버 내에서 생성될 수도 있고 샤워헤드를 통해 프로세싱 챔버 내로 흐를 수도 있다. 일부 다른 실시예들에서, 산화 플라즈마는 반응 챔버 내에서 인시츄 생성된다.
리모트 플라즈마 동작은 다수의 단계들을 포함할 수도 있다. 예를 들어, 리모트 플라즈마 동작을 수행하는 단계는, 반응 챔버 내에 하나 이상의 기판들 중 하나를 제공하는 단계; 실리콘 함유 반응물질을 인시츄 플라즈마에 노출시키지 않고 반응 챔버 내로 실리콘 함유 반응물질을 흘리는 단계; 리모트 플라즈마 챔버 내에서 수소 플라즈마를 생성하는 단계 및 실리콘 함유 반응물질이 반응 챔버 내로 흐르는 동안 수소 플라즈마로 하여금 반응 챔버 내로 샤워헤드를 통과하게 하는 단계로서, 리모트 플라즈마 챔버는 반응 챔버에 인접하게 위치되고 샤워헤드에 의해 반응 챔버로부터 분리되는, 수소 플라즈마를 생성하고 수소 플라즈마로 하여금 샤워헤드를 통과하게 하는 단계; 및 기판 상에 실리콘 함유 막을 증착하도록 기판을 실리콘 함유 반응물질 및 수소 플라즈마에 동시에 노출시키는 단계를 포함할 수도 있다.
반응 챔버는 챔버가 산화 플라즈마에 노출될 때 기판을 포함하거나 포함하지 않을 수도 있다. 일부 실시예들에서, 반응 챔버가 산화 플라즈마에 노출될 때 하나 이상의 기판들이 반응 챔버 내에 존재한다. 다른 실시예들에서, 챔버를 산화 플라즈마에 노출시키기 전에 기판은 제거된다.
일부 실시예들에서, 방법은 기판이 반응 챔버 내에 있는 동안 반응 챔버를 산화 플라즈마에 노출시키는 단계 후, 반응 챔버 내의 기판을 더 프로세싱하기 위해 제 2 리모트 플라즈마 동작을 수행하는 단계로서, 제 2 리모트 플라즈마 동작은 다시 제 2 코팅의 형성을 발생시키는, 제 2 리모트 플라즈마 동작을 수행하는 단계; 및 제 2 리모트 플라즈마 동작을 수행한 후, 저 재결합 재료 코팅을 개질하도록 제 2 코팅을 개질하기 위해 반응 챔버 내에서 노출된 표면들을 다시 재컨디셔닝하도록 기판이 반응 챔버 내에 존재하는 동안 반응 챔버를 제 2 산화 플라즈마에 노출시키는 단계를 더 포함할 수도 있다.
일부 경우들에서 방법은 순환적 방식으로 수행될 수도 있다. 예를 들어, 방법은 (a) 하나 이상의 기판들에 대해 리모트 플라즈마 동작을 수행하는 단계 및 (b) 반응 챔버 내에서 노출된 표면들을 재컨디셔닝하도록 반응 챔버를 산화 플라즈마에 노출시키는 단계의 단계들을 순환적으로 반복하는 단계를 포함할 수도 있고, 단계 (b) 의 반복 각각 사이에서 약 1 내지 50 개의 기판들이 단계 (a) 에서 프로세싱된다.
기판들의 배치 (batch) 가 프로세싱된 후, 반응 챔버 내에서 노출된 표면들 상에 존재하는 모든 저 재결합 재료 코팅 및 제 2 코팅을 제거하도록 반응 챔버가 세정될 수도 있고, 세정은 반응 챔버를 불소 함유 플라즈마에 노출시키는 것을 포함한다. 세정 후에, 방법이 반복될 수도 있다.
개시된 실시예들의 또 다른 양태에서, 기판을 프로세싱하기 위한 리모트 플라즈마 프로세싱 장치가 제공되고, 장치는, 챔버 내부 표면들, 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부, 및 반응 챔버로부터 재료를 제거하기 위한 배기 포트를 포함하는, 반응 챔버; 리모트 플라즈마 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기, 리모트 플라즈마 챔버로 가스를 전달하기 위한 유입부, 및 리모트 플라즈마 챔버 내에서 생성된 플라즈마를 반응 챔버로 제공하기 위한 유출부를 포함하는, 리모트 플라즈마 챔버; 및 반응 챔버 내에 기판이 없는 동안 ALD 프로세스를 통해 반응 챔버의 챔버 내부 표면들 상에 저 재결합 재료 코팅을 형성하기 위한 인스트럭션, 및 기판에 대한 리모트 플라즈마 동작을 수행한 후, 챔버 내부 표면들을 재컨디셔닝하여 저 재결합 재료 코팅을 개질하도록 반응 챔버를 산화 플라즈마에 노출시키기 위한 인스트럭션을 갖는, 제어기를 포함한다.
제어기는 저 재결합 재료 코팅을 형성한 후, 기판을 반응 챔버 내에 제공하기 위한 인스트럭션, 및 리모트 플라즈마 챔버 내에서 플라즈마를 생성하고, 리모트 플라즈마 동작을 수행하도록 플라즈마를 반응 챔버로 제공하기 위한 인스트럭션을 더 가질 수도 있고, 리모트 플라즈마 동작은 챔버 내부 표면들의 적어도 일부 상에 제 2 코팅의 형성을 발생시키고, 제 2 코팅은 리모트 플라즈마 동작 동안 저 재결합 재료 코팅보다 보다 높은 라디칼 재결합 레이트를 촉진하고, 그리고 반응 챔버를 산화 플라즈마에 노출시키는 것은 저 재결합 재료 코팅을 개질하도록 제 2 코팅을 개질한다.
다양한 실시예들에서, 리모트 플라즈마 챔버의 유출부는 리모트 플라즈마 챔버를 반응 챔버로부터 분리하는 샤워헤드이다.
상기 반응 챔버를 산화 플라즈마에 노출시키기 위한 인스트럭션들은 반응 챔버를 약 0.05 내지 5 초의 지속 기간 동안 산화 플라즈마에 노출시키기 위한 인스트럭션들을 포함할 수도 있다. ALD 프로세스를 통해 반응 챔버의 챔버 내부 표면들 상에 저 재결합 재료 코팅을 형성하기 위한 인스트럭션들은, 일부 실시예들에서, (a) 제 1 반응물질을 반응 챔버 내로 흘리고 제 1 반응물질로 하여금 반응 챔버 내에서 노출된 표면들 상에 흡착하게 하기 위한 인스트럭션; (b) 반응 챔버로부터 제 1 반응물질을 퍼지하기 위한 인스트럭션; (c) 제 2 반응물질을 반응 챔버 내로 흘리기 위한 인스트럭션; (d) 반응 챔버 내에서 노출된 표면들 상에 저 재결합 재료 코팅을 형성하도록 제 1 반응물질과 제 2 반응물질 간의 표면 반응을 구동하도록 반응 챔버 내에서 노출된 표면들을 플라즈마에 노출시키기 위한 인스트럭션; 및 (e) 저 재결합 재료 코팅이 최종 코팅 두께에 도달할 때까지 인스트럭션 (a) 내지 (d) 를 반복하기 위한 인스트럭션을 포함할 수도 있다. 다양한 실시예들에서, 최종 코팅 두께는 기판 지지부 상에 증착된 평균 두께에 기초하여 측정될 때 적어도 약 50 Å이다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1은 리모트 플라즈마를 사용하여 기판을 프로세싱하기 위한 반응 챔버의 간략화된 도면을 예시한다.
도 2는 내부 표면들을 커버하는 저 재결합 재료 코팅을 갖는 도 1의 반응 챔버를 도시한다.
도 3은 ALD - 타입 반응을 사용하여 챔버 내부 표면들 상에 저 재결합 재료 코팅을 형성하는 방법을 기술하는 흐름도이다.
도 4a 및 도 4b는 저 재결합 재료 코팅이 재컨디셔닝되기 전 (도 4a) 또는 저 재결합 재료 코팅이 재컨디셔닝된 후 (도 4b) 반응 챔버에서 프로세싱된 기판들에 대한 막 두께 프로파일들을 도시한다.
관련 출원에 대한 교차 참조
본 출원은 본 명세서에 참조로서 전체가 인용된, 2015년 3월 26일 출원된 명칭이 "MINIMIZING RADICAL RECOMBINATION"인, 미국 특허 가 출원 번호 제 62/138,810 호의 이익을 주장한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 달리 언급되지 않는 한, 본 명세서에 언급된 프로세싱 상세들 (예를 들어, 플로우 레이트들, 전력 레벨들, 등) 은 300 ㎜ 직경 기판들을 프로세싱하기 위해 또는 300 ㎜ 직경 기판들을 프로세싱하도록 구성된 챔버들을 처리하기 위한 것과 관련되고, 다른 사이즈들의 기판들 또는 챔버들에 대해 적절하게 스케일링될 수 있다. 본 명세서에 기술된 챔버들은 다양한 형상들, 사이즈들, 및 재료들일 수도 있는 워크피스들을 프로세싱하기 위해 사용될 수도 있다. 반도체 웨이퍼들에 더하여, 특정한 실시예들에 따라 준비된 챔버들 내에서 프로세싱될 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 제공된 실시예들의 완전한 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
다양한 반도체 제조 프로세스들은 리모트 플라즈마의 사용을 수반한다. 리모트 플라즈마는 일 위치에서 생성되고, 프로세싱이 일어나는 제 2 위치로 전달되는 플라즈마이고, 제 2 위치는 제 1 위치로부터 분리된다. 예를 들어, 리모트 플라즈마는 반응 챔버와 연결된 플라즈마 소스에서 발생될 수도 있고, 플라즈마는 프로세싱 동안 플라즈마 소스로부터 반응 챔버로 전달된다. 일부 프로세스들에서 리모트 플라즈마들이 바람직한 일 이유는, 리모트 플라즈마들은 프로세싱 챔버 내에서 바로 생성된 플라즈마와 비교하여, 상대적으로 높은 농도의 라디칼들 및 상대적으로 낮은 농도의 이온들을 제공할 수 있어서이다. 따라서, 프로세싱이 라디칼들에 의해 일어나는 것이 목표될 때 리모트 플라즈마를 사용하는 것이 특히 유용하다.
리모트 플라즈마 프로세싱을 위해 사용된 반응 챔버뿐만 아니라 리모트 플라즈마 프로세싱을 위해 사용된 장치를 컨디셔닝하는 방법이 본 명세서에 개시된다. 라디칼 기반 프로세스들의 예들은 증착 프로세스들, 에칭 프로세스들, 및 처리 프로세스들을 포함한다. 특정한 예에서, 라디칼 기반 프로세스는 실리콘 카바이드, 실리콘 니트로카바이드, 또는 실리콘 옥시카바이드 및 이들의 조합 (예를 들어, 실리콘 옥시 카보 나이트라이드) 과 같은 실리콘 함유 막을 증착하기 위한 수소-라디칼-기반 프로세스이다. 일부 실시예들에서, 증착 프로세스는 수소 라디칼 기반 증착 프로세스이고, 이하에 더 기술된다.
다양한 리모트 플라즈마 프로세스들을 사용하여 직면하게 되는 일 문제는 라디칼 재결합이다. 라디칼들이 기판에 도달하기 전에 재결합하면, 이러한 라디칼들은 더이상 기판 상의 프로세싱을 위해 이용가능하지 않다. 특정한 라디칼들은 다른 라디칼들보다 보다 큰 정도로 이 문제를 경험한다. 예를 들어, 수소 라디칼들은 대부분의 재료들에 대해 매우 높은 재결합 레이트들을 갖는다. 그 결과는 원격으로 생성된 수소 라디칼들을 사용하여 기판을 프로세싱할 때, 이러한 라디칼들은 종종, 플라즈마 소스와 반응 챔버 사이의 표면들 상 및/또는 반응 챔버의 표면들 상에서 재결합하고, 이는 가스 상 또는 기판 상에서 어떠한 유용한 프로세싱도 수행할 수 없는 라디칼들을 남기는 것이다.
라디칼 재결합은 챔버 세정이 바로 이어질 때 특히 문제가 된다. 다양한 구현예들에서, 반도체 기판들은 배치 내에서 순차적으로 프로세싱된다. 일 배치는 순차적인 챔버 세정 동작들 사이에서 동일한 반응 챔버 내에서 프로세싱된 기판들의 그룹을 포함한다. 일 예에서, 반응 챔버가 세정되고, 이어서 100 개의 기판들이 반응 챔버 내에서 프로세싱되고 (예를 들어, 개별적으로, 또는 장치가 한번에 반응 챔버 내에서 2 개 이상의 기판을 프로세싱하기 위해 복수의 스테이션들을 구비한다면 한번에 2 개 이상), 이어서 반응 챔버는 다시 세정된다. 100 개의 기판들은 단일 배치이다. 본 명세서의 일부 실시예들에서, 배치는 약 100 내지 3000 개의 기판들을 포함한다. 배치 사이즈는 기판 각각 상에 증착된 막의 두께에 적어도 부분적으로 의존한다. 배치 사이즈는 챔버 표면들 상에서 축적이 증가함에 따라 증착된 막의 불균일성을 증가시킴으로써 주로 제한되거나 축적이 증가함에 따라 디펙트들 (입자들) 에서 증가한다. 최적의 배치 사이즈는 또한 증착되는 막 및 챔버 벽들 또는 기판 상에 얼마나 많은 막 재료가 증착되는지에 영향을 받는다. 많은 경우들에서 챔버는 페데스탈보다 보다 저온이기 때문에, 저온에서 상대적으로 보다 많이 증착되는 막은 이들 표면들 상에서 매우 신속하게 성장할 것이고, 결국 입자 문제들을 유발한다. 반대로, 저온에서 상대적으로 보다 낮은 레이트들에서 증착되는 막들에 대해, 입자 이슈들이 문제가 되기 전에 훨씬 보다 많은 웨이퍼들을 용이하게 실행할 수 있다.
챔버는 일부 실시예들에서 (배어 (bare) 챔버 벽들, 예를 들어, 배어 알루미늄 벽들을 남기면서) 불소계 화학물질로 세정될 수도 있다. 챔버가 세정된 후, 기판에 도달하도록 살아남는 라디칼들의 수는 매우 낮고, 기판은 목표된 대로 프로세싱될 수 없다.
저 라디칼 재결합 표면 코팅
본 명세서에 개시된 방법들의 구현예들은 관련된 라디칼들에 대한 저 재결합 레이트를 나타내는 재료를 사용하여 챔버의 관련된 표면들을 코팅하는 것을 수반한다. 예를 들어, 특정한 실시예들에서 챔버 내에서 기판들을 프로세싱하기 위해 사용된 라디칼들은 챔버 표면들 상에 코팅된 재료 상에서 약 5×10-4 이하 (예를 들어 1×10-4 이하) 인 재결합 확률을 갖는다. 예를 들어, 실리콘 옥사이드 코팅된 표면과 상호작용하는 수소 라디칼들은 약 4×10-5의 재결합 확률을 나타낸다.
수소 라디칼들의 맥락에서, 저 재결합 레이트를 촉진하는 일 재료는 실리콘 옥사이드 (SiO2) 이다. 실리콘 옥사이드는 또한 다른 라디칼들을 사용하여 저 라디칼 재결합 레이트를 촉진할 수도 있다. 이와 같이, 본 명세서의 다양한 실시예들에서, 관련된 표면들은 관련된 표면들 상에서 수소 라디칼 (또는 다른 라디칼) 재결합의 저 레이트를 유지하는 것을 돕도록 실리콘 옥사이드를 사용하여 코팅된다. 관련된 표면들은, 예를 들어, 반응 챔버 내의 표면들, 반응 챔버와 리모트 플라즈마 소스 사이의 표면들, 및 리모트 플라즈마 소스 내의 표면들을 포함할 수도 있다. 코팅될 수도 있는 반응 챔버 내 또는 근방의 표면들은 예를 들어, 기판 지지부/페데스탈, 챔버 벽들/천정/플로어, 샤워헤드, 샤워헤드 홀들, 유입부들, 유출부들, 등을 포함한다. 특정한 실시예들에서 저 레이트의 라디칼 재결합을 촉진할 수도 있는 다른 재료들의 예들은 이하에 열거된다.
도 1은 수소 라디칼 기반 증착 프로세스와 같은 특정한 라디칼 기반 프로세스들을 위해 사용될 수도 있는 장치의 간략화된 도면을 제공한다. 다른 라디칼 기반 프로세스들 및 반응 챔버들이 또한 특정한 실시예들에 따라 사용될 수도 있다. 프로세싱 챔버 (102) 는 챔버 벽들 (103), 챔버 플로어 (104), 및 챔버 천정 (105) 를 포함한다. 프로세싱 챔버 (102) 내부에 기판 지지부 (106) 가 있고, 그 상부에 기판 (107) 이 놓인다. 프로세싱 챔버 (102) 는 또한 유입부 (108) 및 배기 유출부 (109) 를 포함한다. 리모트 플라즈마 소스 (110) 는 프로세싱 챔버 (102) 위에 제공된다. 리모트 플라즈마 소스 (110) 는 리모트 플라즈마 소스 내에서 플라즈마를 생성하기 위한 플라즈마 생성기 (미도시) 를 포함한다. 플라즈마 생성기는, 유도 커플링 플라즈마, 용량 커플링 플라즈마, 마이크로파 커플링 플라즈마, 등일 수도 있는, 플라즈마를 생성하기 위한 하드웨어 (예를 들어, 코일들, 전극들, 등) 를 포함한다. 리모트 플라즈마 소스 (110) 는 복수의 샤워헤드 홀들 (112) 을 갖는 샤워헤드 (111) 에 의해 프로세싱 챔버 (102) 로부터 분리된다. 리모트 플라즈마 소스 (110) 는 리모트 플라즈마를 생성하기 위해 사용된 가스를 제공하기 위한 유입부 (113) 를 갖는다.
도 2는 저 재결합 재료 코팅 (220) 으로 코팅된 후 도 1에 도시된 장치를 예시한다. 기판 (107) 은 이 도면에서 도시되지 않고, 저 재결합 재료 코팅 (220) 은 예시를 목적으로 두께가 과장되었다. 또한, 저 재결합 재료 코팅 (220) 은 샤워헤드 홀들 (112) 의 내부 표면들과 같은, 도 2에서 보이지 않는 영역들에 존재할 수도 있다. 일부 실시예들에서, 저 재결합 재료 코팅 (220) 은 프로세싱 챔버 (102) 의 내부에 있는 표면들만을 커버한다. 다른 실시예들에서, 저 재결합 재료 코팅 (220) 은 또한 상기 언급된 바와 같이, 리모트 플라즈마 소스 (110) 내부 표면들, 및/또는 샤워헤드 표면들을 커버한다.
본 명세서의 많은 실시예들은 실리콘 옥사이드 저 재결합 재료의 맥락에서 제공되었다. 실리콘 옥사이드는 수소 라디칼들의 재결합을 최소화하는 매우 효과적인 재료이다. 그러나, 실리콘 옥사이드 이외의 재료들이 또한 특정한 구현예들에서 사용될 수도 있다. 선택된 재료는 사용될 특정한 라디칼들과의 저 레이트 라디칼 재결합을 촉진하는 재료여야 한다. 또한, 선택된 재료는 예를 들어 본 명세서에 기술된 바와 같이 ALD 방법들을 사용하여 반응 챔버의 표면들 위에 컨포멀하게 형성될 수 있는, 재료여야 한다. 다양한 경우들에서 저 재결합 재료는 옥사이드이다. 저 재결합 재료로서 유용할 수도 있는 실리콘 옥사이드 이외의 재료들의 예들은 이로 제한되는 것은 아니지만, 지르코늄 옥사이드, 알루미늄 옥사이드, 하프늄 옥사이드, 이트륨 옥사이드, YSZ (yttria-stabilized zirconia), 및 이들의 조합들을 포함한다.
저 재결합 재료 코팅의 형성
저 재결합 재료 코팅은 증착이 목표되는 모든 표면들 상에 저 재결합 재료의 증착을 발생시키는 방식으로 형성될 수도 있다. 이 목적에 특히 적합한 일 방법은 ALD이다. ALD 방법들은 노출된 표면들 위에 매우 균일한/컨포멀한 막들을 증착하는 순환적 프로세스들이다.
도 3은 반응 챔버의 표면들 상에 저 재결합 재료 코팅을 형성하는 방법을 위한 흐름도이다. 방법은 도 1 및 도 2에 도시된 장치의 맥락에서 제공된다. 방법은 제 1 반응물질이 프로세싱 챔버 (102) 내로 흐르는, 동작 301에서 시작된다. 제 1 반응물질은 유입부 (108) 를 통해 프로세싱 챔버 (102) 로, 또는 유입부 (113) 를 통해 리모트 플라즈마 소스 (110) 로 흐를 수도 있다. 유입부 (113) 를 통해 리모트 플라즈마 소스 (110) 로 반응물질들을 흘리는 것은 리모트 플라즈마 소스 (110) 내에서 표면들 상 및 샤워헤드 홀들 (112) 의 내부 표면들 상에서 저 재결합 재료 코팅 (220) 을 촉진한다. 제 1 반응물질이 유입부 (108) 를 통해 프로세싱 챔버 (102) 내로 흐르는 특정한 경우들에서, 제 1 반응물질이 전달되는 동안 리모트 플라즈마 소스 (110) 를 통해 가스가 흐르지 않는다. 제 1 반응물질이 어디로 전달되는지와 무관하게, 제 1 반응물질은 가스 형태로 전달되고, 플라즈마는 존재하지 않는다. 제 1 반응물질은 챔버 벽들 (103), 챔버 플로어 (104), 챔버 천정 (105), 및 기판 지지부 (106) 와 같은 프로세싱 챔버 (102) 의 표면들 상에 흡착한다. 제 1 반응물질이 흡착하는 부가적인 표면들은 샤워헤드 (111) 의 표면들, 및 리모트 플라즈마 소스 (110) 내의 표면들을 포함할 수도 있다. 제 1 전구체의 흡착 및 포화는 배기 밸브들 (미도시) 을 폐쇄하고 프로세싱 챔버 내의 압력이 제 1 반응물질의 전달에 의해 약간 상승하게 함으로써 촉진될 수도 있다.
저 재결합 재료 코팅 (220) 이 실리콘 옥사이드이면, 제 1 반응물질은 통상적으로 실리콘 함유 반응물질이다. 일부 경우들에서 실리콘 함유 반응물질은 실란, 할로실란, 또는 아미노실란이다. 실란은 수소기 및/또는 탄소기를 함유하지만, 할로겐은 함유하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란, sec-부틸실란, 덱실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란, 디-t-부틸디실란, 등과 같은 유기 실란들이다. 할로실란은 적어도 하나의 할로겐기를 함유하고, 수소기 및/또는 탄소기를 함유하거나 함유하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로실란들이 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종들을 형성할 수도 있지만, 본 명세서에 기술된 특정한 실시예들에서, 실리콘 함유 반응물질은 플라즈마가 스트라이킹될 때 존재하지 않는다. 구체적인 클로로실란들은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소, 산소, 할로겐 및 탄소를 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 및 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란들, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, BTBAS (bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2), tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예들은 트리실릴아민 (N(SiH3)3), 디메틸 아미노 디메틸 실란, 비스(디메틸아미노) 디메틸 실란, 비스(디메틸아미노) 메틸 실란, 디메틸아미노 트리메틸 실란, 트리스(디메틸아미노)실란, 및 비스(디에틸아미노) 실란을 포함한다. 이들 반응물질들의 조합들이 또한 일부 경우들에서 사용될 수도 있다.
저 재결합 재료가 금속 옥사이드이면, 일부 경우들에서, 제 1 반응물질은 금속 알킬아민, 금속 알콕사이드, 금속 알킬아미드, 금속 할라이드, 금속 ß-디케토네이트, 금속 카르보닐, 유기 금속, 등일 수도 있다. 적절한 금속 함유 전구체들은 막 내로 통합되도록 목표된 금속을 포함할 것이다. 예를 들어, 저 재결합 재료가 알루미늄 옥사이드이면, 제 1 반응물질은 무엇보다도, 알루미늄 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트) ((Al(OCC(CH3)3CHCOC(CH3)3)3), 트리이소부틸알루미늄 ([(CH3)2CHCH2]3Al), 트리메틸알루미늄 ((CH3)3Al), 트리스(디메틸아미도)알루미늄 (Al(N(CH3)2)3), 및 이의 조합들을 포함할 수도 있다.
저 재결합 재료가 하프늄 옥사이드이면, 제 1 반응물질은 통상적으로 하프늄 함유 반응물질이다. 하프늄 함유 반응물질들의 예들은 무엇보다도, 비스(tert-부틸사이클로펜타디에닐)디메틸하프늄 (C20H32Hf), 비스(메틸-η5-사이클로펜타디에닐)디메틸하프늄 (Hf[C5H4(CH3)]2(CH3)2), 비스(메틸-η5-사이클로펜타디에닐)메톡시메틸하프늄 (HfCH3(OCH3)[C5H4(CH3)]2), 비스(트리메틸실릴)아미도하프늄 클로라이드 ([[(CH3)3Si]2N]2HfCl2), 디메틸비스(사이클로펜타디에닐)하프늄 ((C5H5)2Hf(CH3)2), 하프늄 tert-부톡사이드 (Hf[OC(CH3)3]4), 하프늄 이소프로폭사이드 이소프로판올 (C12H28HfO4), 테트라키스(디에틸아미도)하프늄 ([(CH2CH3)2N]4Hf), 테트라키스(디메틸아미도)하프늄 ([(CH3)2N]4Hf), 테트라키스(에틸메틸아미도)하프늄 ([(CH3)(C2H5)N]4Hf), 및 이들의 조합들을 포함한다.
저 재결합 재료가 지르코늄 옥사이드이면, 제 1 반응물질은 통상적으로 지르코늄 함유 반응물질이다. 지르코눔 함유 반응물질들의 예들은, 이로 제한되는 것은 아니지만, 비스(사이클로펜타디에닐)지르코늄 디하이드라이드 (C10H12Zr), 비스(메틸-η5-사이클로펜타디에닐)메톡시메틸지르코늄 (Zr(CH3C5H4)2CH3OCH3), 디메틸비스(펜타메틸사이클로펜타디에닐)지르코늄 (C22H36Zr), 테트라키스(디에틸아미도)지르코늄 ([(C2H5)2N]4Zr), 테트라키스(디메틸아미도)지르코늄 ([(CH3)2N]4Zr), 테트라키스(에틸메틸아미도)지르코늄 (Zr(NCH3C2H5)4), 지르코늄 디부톡사이드(비스-2,4-펜탄디오네이트) (C18H32O6Zr), 지르코늄 2-에틸헥사노에이트 (Zr(C8H15O2)4), 지르코늄 테트라키스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트) (Zr(OCC(CH3)3CHCOC(CH3)3)4), 및 이들의 조합들을 포함한다. 다른 금속 옥사이드들이 또한 형성될 수도 있고, 다른 반응물질들을 사용할 수도 있다.
일부 실시예들에서, 제 1 반응물질은 할로겐 함유 반응물질이 아니다. 일부 실시예들에서, 비 할로겐 함유 반응물질들은 특정한 챔버 표면들 (예를 들어, 알루미늄 표면들) 과의 상호작용들을 회피하기에 유리할 수도 있다. 일부 이러한 실시예들에서, 제 1 반응물질은 아민기를 포함할 수도 있다. 이들 또는 다른 경우들에서, 제 1 반응물질은 알콕시기를 포함할 수도 있다.
반응 챔버는 통상적으로 제 1 반응물질의 전달 동안 상대적으로 고압으로 유지된다. 고압은 제 1 반응물질이 모든 관련된 표면들 상에 흡착한다는 것을 보장하는 것을 돕는다. 화학물질의 분압이 보다 높을수록, 포화 반응을 발생시키기 위해 보다 짧은 시간이 걸린다. 일부 실시예들에서, 반응 챔버 내 압력은 약 0.1 내지 20 Torr, 예를 들어 약 0.5 내지 4 Torr일 수도 있다. 최적의 압력은 가능한 전구체 플로우량, 시간, 및 챔버 볼륨에 주로 영향을 받는다. 챔버 표면들은 약 20 내지 600 ℃, 일부 경우들에서 예를 들어 약 50 내지 450 ℃의 온도로 유지될 수도 있다. 일부 실시예들에서, 제 1 반응물질은 약 1 내지 1000 sccm, 또는 약 1 내지 25 sccm의 레이트로, 약 0.1 초 내지 5 분, 또는 약 10 초 내지 3 분의 지속 기간 동안 반응 챔버 내로 흐를 수도 있다. 제 1 반응물질 플로우를 위한 최적의 레이트는 전구체의 플로우 레이트 및 분압 및 챔버의 사이즈에 영향을 받는다.
다음에, 동작 303에서, 프로세싱 챔버 (102) 는 퍼지된다. 퍼지는 퍼지 가스 (예를 들어, 불활성 가스) 를 사용하여 프로세싱 챔버 (102) 를 스윕핑하거나, 진공을 사용하여 프로세싱 챔버 (102) 를 배기하거나, 이들 옵션들의 조합에 의해 일어날 수도 있다. 퍼지는 프로세싱 챔버 (102) 로부터 제 1 반응물질의 모든 흡착되지 않은 분자들을 제거하도록 작용한다.
프로세싱 챔버 (102) 를 퍼지한 후, 동작 305에서 제 2 반응물질은 프로세싱 챔버 (102) 내로 흐른다. 제 1 반응물질을 사용할 때, 제 2 반응물질은 유입부 (108) 를 통해 프로세싱 챔버 (102) 내로 또는 유입부 (113) 를 통해 리모트 플라즈마 소스 (110) 로 흐를 수도 있다. 유입부 (113) 를 통한 제 2 반응물질은 리모트 플라즈마 소스 (110) 내에서 리모트 플라즈마 소스 (110) 내에서 표면들 상 뿐만 아니라 샤워헤드 (111) 의 표면 상에 저 재결합 재료 코팅을 형성하는 것을 도울 것이다. 제 1 반응물질 및 제 2 반응물질 중 어느 하나 또는 양자는 캐리어 가스, 예를 들어, 불활성 가스와 함께 흐를 수도 있다.
종종, 제 2 반응물질은 산소 함유 반응물질이다. 산소 함유 반응물질들의 예들은 이로 제한되는 것은 아니지만, 산소 (O2), 이산화탄소 (CO2), 아산화질소 (N2O), 물 (H2O), 오존, 및 이들의 조합들을 포함한다. 특정한 예에서, 제 2 반응물질은 산소이다. 일부 실시예들에서, 제 2 반응물질은 약 100 내지 20,000 sccm, 또는 약 500 내지 5000 sccm의 레이트로, 약 0.25 내지 60 초, 또는 약 1 내지 20 초의 지속 기간 동안 흐른다. 제 2 반응물질은 플라즈마를 확립하고 지속시키는 것 및 브레이크다운 효율을 증가시키는 것을 도울 수도 있는, 불활성 캐리어 가스 (예를 들어, 헬륨, 아르곤, 등) 와 함께 전달될 수도 있다.
동작 307에서, 표면 반응을 사용하여 전구체들을 저 재결합 재료 코팅 (220) 으로 변환하도록 에너지가 공급된다. 저 재결합 재료 코팅 (220) 은 예를 들어, 도 2에 도시된 바와 같이 다양한 표면들 상에 형성된다. 에너지는 많은 실시예들에서 열 에너지이거나 플라즈마 에너지일 수도 있다. 열 에너지가 반응을 구동하도록 사용되면, 챔버 표면들은 저 재결합 재료 코팅의 형성을 촉진하도록 온도가 상승될 수도 있다. 또한, 열 에너지가 사용되면, 저 재결합 재료 코팅을 형성하기 위해 사용된 반응물질들은 특히 반응성 (예를 들어, 오존, 물, 등) 으로 선택될 수도 있다. 일부 경우들에서 플라즈마 에너지가 사용되면, 상대적으로 보다 적은 반응성의 반응물질들이 사용될 수도 있다.
플라즈마는 프로세싱 챔버 (102) 내 또는 리모트 플라즈마 소스 (110) 내에서 생성될 수도 있다. 플라즈마가 리모트 플라즈마 소스 (110) 내에서 생성되면, 기판들 상에서의 프로세싱 동안 발생하는 라디칼들은 프로세싱 챔버 (102) 내로 확산된다. 플라즈마는 유도 커플링 플라즈마 용량 커플링 플라즈마, 마이크로파 커플링 플라즈마, 등일 수도 있다. 일부 실시예들에서, 플라즈마를 생성하기 위해 사용된 RF 전력은 스테이션 당 약 200 내지 4500 W, 또는 스테이션 당 약 1500 내지 3000 W일 수도 있다. 최적의 전력은 플라즈마를 생성하기 위해 사용된 소스에 따른다. ICP 소스들은 통상적으로 상대적으로 보다 높은 전력을 사용하고, CCP 소스들은 통상적으로 상대적으로 보다 낮은 전력을 사용한다. 플라즈마를 생성하기 위해 사용된 주파수는 일부 실시예들에서 HF 주파수일 수도 있다. 예시적인 HF 주파수들은 약 1.8 ㎒ 내지 약 2.45 ㎓의 범위이다. 일부 실시예들에서 사용될 수도 있는 특정한 예시적인 주파수들은 13.56 ㎒, 27 ㎒, 40 ㎒, 60 ㎒, 100 ㎒, 및/또는 2.45 ㎓를 포함한다. 플라즈마는 약 0.25 내지 60 초, 또는 약 1 내지 20 초의 지속 기간 동안 존재할 수도 있다.
일부 실시예들에서, 동작 307 (때때로 변환 동작으로 지칭됨) 은 제 2 반응물질의 흐름이 중단된 후 일어난다. 프로세싱 챔버 (102) 는 동작 305와 동작 307 사이에, 예를 들어 스윕핑 가스를 사용함으로써 그리고/또는 프로세싱 챔버 (102) 를 배기함으로써 선택가능하게 퍼지될 수 있다. 다른 실시예들에서, 동작 305 및 동작 307은 오버랩할 수도 있다. 예를 들어, 일부 경우들에서 변환 동작 307은, 제 2 반응물질이 프로세싱 챔버 (102) 내로 여전히 흐르는 동안 (즉, 동작 307은 동작 305가 진행 중인 동안 시작된다. 다른 경우들에서, 변환 동작 307 및 동작 305에서의 제 2 반응물질의 전달은 실질적으로 동시에 시작된다. 동작 305에서 제 2 반응물질의 흐름은 변환 동작 307 전, 변환 동작 307 동안, 또는 변환 동작 307의 종료시 중단될 수도 있다.
다음에, 프로세싱 챔버 (102) 는 동작 309에서 모든 비흡착 종을 제거하도록 퍼지된다. 이 동작 309는 때때로 플라즈마 후 퍼지로 지칭된다. 동작들 301 내지309는 함께 ALD 반응의 단일 사이클을 나타낸다. 사이클 각각은 약 0.5 내지 3 Å의 두께 정도일 수도 있는 재료의 거의 모노레이어를 증착할 수도 있지만, 서브-모노레이어들 또는 모노레이어보다 보다 두꺼운 층들이 일부 실시예들에서 증착될 수도 있다. ALD 사이클 각각의 종료시, 동작 311에서 증착된 저 재결합 재료 코팅 (220) 이 충분히 두꺼운지 여부가 결정된다. 재료가 충분히 두껍다면, 증착 프로세스는 종료된다. 그렇지 않으면, 프로세스는 동작 301로부터 반복되고 부가적인 저 재결합 재료가 증착된다. 동작 311에서의 결정은 종종 사이클 당 증착된 공지의/추정된 두께, 사이클들의 수, 및 목표된 최종 두께에 기초하여 이루어진다.
일부 실시예들에서, 저 재결합 재료 코팅 (220) 은 약 50 내지 500 Å, 또는 약 100 내지 300 Å의 총 두께로 증착된다. 보다 두꺼운 코팅들이 특정한 경우들에서 사용될 수도 있다. 일 특정한 예에서, 저 재결합 재료 코팅 (220) 은 약 200 Å의 두께로 증착된다. 이들 두께들은, 저 재결합 재료의 증착 동안 기판 지지부가 약 400 ℃의 온도로 유지된다면, 기판 지지부 (106) (또는 존재한다면, 기판 지지부 (106) 상의 기판) 상에 증착될 막의 평균 두께에 기초한다. 막은 다른 영역들에서 상이한 두께들을 가질 수도 있지만, 막 두께는 다양한 챔버 표면 상, 적어도 저 재결합 재료가 실리콘 옥사이드들이면 상대적으로 균일하다고 여겨진다. 이들 또는 다른 실시예들에서, 저 재결합 재료 코팅 (220) 을 형성하기 위한 ALD 사이클들의 총 수는 약 50 내지 1000, 또는 약 100 내지 300일 수도 있다. 특정한 예에서, 약 150 사이클들이 사용되고, 기판 지지부 (106) 상에서 약 200 Å의 총 두께를 발생시킨다.
실리콘 옥사이드 저 재결합 재료 코팅 (220) 을 형성하는 대안적인 방법이 사용될 수 있다. 일 예에서, 수소 (H2) 플라즈마는 프로세싱 챔버 내에서 석영 컴포넌트를 에칭하기 위해 사용될 수 있다. 석영 내의 SiO2는 석영 컴포넌트로부터 에칭되고 프로세싱 챔버 내에서 표면들 상에 재분배된다. 석영을 에칭하고 SiO2 를 재분배하는 것이 사용될 수도 있지만, ALD 프로세싱은 특정한 챔버들에 대해 보다 더 적절할 수도 있다. 도 3과 관련하여 기술된 바와 같이, ALD를 사용한 저 재결합 재료의 증착과 비교하여, 인시츄 H2 플라즈마 방법은 보다 긴 시간이 걸릴 수도 있고, 챔버 표면들 상에 보다 덜 균일한 커버리지를 발생시킬 수도 있다. 또한, 이 방법 (H2 플라즈마) 은 바람직하지 않을 수도 있는, 석영 컴포넌트의 열화를 유발하고, 이 방식으로 형성된 실리콘 옥사이드는 이하에 더 기술되는 바와 같이, 프로세싱 챔버 내에서 프로세싱이 일어난 후에, 저 재결합 재료로 성공적으로 재컨디셔닝되기 어려울 수도 있다.
저 재결합 재료 코팅 (220) 은 통상적으로, 프로세싱 챔버가 기판들을 프로세싱하기 위해 사용되기 전에 형성된다. 다양한 실시예들에서, 저 재결합 재료 코팅 (220) 은 (예를 들어, 불소계 화학물질 또는 다른 챔버 세정 기법들을 사용하는) 챔버 세정 동작 후 및 기판들을 프로세싱하기 전에 형성된다. 즉, 저 재결합 재료 코팅 (220) 은 기판들의 배치 각각의 시작 시 형성될 수도 있다.
저 재결합 재료 코팅 (220) 은 기판들에 대해 CVD (chemical vapor deposition) 및/또는 ALD (atomic layer deposition) 를 수행하기 위한 특정한 반응기들과 같은 특정한 반도체 프로세싱 장치 상에서 사용된 "언더코팅"과 대비될 수 있다. 다양한 CVD 및 ALD 반응기들에서, 도 2에 도시된 저 재결합 재료 코팅 (220) 과 같은, 약 3 내지 4 ㎛의 두께를 갖는 언더코팅이 챔버 내부 표면들 (종종 알루미늄임) 상에 증착된다. 그러나, 이들 2가지 코팅들은 엄청나게 상이한 두께들 (언더코팅은 개시된 저 재결합 재료 코팅 (220) 보다 훨씬 보다 두껍다) 을 갖고, 상이한 이유들로 제공된다. CVD 및 ALD 반응기들에서, 언더코팅은 금속 챔버 표면들이 기판을 오염시키는 것을 방지하기 위해 챔버 표면들을 커버하도록 제공된다. 이러한 반응기들에서 사용된 인시츄 플라즈마들은 너무 셀 (harsh) 수 있고, 언더코팅이 존재하지 않는다면 금속 챔버 표면들을 침식시킬 (eat away) 수 있다. 언더코팅은 또한 기판들의 배치를 프로세싱하는 전개 동안 보다 균일한 챔버 임피던스를 제공하도록 작용할 수도 있다. 반대로, 저 재결합 재료 코팅 (220) 은 CVD 및 ALD 반응기들에서 사용된 언더코팅들보다 훨씬 보다 얇고, 단순히 기판에 대한 프로세싱을 위해 이용가능한 라디칼들의 양을 최대화하기 위해 라디칼 재결합 양을 최소화하도록 제공된다. 이론에 매이지 않고, 배어 챔버 표면들과 관련된 기판 오염 이슈는 리모트 플라즈마는 보다 덜 세고, 기판을 오염시키기 위해 챔버 표면들을 보다 덜 침식시키기 때문에, 리모트 플라즈마가 사용되면 훨씬 덜 문제가 된다. 본 명세서의 다양한 실시예들에서, 프로세싱 챔버 및 챔버 내의 표면들은 저 재결합 재료의 상대적으로 얇은 층으로 코팅되고, (본 명세서에 기술된 바와 같은) 상대적으로 두꺼운 언더코팅은 사용되지 않는다.
저 재결합 재료 코팅 재컨디셔닝
저 재결합 재료 코팅은 프로세싱 동안 라디칼 재결합도를 최소화하는데 특히 유용하지만, 전체 기판들의 배치의 프로세싱 동안 특정한 이슈들이 발생한다. 예를 들어, 부가적인 기판들이 배치 내에서 프로세싱되기 때문에, 재료는 저 재결합 재료로 코팅된 다양한 표면들 상에 구축된다. 구축된 재료는 기판들 (예를 들어, 일부 경우들에서 실리콘 카바이드, 실리콘 니트로카바이드, 또는 실리콘 옥시카바이드) 상에 증착되는 재료, 프로세싱 부산물들, 등일 수도 있다. 이 재료는 저 재결합 재료 코팅의 유효성을 상당히 감소시키는, 정도로 저 재결합 재료 코팅을 커버할 수 있다. 많은 경우들에서 배치 동안 챔버 표면들 상에 구축되는 재료는 저 재결합 재료 코팅을 위해 사용된 재료 (예를 들어, SiO2, 본 명세서에 기술된 바와 같은 다른 재료들) 보다 보다 높은 레이트의 라디칼 재결합을 촉진하는 재료이다. 이와 같이, 라디칼 재결합은 배치가 진행됨에 따라, 보다 문제가 된다.
계속해서 나빠지는 라디칼 재결합 문제는 주어진 기판에 대한 막 균일성에 실질적인 영향을 준다. 보다 구체적으로, 중앙-에지 막 균일성은 절충된다. 챔버 표면들 상에 재료가 증착됨에 따라, (재료가 증착될 때/후 근방의 라디칼들을 효과적으로 없애는) 기판의 주변부에 대한 챔버 표면들의 근접성, 및 보다 적은 라디칼들이 시작을 위해 이 주변부로 전달된다는 사실로 인해, 기판의 중앙부보다 기판의 주변부가 보다 더 영향을 받는다. 이 막 불균일성은 배치의 전개 동안 상대적으로 안정된 상태 값으로 악화된다. 또한, 계속해서 나빠지는 라디칼 재결합 문제는 기판들 상에서 증착이 일어나는 레이트의 드리프트 (배치 전개 동안 느려지는 증착 레이트로) 를 유발할 수 있다.
본 명세서에 개시된 방법들의 특정한 실시예들은 저 재결합 재료 코팅을 주기적으로 재컨디셔닝함으로써 재료 구축 문제를 해결한다. 이러한 재컨디셔닝은, 구축된 재료를 보다 낮은 라디칼 재결합도를 촉진하는 재료로 변화시키도록 구축된 재료를 변경한다. 이는 히드록실화 표면을 형성하도록 구축된 재료를 변경하는 것을 수반할 수도 있다. 특정한 예에서, 구축된 재료는 실리콘 함유 재료 (예를 들어, 실리콘 카바이드, 실리콘 니트로카바이드, 및/또는 실리콘 옥시카바이드) 이고, 재컨디셔닝 프로세스는 실리콘 함유 재료를 실리콘 옥사이드로 변화시키는 것을 수반한다.
저 재결합 재료 코팅을 재컨디셔닝하기 위한 일 방법은 산소 함유 플라즈마에 재료를 노출시키는 것이다. 산소 함유 플라즈마는 구축된 재료로부터 탄소 (및 다른 비실리콘 원소들/산소 원소들) 를 효과적으로 연소/제거하고, 목표된 실리콘 다이옥사이드 저 재결합 재료를 개질한다.
또 다른 예시적인 실시예에서, 프로세싱 챔버는 알루미늄 함유 막들을 형성하도록 사용될 수도 있고, 저 재결합 재료는 알루미늄 옥사이드이고, 챔버 표면들 상에 원치 않은 구축된 재료는 알루미늄 함유 막이고, 재컨디셔닝 프로세스는 구축된 재료를 다시 알루미늄 옥사이드로 변환하기 위해 산소 함유 플라즈마에 노출된 표면들을 노출시키는 것을 수반한다. 또 다른 실시예에서, 프로세싱 챔버는 하프늄 함유 막들을 형성하도록 사용되고, 저 재결합 재료는 하프늄 옥사이드이고, 챔버 표면들 상에 원치 않은 구축된 재료는 하프늄 함유 막이고, 재컨디셔닝 프로세스는 구축된 재료를 하프늄 옥사이드로 변환하기 위해 산소 함유 플라즈마에 챔버 표면들을 노출시키는 것을 수반한다. 다른 금속들을 사용하는 유사한 구현예들은 또한 본 실시예들의 범위 내에 있는 것으로 간주된다. 또 다른 실시예들에서, 저 재결합 재료는 실리콘 옥사이드이고, 재컨디셔닝 프로세스는 구축된 재료의 조성 도는 기판 상에서 일어나는 프로세스에 무관하게, 실리콘 옥사이드의 형성을 촉진하도록 산소 함유 플라즈마로의 노출을 수반한다.
산소 함유 플라즈마를 형성하기 위해 사용될 수도 있는 예시적인 가스들은 산소 (O2), 이산화탄소 (CO2), 아산화질소 (N2O), 물 (H2O), 오존, H2 + O2, 및 이들의 조합들을 포함한다. 산소 함유 플라즈마를 생성하기 위해 사용된 가스는 또한 일부 실시예들에서 불활성 가스를 포함할 수도 있다. 산소 함유 가스는 약 100 내지 20,000 sccm, 또는 약 500 내지 5,000 sccm의 레이트로 흐를 수도 있다. 플라즈마는 프로세싱 챔버 내에서 또는 리모트 플라즈마 챔버 내에서 생성될 수도 있다.
많은 경우들에서, 프로세싱 챔버는 상대적으로 짧은 시간, 예를 들어 약 5 초 이하, 약 3 초 이하, 또는 약 1 초 이하 동안 산소 함유 플라즈마에 노출된다. 일부 실시예들에서, 저 재결합 재료를 재컨디셔닝하기 위한 산소 함유 플라즈마 노출의 지속 기간은 약 0.05 내지 3 초, 또는 약 0.1 내지 1 초이다.
플라즈마 노출의 지속 기간이 너무 짧기 때문에, 특정한 실시예들에서 반응 챔버는 기판이 여전히 반응 챔버 내에 존재하는 동안 플라즈마에 노출된다. 일 예에서, 기판은 SiO2의 저 재결합 재료 코팅을 갖는 프로세싱 챔버 내로 로딩되고 H-라디칼 기반 증착 프로세스는 기판 상에 약 10 내지 100 Å (예를 들어, 30 Å) 의 실리콘 함유 막을 증착하도록 일어난다. 이어서 증착 프로세스는 중단되고, 기판 및 챔버는 기판이 여전히 프로세싱 챔버 내에 존재하는 동안 산소 함유 플라즈마에 노출된다. 산소 함유 플라즈마가 기판 상에 증착된 막에 어떤 영향을 줄 수도 있지만, 이러한 영향은 작을 것이고 많은 경우들에서 수용가능한 것으로 예상된다. 산소 함유 플라즈마로의 노출 후에, 부가적인 10 내지 100 Å (예를 들어, 30 Å) 의 실리콘 함유 막이 기판 상에 증착된다. (a) 기판 상의 증착 및 (b) 산소 함유 플라즈마로의 노출은 증착된 막이 최종 두께에 도달할 때까지 순환될 수도 있다. 이 실시예는 기판들 상에 증착되는 막이 특히 두꺼우면 (예를 들어, 최종 두께 적어도 약 60 Å, 때때로, 최종 두께 약 60 내지 1500 Å) 특히 유용하다. 일부 경우들에서, 후속하는 재컨디셔닝 플라즈마 노출들 사이에 개별 기판 상에 증착된 막 두께는 약 20 내지 70 Å, 또는 약 30 내지 50 Å이다. 후속하는 재컨디셔닝 프로세스들 사이에 증착될 최적의 막 두께는 막이 증착됨에 따라 얼마나 빨리 막 불균일성이 열화되는지에 따른다.
재컨디셔닝의 빈도는 상이한 구현예들에서 가변할 수도 있다. 선행된 예에서, 저 재결합 재료 코팅은 기판 당 적어도 1 회 (그리고 많은 경우들에서 적어도 2 회) 재컨디셔닝된다. 기판들 상에서 목표된 최종 막 두께가 1000 Å이고 40 Å의 막이 후속하는 재컨디셔닝 프로세스들 사이에 증착되는 또 다른 예에서, 재컨디셔닝 프로세스는 기판 당 약 25 회 수행될 것이다. 총 막 두께 및 재컨디셔닝 프로세스들 사이에 증착된 두께는 재컨디셔닝이 수행되어야 하는 회수를 결정할 것이다.
유사한 예에서, 저 재결합 재료 코팅은 기판 당 적어도 1 회 재컨디셔닝되지만, 기판은 산소 함유 플라즈마로의 노출 동안 반응 챔버로부터 제거된다. 일 구현예에서, 기판은 프로세싱 챔버 내로 로딩되고, 증착은 제 1 두께로 일어나고, 기판은 프로세싱 챔버로부터 제거되고, 프로세싱 챔버는 본 명세서에 기술된 바와 같이 재컨디셔닝되고, 기판은 다시 프로세싱 챔버 내로 로딩되고, 증착은 제 2 두께로 기판 상에 막을 증착하기 위해 일어난다. 이들 단계들은 기판 상의 막이 최종 두께에 도달할 때까지 반복될 수도 있다. 또 다른 구현예에서, 저 재결합 재료 코팅은 후속하는 기판들(예를 들어, 재컨디셔닝 동안 프로세싱 챔버 내에 존재하거나 부재하는 기판을 사용하여) 의 프로세싱 사이에 재컨디셔닝된다. 또 다른 구현예에서, 재컨디셔닝은 매 n 개의 기판들에 한번씩 일어나고, n은 약 1 내지 50, 또는 약 1 내지 10이다.
재컨디셔닝을 위한 이상적인 빈도는 기판들 상에서 일어나는 프로세싱의 타입, 사용되는 화학물질, 챔버 표면들 상에 구축되는 재료의 조성, 기판 각각 상에 증착되는 막의 두께, 등을 포함하는 다양한 인자들에 따를 것이다. 저 재결합 재료 코팅을 얼마나 빈번하게 재컨디셔닝할 지 결정하기 위해, (기판 프로세싱이 기판들 상의 증착을 수반하는 실시예들에서) 기판들 상에 증착된 막 두께의 균일성을 고려할 수 있다. 상기 주지된 바와 같이, 구축된 재료는 기판의 중앙부보다 기판의 주변부들에 보다 심하게 영향을 준다. 이와 같이, 막 두께 균일성 (중앙-대-에지) 이 특정한 문턱값에 도달할 때, 저 재결합 재료 코팅이 재컨디셔닝될 수도 있다.
기판들의 배치 종료시, 프로세싱 챔버 (및 선택가능하게 리모트 플라즈마 챔버) 가 세정될 수 있다. 세정 프로세스는 일부 경우들에서 불소 함유 화학물질 (예를 들어, 불소 함유 플라즈마들, 예를 들어 NF3계 플라즈마) 의 사용을 수반할 수도 있지만, 다른 방법들이 또한 사용될 수도 있다. 세정은 저 재결합 재료를 포함하여, 관련된 표면들 상의 모든 구축된 재료의 제거를 발생시킨다. 챔버가 세정된 후, 기판들의 새로운 배치가 제공될 수도 있다. 새로운 배치가 프로세싱되기 전에, 예를 들어 도 3과 관련하여 기술된 방법을 사용하여 후레시 저 재결합 재료 코팅이 제공된다. 기판들의 새로운 배치가 프로세싱됨에 따라, 저 재결합 재료 코팅은 기술된 바와 같이 주기적으로 재컨디셔닝된다. 저 재결합 재료를 제공하고 재컨디셔닝함으로써, 라디칼 재결합도가 최소화되고, 기판에 도달하기 위해 살아 남은 라디칼들의 양은 시간에 따라 보다 균일하고, 기판들 (및 개별 기판들 내) 의 배치 내에서의 균일성이 개선된다.
다양한 실시예들에서, 저 재결합 재료 코팅이 ALD 프로세스를 통해 형성된다. 상기 주지된 바와 같이, 저 재결합 재료를 형성하기 위해 이용가능한 다른 방법은 반응 챔버 내에서 석영 컴포넌트를 에칭하기 위해 수소 플라즈마를 사용하는 것이고, 석영 내의 실리콘 다이옥사이드는 에칭되고 다양한 챔버 표면들 상에 재증착된다. 놀랍게도, 실리콘 옥사이드 저 재결합 재료 코팅이 이러한 방식으로 처음으로 형성될 때, 산소 함유 플라즈마 노출은 실리콘 옥사이드 재료를 성공적으로 재컨디셔닝하지 못한다는 것을 알게 되었다. 대신, 재료는 산소 함유 플라즈마로의 노출 후에도, (적어도 수소 라디칼들에 대해) 상대적으로 고 재결합 재료를 남긴다.
산소 함유 플라즈마 재컨디셔닝 프로세스는 플라즈마 프로세싱에 사용된 반응 챔버들을 세정하기 위해 일반적으로 사용된 세정 프로세스들과 구별되어야 한다. 다양한 맥락들에서, 플라즈마 반응기들은 챔버 표면들로부터 구축된 재료들을 제거하기 위해 플라즈마 (예를 들어, 일부 경우들에서, 제거될 재료의 타입에 따라, F-계 플라즈마 또는 O-계 플라즈마) 를 사용하여 세정될 수도 있다. 일 예에서, CVD를 통해 막을 증착하기 위해 사용된 반응 챔버는 산소계 플라즈마를 사용하여 세정된다. 세정은 챔버 표면들 상에 의도치 않게 증착된 재료 (예를 들어, 기판들 상에 증착되는 막 및/또는 이러한 증착의 부산물들) 및 챔버 표면들 상에 의도적으로 증착된 재료 (예를 들어, 본 명세서의 다른 곳에서 기술된 바와 같이 상대적으로 두꺼운 언더코팅) 양자를 제거한다. 챔버가 세정된 후, 챔버 표면들은 배어이다 (예를 들어, 일부 경우들에서 알루미늄). 반대로, 산소계 플라즈마 재컨디셔닝 프로세스는 실리콘 옥사이드에 노출된 막 (또는 또 다른 저 재결합 재료) 을 변환하도록 챔버 표면들 상의 막에만 영향을 준다. 챔버 표면들을 덮는 막은 개질되고, 제거되지 않는다.
기판들 상에서의 프로세싱
본 명세서의 실시예들은 임의의 특정한 타입의 프로세싱이 기판들 상에서 일어나는 경우들로 한정되지 않는다. 일부 경우들에서, 기판들 상에서 일어나는 프로세싱은 증착 프로세스일 수도 있다. 다른 경우들에서, 기판들 상에서 일어나는 프로세싱은, 예를 들어 에칭 프로세스, 또는 처리 프로세스일 수도 있다. 일반적으로, 개시된 실시예들은 프로세싱이 라디칼들에 의해 일어나는 것이 목표되는 임의의 경우에 유용하다.
본 명세서에서 논의된 다양한 구현예들은 리모트 수소 플라즈마를 사용하여 기판들 상에 실리콘 함유 막 (예를 들어, 실리콘 카바이드, 실리콘 니트로카바이드, 또는 실리콘 옥시카바이드) 을 증착하는 것을 수반하는 반도체 프로세싱 방법의 맥락에서 제공된다. 이 특정한 구현예의 특정한 상세들은 이러한 맥락으로 제공되지만, 실시예들은 이 타입의 프로세싱으로 한정되지 않는다는 것이 이해된다.
일부 실시예들에서, 기판들 상에 실리콘 함유 막을 증착하기 위한 프로세스는 수소 라디칼 기반 증착 프로세스이다. 이러한 프로세스들은 전체가 본 명세서에 참조로서 인용되는, 2015년 2월 6일 출원된, 명칭이 "CONFORMAL FILM DEPOSITION OF SILICON CARBIDE FILMS"인 미국 특허 출원 제 14,616,435 호; 2013년 5월 31일 출원된, 명칭이 "METHOD TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"인 미국 특허 출원 제 13,907,699 호에 더 논의된다.
도 1 및 도 2의 맥락에서, 프로세스는 예를 들어 유입부 (108) 를 통한 프로세싱 챔버 (102) 로의 하나 이상의 전구체(들)의 전달을 수반한다. 전구체는 활성 플라즈마에 바로 노출되지 않는다. 대신, 수소 플라즈마는 리모트 플라즈마 소스 (110) 에서 생성되고, 리모트 H 플라즈마는 샤워헤드 (111) 내 홀들 (112) 을 통해 프로세싱 챔버 (102) 내로 확산된다. 전구체(들)는 실리콘 함유 전구체들일 수도 있다. 실리콘 함유 전구체는 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 가질 수도 있다. 실리콘-함유 전구체는 또한 하나 이상의 실리콘-산소 결합들, 실리콘-질소 결합들, 및/또는 실리콘-탄소 결합들을 가질 수도 있다. 전구체(들) 및 수소 플라즈마는 실리콘 함유 막을 형성하기 위해 동시에 프로세싱 챔버 (102) 내로 흐르고/에 노출된다. 이들 증착 프로세스들에서, 반응 챔버 내 압력은 약 0.2 내지 40 Torr (일부 경우들에서 약 0.2 내지 8 Torr, 또는 약 10 내지 20 Torr) 로 유지될 수도 있고, 기판 온도는 약 50 내지 500 ℃ (일부 경우들에서 약 200 내지 400 ℃) 로 유지될 수도 있다.
임의의 타입의 플라즈마 소스가 라디칼 종을 생성하기 위해 리모트 플라즈마소스 내에서 사용될 수도 있다. 이는, 이로 제한되는 것은 아니지만, 용량 커플링 플라즈마들, 유도 커플링 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들 및 레이저-생성 플라즈마들을 포함한다. 용량 커플링 플라즈마의 예는 무선 주파수 (RF) 플라즈마일 수 있다. 고주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스의 예는 California, San Jose 소재의 Novellus Systems에 의해 제작된 GAMMA®일 수 있다. 이러한 RF 리모트 플라즈마 소스의 또 다른 예는 Massachusetts, Wilmington 소재의 MKS Instruments에 의해 제작되고, 440 ㎑에서 동작할 수 있고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 큰 장치 상에 장착된 서브유닛으로서 제공될 수 있는, Astron®일 수 있다. 일부 실시예들에서, 마이크로파 플라즈마는 또한 MKS Instruments에 의해 제작된 Astex®와 같은 리모트 플라즈마 소스로서 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수로 동작하도록 구성될 수 있다. 이들 플라즈마 소스들은 또한 상기 기술된 바와 같이 저 재결합 재료를 형성하고/하거나 재컨디셔닝하도록 사용될 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 성취하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴 내에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다. 일 예시적인 장치는 도 1 및 도 2에 제공되었다. 그러나, 실시예들은 이 장치로 한정되지 않는다. 개시된 기법들은 라디칼 기반 프로세싱이 일어나는 모든 맥락에서 유용한 것으로 예상된다. 많은 경우들에서 (그러나 모든 경우일 필요는 없는), 리모트 플라즈마가 수반될 것이다. 특정한 구현예들에서, 실시예들은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Versa-S 장치에서 실시될 수도 있다.
시스템 제어기
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고, 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
개시된 실시예들의 맥락에서, 제어기는 프로세스 기판들이 노출되는 프로세스들에 따라 기판들을 프로세싱하도록 설계되거나 구성되는 것에 부가하여, 저 재결합 재료 코팅을 형성하고 재컨디셔닝하도록 설계되거나 구성될 수도 있다. 제어기는 본 명세서에 기술된 임의의 프로세스들/방법들을 수행하기 위한 인스트럭션들을 가질 수도 있다.
상기 기술된 다양한 하드웨어 및 방법 실시예들은, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다.
막의 리소그래픽 패터닝은 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 예를 들어, 그 위에 실리콘 나이트라이드 막을 갖는 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, 애시가능 하드마스크층 (예컨대 비정질 탄소층) 및 또 다른 적합한 하드마스크 (예컨대 반사방지층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 접근 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은 다양한 변형들이 가능하기 때문에 제한하는 방식으로 고려되지 않는다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 하나 이상의 임의의 수의 프로세싱 전략들을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병행하여, 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 동작들, 및/또는 특성들의 모든 신규하고 명백하지 않은 조합들, 및 하위조합들, 뿐만 아니라 임의의 모든 이들의 등가물들을 포함한다.
실험
실험 결과들은 개시된 저 재결합 재료 코팅이 기판 상에서의 프로세싱을 위해 이용가능한 라디칼들의 양을 최대화하고 라디칼 재결합도를 최소화하도록 사용될 수 있다는 것을 나타낸다. 실험 결과들은 또한 개시된 재컨디셔닝 프로세스가 보다 낮은 라디칼 재결합도를 촉진하는 재료로 챔버 표면들 상에 구축된 재료를 효과적으로 변환하도록 사용될 수 있다는 것을 또한 나타낸다.
도 4a 및 도 4b는 ALD-증착된 SiO2를 사용하여 처음으로 코팅되고 SiO2 코팅의 최초 형성 후에 챔버 표면들 상에 구축된 재료를 어느 정도 갖는 챔버 내에서 프로세싱된 기판들 상에 증착된 실리콘 함유 막들의 두께 프로파일들을 예시한다. 도 4a는 프로세싱 챔버가 산소 플라즈마를 사용하여 재컨디셔닝되기 전에 증착된 실리콘 함유 막의 두께 프로파일을 도시하고, 도 4b는 프로세싱 챔버가 산소 플라즈마를 사용하여 재컨디셔닝된 후 증착된 실리콘 함유 막의 두께 프로파일을 도시한다. 도 4a의 프로파일은 상당한 중앙-대-에지 두께 불균일성을 나타낸다. 이 경우 관찰된 두께들의 범위는 막의 가장 얇은 부분과 가장 두꺼운 부분 사이에서 약 9 Å이고, 이는 약 32 %의 평균 막 두께를 나타낸다. 1-시그마 두께 불균일성은 약 12.5 %로 계산되었다. 비교하면, 도 4b의 기판은 겨우 약 5 Å의 범위, 또는 약 14 %의 평균 막 두께를 갖는 훨씬 보다 균일한 두께 프로파일을 나타낸다. 이 경우에서 1-시그마 두께 불균일성은 겨우 약 4.1 %이고, 이는 챔버가 재컨디셔닝되기 전에 프로세싱된 기판에 대해 도 4a의 불균일성보다 훨씬 보다 낮다.
도 4a의 프로파일은 증착된 막이 기판의 에지들 둘레에서 얇다는 것을 나타낸다. 이 에지에서 얇은 프로파일은 챔버 표면들 상에 구축되는 재료가 기판의 에지에 인접하기 때문에 이 영역에서 효율적으로 인출되는 라디칼들로 인해 예상된다. 반대로, 도 4b의 프로파일은 중간-반경 위치에서 가장 두껍고, 전체적으로 보다 균일하다. 이들 결과들은 재컨디셔닝 프로세스가 매우 효과적이라는 것을 암시한다.

Claims (1)

  1. 리모트 플라즈마 프로세싱을 수행하기 위해 사용된 반응 챔버를 컨디셔닝하는 방법에 있어서,
    반응 챔버 내에 기판이 존재하지 않는 동안 상기 반응 챔버 내에서 노출된 표면들 상에 저 재결합 재료 코팅을 형성하는 단계로서, 상기 저 재결합 재료 코팅은 옥사이드 재료를 포함하고, 상기 저 재결합 재료 코팅은 50 Å 내지 500 Å의 평균 두께를 갖는, 상기 저 재결합 재료 코팅을 형성하는 단계;
    상기 반응 챔버 내에 기판을 제공하는 단계;
    실리콘-함유 전구체를 유입부를 통해 상기 반응 챔버 내로 흘리는 단계;
    수소 라디칼들을 형성하기 위해 리모트 플라즈마 챔버 내에서 수소 플라즈마를 생성하는 단계; 및
    상기 수소 라디칼들을 가스 분배기를 통해 상기 반응 챔버 내로 흘리는 단계로서, 상기 수소 라디칼들은 상기 기판 상에 실리콘-함유 막을 증착하기 위해 상기 실리콘-함유 전구체와 반응하는, 상기 수소 라디칼들을 흘리는 단계를 포함하는, 리모트 플라즈마 프로세싱을 수행하기 위해 사용된 반응 챔버를 컨디셔닝하는 방법.
KR1020220114663A 2015-03-26 2022-09-13 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화 KR20220130058A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562138810P 2015-03-26 2015-03-26
US62/138,810 2015-03-26
US14/712,167 US9828672B2 (en) 2015-03-26 2015-05-14 Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US14/712,167 2015-05-14
KR1020220017172A KR102443854B1 (ko) 2015-03-26 2022-02-09 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220017172A Division KR102443854B1 (ko) 2015-03-26 2022-02-09 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화

Publications (1)

Publication Number Publication Date
KR20220130058A true KR20220130058A (ko) 2022-09-26

Family

ID=56974974

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160034431A KR20160115761A (ko) 2015-03-26 2016-03-23 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
KR1020220017172A KR102443854B1 (ko) 2015-03-26 2022-02-09 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
KR1020220114663A KR20220130058A (ko) 2015-03-26 2022-09-13 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020160034431A KR20160115761A (ko) 2015-03-26 2016-03-23 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
KR1020220017172A KR102443854B1 (ko) 2015-03-26 2022-02-09 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화

Country Status (6)

Country Link
US (3) US9828672B2 (ko)
JP (2) JP7067853B2 (ko)
KR (3) KR20160115761A (ko)
CN (1) CN106024673B (ko)
SG (1) SG10201602333SA (ko)
TW (1) TWI702308B (ko)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2697397B1 (en) 2011-04-15 2017-04-05 The Johns Hopkins University Safe sequencing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
ES2886507T3 (es) 2012-10-29 2021-12-20 Univ Johns Hopkins Prueba de Papanicolaou para cánceres de ovario y de endometrio
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017027653A1 (en) 2015-08-11 2017-02-16 The Johns Hopkins University Assaying ovarian cyst fluid
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) * 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
MX2020001575A (es) 2017-08-07 2020-11-18 Univ Johns Hopkins Materiales y métodos para evaluar y tratar el cáncer.
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) * 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109712868A (zh) * 2018-12-20 2019-05-03 西安电子科技大学 基于氧化铝材料内嵌纳米晶结构的铁电薄膜制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114051541A (zh) * 2019-06-26 2022-02-15 朗姆研究公司 通过原位钝化室积累扩展
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US20220282366A1 (en) * 2019-08-30 2022-09-08 Lam Research Corporation High density, modulus, and hardness amorphous carbon films at low pressure
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
JPS58151031A (ja) 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH07326581A (ja) 1994-05-31 1995-12-12 Sony Corp プラズマ装置およびこれを用いたプラズマcvd方法
JPH0822980A (ja) 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
CN1258617C (zh) 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003224076A (ja) * 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
JP4411215B2 (ja) 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
EP1774548A1 (en) 2004-07-23 2007-04-18 Sundew Technologies, LLP Capacitors with high energy storage density and low esr
EP1784690A2 (en) * 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US8088296B2 (en) * 2004-09-01 2012-01-03 Shibaura Mechatronics Corporation Plasma processing device and plasma processing method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
KR100931771B1 (ko) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
KR20080050402A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Nf₃를 사용한 표면 적층물 제거 방법
JP2009503905A (ja) 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
KR100745987B1 (ko) 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
US7977149B2 (en) 2005-08-31 2011-07-12 Sumitomo Chemical Company, Limited Transistor, organic semiconductor device, and method for manufacture of the transistor or device
EP1989335A4 (en) 2005-11-23 2010-04-07 Surface Comb Inc SURFACE TREATMENT OF METAL OBJECTS IN AN ATMOSPHERIC OVEN
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
KR20080058568A (ko) 2006-12-22 2008-06-26 세메스 주식회사 리프트 핀 및 이를 갖는 기판 처리 장치
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP5011148B2 (ja) 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
CN203225233U (zh) 2009-09-10 2013-10-02 朗姆研究公司 一种陶瓷侧气体喷射器
JP5575299B2 (ja) 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
WO2011111498A1 (ja) 2010-03-08 2011-09-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN102013568A (zh) 2010-12-01 2011-04-13 惠州Tcl移动通信有限公司 一种四频段的内置天线及其移动通信终端
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
CN104136138B (zh) 2012-01-30 2018-03-20 赫姆洛克半导体运营有限责任公司 修复和/或保护反应器中表面的方法
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6071537B2 (ja) 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
JP2016520707A (ja) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
CN103219227A (zh) 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
KR20230032000A (ko) 2013-04-10 2023-03-07 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
EP3739079A1 (en) 2015-02-13 2020-11-18 Entegris, Inc. Porous metallic filter
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2016178777A1 (en) 2015-05-07 2016-11-10 Applied Materials, Inc. Corrosion control for chamber components
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20190091542A (ko) 2017-01-16 2019-08-06 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078206A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US20190131113A1 (en) 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US10134569B1 (en) 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
SG11202103979UA (en) 2018-10-19 2021-05-28 Lam Res Corp In situ protective coating of chamber components for semiconductor processing
US20220277936A1 (en) 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Also Published As

Publication number Publication date
KR102443854B1 (ko) 2022-09-15
TWI702308B (zh) 2020-08-21
US20220145459A1 (en) 2022-05-12
CN106024673A (zh) 2016-10-12
CN106024673B (zh) 2020-06-09
US20180044791A1 (en) 2018-02-15
TW201704529A (zh) 2017-02-01
JP7386270B2 (ja) 2023-11-24
KR20220024330A (ko) 2022-03-03
KR20160115761A (ko) 2016-10-06
JP2022058614A (ja) 2022-04-12
US11920239B2 (en) 2024-03-05
JP2016216817A (ja) 2016-12-22
SG10201602333SA (en) 2016-10-28
JP7067853B2 (ja) 2022-05-16
US9828672B2 (en) 2017-11-28
US20160281230A1 (en) 2016-09-29

Similar Documents

Publication Publication Date Title
KR102443854B1 (ko) 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
US10763107B2 (en) Methods of encapsulation
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
TWI679701B (zh) 矽氧化物之沉積方法
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
CN111247269A (zh) 介电膜的几何选择性沉积
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
JP2023532118A (ja) 半導体デバイスにおける層内静電容量の低減
CN115803474A (zh) 具有受控膜性质和高沉积速率的保形热cvd
WO2023283144A1 (en) Plasma enhanced atomic layer deposition of silicon-containing films

Legal Events

Date Code Title Description
A107 Divisional application of patent