JP7067853B2 - 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化 - Google Patents

断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化 Download PDF

Info

Publication number
JP7067853B2
JP7067853B2 JP2016054587A JP2016054587A JP7067853B2 JP 7067853 B2 JP7067853 B2 JP 7067853B2 JP 2016054587 A JP2016054587 A JP 2016054587A JP 2016054587 A JP2016054587 A JP 2016054587A JP 7067853 B2 JP7067853 B2 JP 7067853B2
Authority
JP
Japan
Prior art keywords
reaction chamber
chamber
plasma
coating
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016054587A
Other languages
English (en)
Other versions
JP2016216817A5 (ja
JP2016216817A (ja
Inventor
バドリ・エヌ.・バラダラジャン
ボー・ゴン
レイチェル・イー.・バッザー
フアタン・チュウ
シュラベンディック バート・ジェイ.・バン
ジェフリー・ホーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016216817A publication Critical patent/JP2016216817A/ja
Publication of JP2016216817A5 publication Critical patent/JP2016216817A5/ja
Priority to JP2022004040A priority Critical patent/JP7386270B2/ja
Application granted granted Critical
Publication of JP7067853B2 publication Critical patent/JP7067853B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32486Means for reducing recombination coefficient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)
  • Crystallography & Structural Chemistry (AREA)

Description

(関連出願の相互参照)
本出願は、2015年3月26日出願の「MINIMIZING RADICAL RECOMBINATION」という名称の米国仮特許出願第62/138,810号の利益を主張するものであり、その全体を参照により本明細書に援用する。
半導体加工は、典型的には、特殊化された半導体加工装置内で行われる。そのような装置は、しばしば、加工中に基板を収容する反応チャンバを含む。また、反応チャンバは、典型的には、半導体製造プロセスを達成するための様々なハードウェア部品(例えば基板支持体やシャワーヘッドなど)を含む。いくつかの場合には、基板を加工するために反応チャンバが使用する前に、反応チャンバを処理することがある。反応チャンバ処理は、多くの異なる形態を取ってよく、様々な理由で実施して差し支えない。
本明細書における特定の実施形態は、反応チャンバを調整する方法に関する。本明細書における特定の他の実施形態は、反応チャンバを調整するために構成された装置に関する。反応チャンバは、遠隔プラズマ加工を使用して基板を加工するために使用してよい。様々な実施形態において、反応チャンバを調整するステップは、低い度合いのラジカル再結合をもたらす材料でチャンバ内面をコーティングするステップを含む。また、チャンバは、低再結合材料を再形成するために定期的に再調整してもよい。
本明細書における実施形態の一態様では、遠隔プラズマ加工を行うために使用される反応チャンバを調整するための方法であって、反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、1つまたは複数の基板に対して遠隔プラズマ操作を実施した後に、反応チャンバを酸化プラズマに露出させて、反応チャンバ内部の露出された表面を再調整し、それにより低再結合材料コーティングを再形成するステップとを含む方法が提供される。
この方法は、低再結合材料コーティングを形成した後に、遠隔プラズマ操作を行って、反応チャンバ内で1枚または数枚の基板を加工するステップをさらに含むことができ、遠隔プラズマ操作が、反応チャンバ内部の露出された表面の少なくとも一部への第2のコーティングの形成をもたらし、第2のコーティングが、遠隔プラズマ操作中に、低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす。様々な場合に、反応チャンバを酸化プラズマに露出させるステップが、第2のコーティングを改質して、低再結合材料コーティングを再形成する。
いくつかの実施形態では、反応チャンバは、約0.05~5秒の期間にわたって酸化プラズマに露出される。そのような場合には、期間は、約0.1~1秒の間でよいこともある。
反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するための原子層堆積プロセスが、(a)第1の反応物を反応チャンバ内に流し、第1の反応物を、反応チャンバ内部の露出された表面上に吸着させるステップと、(b)第1の反応物を反応チャンバからパージするステップと、(c)第2の反応物を反応チャンバ内に流すステップと、(d)反応チャンバ内部の露出された表面をプラズマに露出させて、第1の反応物と第2の反応物との表面反応を推し進めて、反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、(e)低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返すステップとを含んでよい。低再結合材料コーティングの最終的な厚さは、反応チャンバ内部の基板支持体上での低再結合材料コーティングの平均厚さによって測定されたときに、少なくとも約50Åでよい。
様々な実施形態において、低再結合材料コーティングは、酸化ケイ素を含む。いくつかのそのような実施形態では、遠隔プラズマ操作を実施するステップは、1枚または数枚の基板上にケイ素含有被膜を堆積するステップを含み、第2のコーティングは、ケイ素含有材料を含む。
プラズマは、多くの異なる方法で発生させてよい。いくつかの実施形態では、第1と第2の反応物の少なくとも一方が、遠隔プラズマチャンバから反応チャンバ内に流れ、遠隔プラズマチャンバは、反応チャンバの近位に位置され、シャワーヘッドによって反応チャンバから離隔される。例えば、第1と第2の反応物の両方が、遠隔プラズマチャンバから反応チャンバ内に流れるものとしてよく、酸化プラズマは、遠隔プラズマチャンバ内で発生され、シャワーヘッドを通って反応チャンバ内に流れるよにしてもよい。いくつかの他の実施形態では、酸化プラズマが、反応チャンバ内でインサイチュで発生される。
遠隔プラズマ操作は、いくつかのステップを含んでもよい。例えば、遠隔プラズマ操作を実施するステップは、1枚または数枚の基板のうちの1枚を反応チャンバ内に提供するステップと、ケイ素含有反応物をインサイチュプラズマに露出させずに、ケイ素含有反応物を反応チャンバ内に流すステップと、反応チャンバの近位に位置決めされ、シャワーヘッドによって反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、水素プラズマを、シャワーヘッドを通して反応チャンバ内に流し、その一方で、ケイ素含有反応物が反応チャンバ内に流されるステップと、基板をケイ素含有反応物と水素プラズマとに同時に露出させて、基板上にケイ素含有被膜を堆積するステップとを含むものとしてよい。
反応チャンバが酸化プラズマに露出されるとき、反応チャンバは、基板を含むことも、含まないこともある。いくつかの実施形態では、反応チャンバが酸化プラズマに露出されるとき、1枚または数枚の基板が反応チャンバ内に存在する。他の実施形態では、チャンバを酸化プラズマに露出させる前に基板が取り除かれる。
いくつかの実施形態では、この方法は、基板が反応チャンバ内にある状態で反応チャンバを酸化プラズマに露出させた後、第2の遠隔プラズマ操作を実施して、反応チャンバ内で基板をさらに加工するステップであって、第2の遠隔プラズマ操作が、再び第2のコーティングの形成をもたらすステップと、第2の遠隔プラズマ操作を実施した後、基板が反応チャンバ内に存在する状態で反応チャンバを第2の酸化プラズマに露出させて、反応チャンバ内部の露出された表面を再び再調整して、第2のコーティングを改質して、低再結合材料コーティングを再形成するステップとをさらに含むものとしてよい。
いくつかの場合には、この方法は、循環して実施してもよい。例えば、この方法は、(a)1枚または数枚の基板に対して遠隔プラズマ操作を実施するステップと、(b)反応チャンバを酸化プラズマに露出させて、反応チャンバ内部の露出された表面を再調整するステップとを循環して繰り返すことを含むことができ、ステップ(b)の各繰返しの合間に、ステップ(a)において約1~50枚の基板が加工される。
1バッチの基板が加工された後、反応チャンバ内部の露出された表面上に存在するすべての低再結合材料コーティングおよび第2のコーティングを除去するために反応チャンバを洗浄することができ、この洗浄は、反応チャンバをフッ素含有プラズマに露出させることを含む。洗浄後、この方法を繰り返すしてもよい。
開示される実施形態の別の態様では、基板を加工するための遠隔プラズマ加工装置であって、反応チャンバを含み、反応チャンバが、チャンバ内面と、反応チャンバ内で基板を支持するための基板支持体と、反応チャンバから材料を除去するための排出口とを含み、遠隔プラズマ加工装置がさらに、遠隔プラズマチャンバを含み、遠隔プラズマチャンバが、遠隔プラズマチャンバ内部でプラズマを発生するためのプラズマ発生器と、遠隔プラズマチャンバにガスを送給するための入口と、遠隔プラズマチャンバ内で発生されたプラズマを反応チャンバに提供するための出口とを含み、遠隔プラズマ加工装置がさらに、制御装置を含み、制御装置が、反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって反応チャンバのチャンバ内面上に低再結合材料コーティングを形成するための命令と、基板に対して遠隔プラズマ操作を実施した後に、反応チャンバを酸化プラズマに露出させて、チャンバ内面を再調整し、それにより低再結合材料コーティングを再形成するための命令とを有する遠隔プラズマ加工装置が提供される。
制御装置は、低再結合材料コーティングを形成した後に、反応チャンバ内に基板を提供するための命令と、遠隔プラズマチャンバ内でプラズマを発生し、プラズマを反応チャンバに提供して、遠隔プラズマ操作を実施するための命令とをさらに有することができ、遠隔プラズマ操作が、チャンバ内面の少なくとも一部への第2のコーティングの形成をもたらし、第2のコーティングが、遠隔プラズマ操作中に、低再結合材料コーティングよりも高い割合のラジカル再結合をもたらし、反応チャンバを酸化プラズマに露出させることが、第2のコーティングを改質して、低再結合材料コーティングを再形成する。
様々な実施形態において、遠隔プラズマチャンバの出口は、遠隔プラズマチャンバを反応チャンバから離隔するシャワーヘッドである。
反応チャンバを酸化プラズマに露出させるための命令は、約0.05~5秒の間の期間にわたって反応チャンバを酸化プラズマに露出させるための命令を含むものとしてよい。いくつかの実施形態では、原子層堆積プロセスによって反応チャンバのチャンバ内面上に低再結合材料コーティングを形成するための命令が、(a)第1の反応物を反応チャンバ内に流し、第1の反応物を、反応チャンバ内部の露出された表面上に吸着させるための命令と、(b)第1の反応物を反応チャンバからパージするための命令と、(c)第2の反応物を反応チャンバ内に流すための命令と、(d)反応チャンバ内部の露出された表面をプラズマに露出させて、第1の反応物と第2の反応物との表面反応を推し進めて、反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するための命令と、(e)低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返すための命令とを含んでよい。様々な実施形態において、最終的なコーティング厚さが、基板支持体上に堆積された平均厚さに基づいて測定されたときに、少なくとも約50Åである。
これらおよび他の特徴を、関連の図面を参照しながら以下で説明する。
遠隔プラズマを使用して基板を加工するための反応チャンバの簡略図である。
内面を覆う低再結合材料コーティングを有する図1の反応チャンバを示す図である。
原子層堆積(ALD)タイプの反応を使用してチャンバ内面上に低再結合材料コーティングを形成する方法を述べる流れ図である。
低再結合材料コーティングが再調整される前の反応チャンバ内で加工される基板に関する被膜厚さプロファイルを示す図である。 低結合材料コーティングが再調整された後の反応チャンバ内で加工される基板に関する被膜厚さプロファイルを示す図である。
本出願において、用語「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製造された集積回路」は、交換可能に使用される。用語「半製造された集積回路」は、シリコンウェハ上での集積回路製造の多くの段階の任意の段階中のシリコンウェハを表すことができることを当業者は理解されよう。半導体デバイス産業で使用されるウェハまたは基板は、典型的には、200mm、または300mm、または450mmの直径を有する。別段の記載がない限り、本明細書に記載する加工の細目(例えば流量や出力レベルなど)は、直径300mmの基板の加工、または直径300mmの基板を加工するために構成されたチャンバの処理に関するものであり、他のサイズの基板またはチャンバに適するようにスケール調整することができる。本明細書で述べるチャンバを使用して、様々な形状、サイズ、および材料の加工対象物を加工して差し支えない。半導体ウェハに加えて、特定の実施形態に従って用意されるチャンバ内で加工される可能性がある他の加工対象物は、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光学素子、マイクロメカニカルデバイスなど様々な物品を含む。
以下の説明では、提示される実施形態を完全に理解できるように、いくつかの特定の詳細を記載する。開示される実施形態は、これらの特定の詳細のいくつかまたは全てを伴わずに実施してもよい。なお、開示される本発明の実施形態を不要に曖昧にしないように、周知のプロセス操作は詳細には述べていない。開示される実施形態を特定の実施形態に関連付けて述べるが、開示される実施形態を限定することは意図されていないことを理解されたい。
様々な半導体製造プロセスは、遠隔プラズマの使用を含む。遠隔プラズマは、ある場所で発生され、加工が行われる第2の場所に送給されるプラズマであり、第2の場所は、第1の場所とは別である。例えば、遠隔プラズマは、反応チャンバと接続されたプラズマ源で発生させることができ、プラズマは、加工中にプラズマ源から反応チャンバに送給される。いくつかのプロセスにおいて遠隔プラズマが望ましい1つの理由は、遠隔プラズマが、加工チャンバ内で直接発生されるプラズマに比べて、比較的高濃度のラジカルと、比較的低濃度のイオンとを提供することができることである。したがって、ラジカルによって加工が行われることが望まれるときには、遠隔プラズマを使用することが特に有用である。
本明細書では、遠隔プラズマ加工用に使用される反応チャンバ、および遠隔プラズマ加工用に使用される装置を調整する方法が開示される。ラジカルベースのプロセスの例は、堆積プロセス、エッチングプロセス、および処理プロセスを含む。特定の例では、ラジカルベースのプロセスは、炭化ケイ素、炭窒化ケイ素、酸炭化ケイ素、およびそれらの組合せ(例えば酸炭窒化ケイ素)などのケイ素含有被膜を堆積するための水素ラジカルベースのプロセスである。いくつかの実施形態では、堆積プロセスは、以下にさらに述べる水素ラジカルベースの堆積プロセスである。
様々な遠隔プラズマプロセスで生じる1つの問題は、ラジカル再結合である。基板に達する前にラジカルが再結合する場合、そのようなラジカルは、基板上での加工にはもはや利用可能でない。いくつかのラジカルが、他のラジカルよりも大きい度合いでこの問題を被る。例えば、水素ラジカルは、ほとんどの材料に対して非常に高い再結合率を有する。その結果、遠隔で発生された水素ラジカルを使用して基板を加工するとき、そのようなラジカルは、プラズマ源と反応チャンバとの間の表面上および/または反応チャンバの表面上でしばしば再結合し、これにより、これらのラジカルは、気相中または基板上での有用な加工を行うことができなくなる。
ラジカル再結合は、チャンバ洗浄の直後に特に問題となる。様々な実装形態において、半導体基板は、バッチ内で順次に加工される。1つのバッチは、連続するチャンバ洗浄操作の合間に同じ反応チャンバ内で加工される1群の基板を含む。一例では、反応チャンバが洗浄され、次いで100枚の基板が反応チャンバ内で加工され(例えば1枚ずつ、または、反応チャンバ内で複数の基板を一度に加工するために装置が複数のステーションを装備されている場合には一度に数枚ずつ)、次いで反応チャンバが再び洗浄される。それら100枚の基板が1バッチである。本明細書におけるいくつかの実施形態では、バッチは、約100~3000枚の基板を含む。バッチサイズは、各基板上に堆積される被膜の厚さに少なくとも一部依存する。バッチサイズは、チャンバ表面上での蓄積の増加に伴う堆積される被膜の不均一性の増加、または蓄積の増加に伴う欠陥(粒子)の増加によって主に制限される。最適なバッチサイズは、堆積される被膜によっても影響を及ぼされ、チャンバ壁と基板とに堆積する被膜材料の量によっても影響を及ぼされる。多くの場合にチャンバはペデスタルよりも低温であるので、低温で比較的多く堆積する被膜は、これらの表面上で非常に急速に成長し、最終的には粒子の問題を引き起こす。逆に、より低温で比較的低い速度で堆積する被膜に関しては、粒子の問題が生じる前に、より多くのウェハを容易に処理しても差し支えないであろう。
いくつかの実施形態では、チャンバは、フッ素ベースの化学物質で洗浄してもよい(裸のチャンバ壁、例えば裸のアルミニウム壁を残す)。チャンバが洗浄された後、残存して基板に達するラジカルの数は非常に少なく、望み通りに基板を加工できなくなる。
(低ラジカル再結合表面コーティング)
本明細書で開示される方法の実装形態は、関連するラジカルに関して低い再結合率を示す材料で関連するチャンバ表面をコーティングすることを含む。例えば、特定の実施形態では、チャンバ内の基板を加工するために使用されるラジカルは、チャンバ表面上にコーティングされた材料上で約5E-4以下(例えば1E-4以下)の再結合確率を有する。例えば、酸化ケイ素でコーティングされた表面と相互作用する水素ラジカルは、約4E-5の再結合確率を示す。
水素ラジカルの文脈で、低い再結合率をもたらす1つの材料は、酸化ケイ素(SiO2)である。酸化ケイ素は、他のラジカルについても低いラジカル再結合率をもたらすことがある。したがって、本明細書における様々な実施形態において、関連する表面上での水素ラジカル(または他のラジカル)の低い再結合率を維持する助けとなるように、関連する表面が酸化ケイ素でコーティングされる。関連する表面は、例えば、反応チャンバ内部の表面、反応チャンバと遠隔プラズマ源との間の表面、および遠隔プラズマ源内部の表面を含むことがある。コーティングされることがある反応チャンバ内部または近傍の表面は、例えば、基板支持体/ペデスタル、チャンバ壁/天井/床、シャワーヘッド、シャワーヘッド穴、入口、出口などを含む。特定の実施形態で低い割合のラジカル再結合をもたらす場合のある他の材料の例は、以下に列挙する。
図1は、水素ラジカルベースの堆積プロセスなど、特定のラジカルベースのプロセスのために使用され得る装置の簡略図を示す。特定の実施形態によれば、他のラジカルベースのプロセスおよび反応チャンバを使用してもよい。加工チャンバ102は、チャンバ壁103と、チャンバ床104と、チャンバ天井105とを含む。加工チャンバ102の内部には基板支持体106があり、基板支持体106上に基板107が乗る。また、加工チャンバ102は、入口108と、排出口109とを含む。遠隔プラズマ源110が、加工チャンバ102の上方に提供される。遠隔プラズマ源110は、遠隔プラズマ源内部でプラズマを発生させるためのプラズマ発生器(図示せず)を含む。プラズマ発生器は、プラズマを生成するためのハードウェア(例えばコイルや電極など)を含み、このプラズマは、誘導結合プラズマ、容量結合プラズマ、マイクロ波結合プラズマなどでよい。遠隔プラズマ源110は、複数のシャワーヘッド穴112を有するシャワーヘッド111によって加工チャンバ102から離隔される。遠隔プラズマ源110は、遠隔プラズマを発生するために使用されるガスを提供するための入口113を有する。
図2は、低再結合材料コーティング220でコーティングされた後の、図1に示される装置を示す。この図では基板107が示されておらず、低再結合材料コーティング220は、例示の目的で厚さを誇張されている。さらに、低再結合材料コーティング220は、シャワーヘッド穴112の内面上など、図2では見えない領域に存在することもある。いくつかの実施形態では、低再結合材料コーティング220は、加工チャンバ102の内部にある表面のみを覆う。他の実施形態では、低再結合材料コーティング220は、上述したように、遠隔プラズマ110の内部の表面、および/またはシャワーヘッド表面も覆う。
本明細書における実施形態の多くは、酸化ケイ素からなる低再結合材料の文脈で提示される。酸化ケイ素は、水素ラジカルの再結合を最小限に抑えるのに非常に効果的な材料である。しかし、特定の実装形態では、酸化ケイ素以外の材料を使用してもよい。選択される材料は、使用される特定のラジカルについて低い割合のラジカル再結合をもたらすものにすべきである。さらに、選択される材料は、例えば本明細書で述べる原子層堆積(ALD)法を使用して、反応チャンバの表面の上に共形に形成することができるものにすべきである。様々な場合に、低再結合材料は酸化物である。低再結合材料として有用であり得る酸化ケイ素以外の材料の例は、限定はしないが、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム、酸化イットリウム、イットリア安定化ジルコニア(YSZ)、およびそれらの組合せである。
(低再結合材料コーティングの形成)
低再結合材料コーティングは、堆積が望まれる全ての表面上への低再結合材料の堆積を生じるように形成してもよい。この目標に特に適した1つの方法は、原子層堆積(ALD)である。ALD法は、露出された表面上に非常に均一な/共形の被膜を堆積する循環プロセスである。
図3は、反応チャンバの表面上に低再結合材料コーティングを形成する方法に関する流れ図を示す。この方法を、図1および図2に示される装置の文脈で提示する。方法は操作301から始まり、操作301において、第1の反応物が加工チャンバ102内に流される。第1の反応物は、入口108を通って加工チャンバ102に流入するものとしてよく、または入口113を通って遠隔プラズマチャンバ110に流入するものとしてよい。入口113を通して遠隔プラズマチャンバ110に反応物を流すことは、遠隔プラズマチャンバ110内部の表面上およびシャワーヘッド穴112の内面上への低結合材料220の形成をもたらす。第1の反応物が入口108を通って加工チャンバ102内に流される特定の場合には、第1の反応物が送給される一方で、遠隔プラズマ源110を通ってはガスが流れない。第1の反応物が送給される場所に関係なく、第1の反応物は、プラズマが存在しない状態で、ガスの形態で送給される。第1の反応物は、チャンバ壁103、チャンバ床104、チャンバ天井105、および基板支持体106など加工チャンバ102の表面上に吸着する。第1の反応物が吸着するさらなる表面は、シャワーヘッド111の表面と、遠隔プラズマチャンバ110内部の表面とを含むことがある。排気弁(図示せず)を閉じ、第1の反応物の送給と共に加工チャンバ内部の圧力をわずかに上昇させることによって、第1の前駆体の吸着および飽和をもたらすものとしてよい。
低再結合材料220が酸化ケイ素である場合、第1の反応物は、典型的にはケイ素含有反応物である。いくつかの場合には、ケイ素含有反応物は、シラン、ハロシラン、またはアミノシランである。シランは、水素および/または炭素基を含むが、ハロゲンを含まない。シランの例は、シラン(SiH4)、ジシラン(Si26)、およびオルガノシラン、例えば、メチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、sec-ブチルシラン、テキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシランなどである。ハロシランは、少なくとも1つのハロゲン基を含み、水素および/または炭素基を含むことも含まないこともある。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシラン、特にフルオロシランは、シリコン材料をエッチングすることができる反応性ハロゲン化合物種を生成することがあるが、本明細書で述べる特定の実施形態では、プラズマが衝突されるときにケイ素含有反応物は存在しない。具体的なクロロシランは、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ-sec-ブチルシラン、t-ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、ケイ素原子に結合された少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素も含むことがある。アミノシランの例は、モノ-、ジ-、トリ-、およびテトラ-アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、およびSi(NH24)、ならびに、置換されたモノ-、ジ-、トリ-、およびテトラ-アミノシラン、例えば、t-ブチルアミノシラン、メチルアミノシラン、tert-ブチルシランアミン、ビス(tert-ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、tert-ブチルシリルカルバメート、SiH(CH3)-(N(CH322、SiHCl-(N(CH322、(Si(CH32NH)3などである。アミノシランのさらなる例は、トリシリルアミン(N(SiH3 3 )、ジメチルアミノジメチルシラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)メチルシラン、ジメチルアミノトリメチルシラン、トリス(ジメチルアミノ)シラン、およびビス(ジエチルアミノ)シランを含む。いくつかの場合には、これらの反応物の組合せを使用してもよい。
低再結合材料が金属酸化物である場合、第1の反応物は、いくつかの場合には、金属アルキルアミン、金属アルコキシド、金属アルキルアミド、金属ハロゲン化合物、金属β-ジケトネート、金属カルボニル、有機金属などでよい。適切な金属含有前駆体は、被膜に取り込まれることが望まれる金属を含む。例えば、低再結合材料が酸化アルミニウムである場合、第1の反応物は、とりわけ、アルミニウムトリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)(Al(OCC(CH33CHCOC(CH333)、トリイソブチルアルミニウム([(CH32CHCH23Al)、トリメチルアルミニウム((CH33Al)、トリス(ジメチルアミド)アルミニウム(Al(N(CH323)、およびそれらの組合せである。
低再結合材料が酸化ハフニウムである場合、第1の反応物は、典型的には、ハフニウム含有反応物である。ハフニウム含有反応物の例は、とりわけ、ビス(tert-ブチルシクロペンタジエニル)ジメチルハフニウム(C2032Hf)、ビス(メチル-η5-シクロペンタジエニル)ジメチルハフニウム(Hf[C54(CH3)]2(CH32)、ビス(メチル-η5-シクロペンタジエニル)メトキシメチルハフニウム(HfCH3(OCH3)[C54(CH3)]2)、ビス(トリメチルシリル)アミドハフニウムクロライド([[(CH33Si]2N]2HfCl2)、ジメチルビス(シクロペンタジエニル)ハフニウム((C552Hf(CH32)、ハフニウムtert-ブトキシド(Hf[OC(CH334)、ハフニウムイソプロポキシドイソプロパノール(C1228HfO4)、テトラキス(ジエチルアミド)ハフニウム([(CH2CH32N]4Hf)、テトラキス(ジメチルアミド)ハフニウム([(CH32N]4Hf)、テトラキス(エチルメチルアミド)ハフニウム([(CH3)(C25)N]4Hf)、およびそれらの組合せを含む。
低再結合材料が酸化ジルコニウムである場合、第1の反応物は、典型的には、ジルコニウム含有反応物である。ジルコニウム含有反応物の例は、限定はしないが、ビス(シクロペンタジエニル)ジルコニウムジヒドリド(C1012Zr)、ビス(メチル-η5-シクロペンタジエニル)メトキシメチルジルコニウム(Zr(CH3542CH3OCH3)、ジメチルビス(ペンタメチルシクロペンタジエニル)ジルコニウム(C2236Zr)、テトラキス(ジエチルアミド)ジルコニウム([(C252N]4Zr)、テトラキス(ジメチルアミド)ジルコニウム([(CH32N]4Zr)、テトラキス(エチルメチルアミド)ジルコニウム(Zr(NCH3254)、ジルコニウムジブトキシド(ビス-2,4-ペンタンジオネート)(C18326Zr)、ジルコニウム2-エチルヘキサノエート(Zr(C81524)、ジルコニウムテトラキス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)(Zr(OCC(CH33CHCOC(CH334)、およびそれらの組合せを含む。他の金属酸化物が形成されることもあり、他の反応物を使用してもよい。
いくつかの実施形態では、第1の反応物は、ハロゲン含有反応物でない。いくつかの実施形態では、特定のチャンバ表面(例えばアルミニウム表面)との望ましくない相互作用を回避するために、ハロゲンを含有しない反応物が有益であり得る。いくつかのそのような実施形態では、第1の反応物は、アミン基を含むことがある。これらまたは他の場合、第1の反応物は、アルコキシ基を含むことがある。
これらの反応チャンバは、典型的には、第1の反応物の送給中に比較的高い圧力で維持される。高い圧力は、全ての関連する表面上に第1の反応物が吸着することを保証する助けとなる。化学物質の分圧が高ければ高いほど、飽和反応が行われるのにかかる時間が短くなる。いくつかの実施形態では、反応チャンバ内の圧力は、約0.1~20Torrの間、例えば約0.5~4Torrの間でよい。最適な圧力は、可能な前駆体流量、時間、およびチャンバ体積によって主に影響を及ぼされる。チャンバ表面は、約20~600℃の間、例えばいくつかの場合には約50~450℃の間の温度で維持してもよい。いくつかの実施形態では、第1の反応物は、約0.1秒~5分の間または約10秒~3分の間の期間にわたって、約1~1000sccmの間または約1~25sccmの間の速度で反応チャンバ内に流してもよい。第1の反応物の流れに関する最適な期間は、前駆体の流量および分圧によって、ならびにチャンバのサイズによって影響を及ぼされる。
次に、操作303で、加工チャンバ102がパージされる。パージは、加工チャンバ102をパージガス(例えば不活性ガス)でスイープすることによって、真空に吸引して加工チャンバ102内のガスを抜くことによって、またはこれらのオプションの組合せによって行ってもよい。パージは、吸着していない第1の反応物の分子を加工チャンバ102から除去する作用をする。
加工チャンバ102をパージした後、操作305で、第2の反応物が加工チャンバ102内に流される。第1の反応物と同様に、第2の反応物は、入口108を通して加工チャンバ102に流入させる、または入口113を通して遠隔プラズマチャンバ110に流入させてもよい。入口113を通して遠隔プラズマチャンバ110内に第2の反応物を流すことは、遠隔プラズマチャンバ110内部の表面上およびシャワーヘッド111の表面上に低再結合材料を形成する助けとなる。第1と第2の反応物の一方または両方を、キャリアガス、例えば不活性ガスと共に流してもよい。
第2の反応物は、しばしば、酸素含有反応物である。酸素含有反応物の例は、限定はしないが、酸素(O2)、二酸化炭素(CO2)、一酸化二窒素(N2O)、水(H2O)、オゾン、およびそれらの組合せを含む。特定の例では、第2の反応物は酸素である。いくつかの実施形態では、第2の反応物は、約0.25~60秒の間または約1~20秒の間の期間にわたって、約100~20000sccmの間または約500~5000sccmの間の速度で流れる。第2の反応物は、不活性キャリアガス(例えばヘリウムやアルゴンなど)と共に送給することができ、これはまた、プラズマを確立して維持する、および分解効率を高める助けとなり得る。
操作307で、表面反応を使用して前駆体を低再結合材料コーティング220に変換するためにエネルギーが供給される。低再結合材料220は、例えば図2に示されるように様々な表面上に生じる。多くの実施形態において、エネルギーは、熱エネルギーまたはプラズマエネルギーでよい。反応を推し進めるために熱エネルギーが使用される場合、低再結合材料の形成をもたらすためにチャンバ表面を高温にしてもよい。さらに、熱エネルギーが使用される場合、低再結合材料を形成するために使用される反応物は、特に反応性が高いもの(例えばオゾンや水など)を選択してもよい。プラズマエネルギーが使用される場合、いくつかの場合には比較的低い反応性の反応物を使用してもよい。
プラズマは、加工チャンバ102内または遠隔プラズマチャンバ110内で発生させてもよい。プラズマが遠隔プラズマチャンバ110内で発生される場合、ラジカルは、基板に対する加工に伴って、加工チャンバ102内に拡散して広がる。プラズマは、誘導結合プラズマ、容量結合プラズマ、マイクロ波結合プラズマなどでよい。いくつかの実施形態では、プラズマを発生させるために使用されるRF出力は、1ステーション当たり約200~4500Wの間または1ステーション当たり約1500~3000Wの間でよい。最適な出力は、プラズマを発生するために使用されるプラズマ源に依存する。ICP源は、典型的には比較的高い出力を使用し、CCP源は、典型的には比較的低い出力を使用する。プラズマを発生するために使用される周波数は、いくつかの実施形態ではHF周波数でよい。例示的なHF周波数は、約1.8MHz~約2.45GHzの間の範囲である。いくつかの実施形態で使用されることがある特定の例示的な周波数は、13.56MHz、27MHz、40MHz、60MHz、100MHz、および/または2.45GHzを含む。プラズマは、約0.25~60秒の間または約1~20秒の間の期間にわたって存在することがある。
いくつかの実施形態では、第2の反応物の流れが止まった後に、操作307(時として変換操作とも呼ばれる)が行われる。任意選択で、操作305と操作307との間に、例えばスイープガスを使用して、および/または加工チャンバ102を真空化することによって、加工チャンバ102をパージするものとしてもよい。他の実施形態では、操作305と操作307とが重なり合っていてもよい。例えば、いくつかの場合には、第2の反応物が加工チャンバ102内に依然として流れている状態で変換操作307が始まる(すなわち、操作305の進行中に操作307が始まる)。他の場合には、変換操作307と、操作305での第2の反応物の送給とが実質的に同時に始まる。操作305での第2の反応物の流れは、変換操作307の終了前、終了中、または終了時に止めるものとしてもよい。
次に、操作309で、吸着していない種を除去するために加工チャンバ102がパージされる。操作309は、時として、プラズマ後パージと呼ばれる。操作301~309がひとまとまりで、ALD反応の1回のサイクルとなる。各サイクルは、約1モノレイヤ(約0.5~3Å程度の厚さであり得る)の材料を堆積するものとしてよいが、いくつかの実施形態では、1モノレイヤ未満の層または1モノレイヤよりも厚い層を堆積するものとしてもよい。各ALDサイクルの終了時、操作311で、堆積された低再結合材料コーティング220が十分に厚いかどうかが判断される。材料が十分に厚い場合、堆積プロセスは終了する。そうでない場合、プロセスは、操作301から繰り返し、追加の低再結合材料が堆積される。操作311での判断は、しばしば、1サイクル当たりに堆積される既知/推定の厚さ、サイクルの数、および所望の最終厚さに基づいて行われる。
いくつかの実施形態では、低再結合材料コーティング220は、約50~500Åの間または約100~300Åの間の合計の厚さに堆積される。特定の場合には、より厚いコーティングが使用されることもある。1つの特定の例では、低再結合材料220は、約200Åの厚さに堆積される。これらの厚さは、低再結合材料の堆積中に約400℃の温度で基板支持体が維持された場合に基板支持体106(または存在する場合には基板支持体106上の基板)上に堆積される被膜の平均厚さに基づく。少なくとも低再結合材料が酸化ケイ素である場合には、様々なチャンバ表面上で被膜厚さが比較的均一であると考えられるが、被膜は、他の領域では異なる厚さを有することもある。これらまたは他の実施形態において、低再結合材料コーティング220を形成するためのALDサイクルの総数は、約50~1000の間または約100~300の間でよい。特定の例では、約150サイクルが使用され、基板支持体106上で約200Åの合計の厚さを生じる。
酸化ケイ素からなる低再結合材料コーティング220を形成する代替法を使用することができる。一例では、水素(H2)プラズマを使用して、加工チャンバ内部の水晶構成要素をエッチングすることができる。水晶構成要素から、水晶中のSiO2がエッチングされ、加工チャンバ内部で表面上に再分散される。水晶をエッチングしてSiO2を再分散する方法を使用してもよいが、特定のチャンバに関しては、ALD加工がより適していることがあり得る。図3に関連して述べたALDを用いた低再結合材料の堆積と比較して、インサイチュH2プラズマ法は、より長時間かかることがあり、チャンバ表面上の被覆がそれほど均一でない。さらに、この方法(H2プラズマ)は、望ましくないことがある水晶構成要素の劣化を引き起こし、また、以下にさらに述べるように、このようにして形成された酸化ケイ素は、加工チャンバ内で加工が行われた後に再調整して低再結合材料にすることがあまり上手くできないことがある。
低再結合材料コーティング220は、典型的には、基板を加工するために加工チャンバが使用される前に形成される。様々な実施形態において、低再結合材料コーティング220は、(例えばフッ素ベースの化学物質または他のチャンバ洗浄技法を使用する)チャンバ洗浄操作の後、かつ基板を加工する前に形成される。すなわち、低再結合材料コーティング220は、基板の各バッチの開始時に形成してもよい。
低再結合材料コーティング220は、基板上で化学気相成長(CVD)および/または原子層堆積(ALD)を行うための特定のリアクタなど特定の半導体加工装置で使用される「アンダーコート」と対比することができる。図2に示される低再結合材料コーティング220と非常に似た様式で、様々なCVDおよびALDリアクタにおいて、約3~4μmの間の厚さを有するアンダーコートがチャンバ内面(多くの場合、アルミニウムである)に堆積される。しかし、これら2つのコーティングは大きく異なる厚さを有し(アンダーコートは、開示される低再結合材料コーティング220よりもはるかに厚い)、異なる理由で提供される。CVDおよびALDリアクタにおいて、アンダーコートは、金属チャンバ表面が基板を汚染するのを防止するためにチャンバ表面を覆うために提供される。そのようなリアクタで使用されるインサイチュプラズマは、非常に反応性が高いものであり得、アンダーコートが存在しない場合には金属チャンバ表面を侵食し得る。また、アンダーコートは、1バッチの基板を加工する過程にわたって、より均一なチャンバインピーダンスを提供するようにさせてよい。対照的に、低再結合材料コーティング220は、CVDおよびALDリアクタで使用されるアンダーコートよりもはるかに薄く、単に、基板上での加工に利用可能なラジカルの量を最大にするためにラジカル再結合の量を最小限に抑えるために提供される。理論に拘束されることを望むものではないが、裸のチャンバ表面に関係付けられる基板汚染の問題は、遠隔プラズマが使用される場合にははるかに小さい。なぜなら、遠隔プラズマはそれほど反応性が高くなく、チャンバ表面を侵食して基板を汚染する可能性がより低いからである。本明細書における様々な実施形態において、加工チャンバおよびその内部の表面は、低再結合材料の比較的薄い層でコーティングされ、(本明細書で述べたような)比較的厚いアンダーコートは使用されない。
(低再結合材料コーティングの再調整)
低再結合材料コーティングは、加工中のラジカル再結合の度合いを最小限に抑えるのに非常に有用であるが、基板のバッチ全体の加工中にいくつかの問題が生じる。例えば、バッチ内でさらなる基板が加工されるとき、低再結合材料でコーティングされた様々な表面上に材料が蓄積する。蓄積する材料は、基板上に堆積される材料(例えば、いくつかの場合には、炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素)や、加工の副生成物などであり得る。この材料は、低再結合材料コーティングをある程度覆うことがあり、これは、低再結合材料コーティングの有効性を大幅に低下させる。多くの場合、1バッチ中にチャンバ表面上に蓄積する材料は、低再結合材料コーティングに使用される材料(例えばSiO2、または本明細書で述べるような他の材料)よりも高い割合のラジカル再結合をもたらす材料である。したがって、バッチが進むにつれて、ラジカル再結合がより大きな問題となる。
徐々に悪化するラジカル再結合の問題は、所与の基板に関する被膜均一性にかなりの影響を及ぼす。より特定的には、中心-縁部の被膜均一性が損なわれる。材料がチャンバ表面上に堆積するにつれて、基板の周縁部分は、基板の中心部分よりもはるかに大きな影響を及ぼされる。これは、基板の周縁部分に対してチャンバ表面が近くにあること(これは、材料が堆積される時/後に近くのラジカルを実質的に消滅させる)、およびそもそもこの周縁領域に送給されるラジカルがより少ないことによる。この被膜不均一性は、1バッチの過程にわたり、比較的安定した値へ向かうにつれて、悪化する。さらに、徐々に悪化するラジカル再結合の問題は、基板上で堆積が生じる速度の揺れを生じさせることがある(1バッチの過程にわたって堆積速度が減速する)。
本明細書で開示される方法の特定の実施形態は、低再結合材料コーティングを定期的に再調整することによって、材料蓄積の問題に対処する。この再調整は、蓄積された材料を変質して、より低い度合いのラジカル再結合をもたらす材料に変える。これは、蓄積された材料を変質して、ヒドロキシル化表面を形成することを含むことがある。特定の例では、蓄積された材料は、ケイ素含有材料(例えば、炭化ケイ素、炭窒化ケイ素、および/または酸炭化ケイ素)であり、再調整プロセスは、ケイ素含有材料を酸化ケイ素に変えることを含む。
低再結合材料コーティングを再調整するための1つの方法は、材料を酸素含有プラズマに露出させることである。酸素含有プラズマは、蓄積された材料から炭素(および他のケイ素/酸素以外の元素)を効果的に燃焼/除去し、望まれる二酸化ケイ素からなる低再結合材料を再形成する。
別の例示的実施形態では、アルミニウム含有被膜を形成するために加工チャンバが使用されることがあり、低再結合材料は酸化アルミニウムであり、チャンバ表面上の望ましくない蓄積された材料はアルミニウム含有被膜であり、再調整プロセスは、チャンバ表面を酸素含有プラズマに露出させて、蓄積された材料を酸化アルミニウムに変換して戻すことを含む。別の実施形態では、ハフニウム含有被膜を形成するために加工チャンバが使用され、低再結合材料は酸化ハフニウムであり、チャンバ表面上の望ましくない蓄積された材料はハフニウム含有被膜であり、再調整プロセスは、チャンバ表面を酸素含有プラズマに露出させて、蓄積された材料を酸化ハフニウムに変換して戻すことを含む。他の金属を使用する同様の実装形態も、本発明の実施形態の範囲内で企図される。さらに他の実施形態では、低再結合材料は酸化ケイ素であり、再調整プロセスは、基板上で行われるプロセスまたは蓄積された材料の組成に関係なく、酸化ケイ素の形成をもたらすために酸素含有プラズマに露出させることを含む。
酸素含有プラズマを生成するために使用して差し支えのないガスを例示すると、酸素(O2)、二酸化炭素(CO2)、一酸化二窒素(N2O)、水(H2O)、オゾン、H2+O2、およびそれらの組合せが含まれる。いくつかの実施形態では、酸素含有プラズマを発生するために使用されるガスは、不活性ガスを含むこともある。酸素含有ガスは、約100~20000sccmの間または約500~5000sccmの間の速度で流してもよい。プラズマは、加工チャンバ内部または遠隔プラズマチャンバ内部で発生させてもよい。
多くの場合、加工チャンバは、比較的短時間にわたって、例えば約5秒以下、約3秒以下、または約1秒以下、酸素含有プラズマに露出される。いくつかの実施形態では、低再結合材料を再調整するための酸素含有プラズマ露出の期間は、約0.05~3秒の間または約0.1~1秒の間である。
プラズマ露出の期間は非常に短いので、特定の実施形態では、基板が反応チャンバ内に依然として存在する状態で反応チャンバがプラズマに露出される。一例では、SiO2からなる低再結合材料コーティングを有する加工チャンバ内に基板が装填され、Hラジカルベースの堆積プロセスが行われて、基板上に約10~100Å(例えば30Å)のケイ素含有被膜を堆積する。次いで、堆積プロセスが停止し、基板が加工チャンバ内に依然として存在する状態で、基板およびチャンバが酸素含有プラズマに露出される。酸素含有プラズマは、基板上に堆積された被膜に対していくらかの影響を及ぼすことがあるが、そのような影響は小さい可能性が高く、多くの場合には許容可能なものと予想される。酸素含有プラズマへの露出後、さらなる10~100Å(例えば30Å)のケイ素含有被膜が基板上に堆積される。堆積された被膜がその最終的な厚さに達するまで、(a)基板上への堆積と、(b)酸素含有プラズマへの露出とを繰り返してよい。この実施形態は、基板上に堆積される被膜が特に厚い場合(例えば、最終的な厚さで少なくとも約60Å、時として最終的な厚さで約60~1500Åの間)に特に有用である。いくつかの場合には、連続する再調整プラズマ露出の合間に個々の基板上に堆積される被膜厚さは、約20~70Åの間または約30~50Åの間である。連続する再調整プロセスの合間に堆積すべき最適な被膜厚さは、被膜が堆積されることにより被膜不均一性が悪化する速さに依存する。
再調整の頻度は、様々な実装形態で異なることがある。前述の例では、低再結合材料コーティングは、基板当たり少なくとも1回(多くの場合には少なくとも2回)再調整される。基板上での望まれる最終的な被膜厚さが1000Åであり、連続する再調整プロセスの合間に40Åの被膜が堆積される別の例では、再調整プロセスは、基板当たり約25回行われる。合計被膜厚さと、再調整プロセスの合間に堆積される厚さとが、再調整を行うべき回数を決定する。
同様の例で、低再結合材料コーティングは、基板当たり少なくとも1回再調整されるが、酸素含有プラズマへの露出中に基板が反応チャンバから取り除かれる。一実装形態では、基板が加工チャンバ内に装填され、第1の厚さまで堆積が行われ、基板が加工チャンバから取り除かれ、本明細書で述べるように加工チャンバが再調整され、基板が再び加工チャンバ内に装填され、堆積が行われて、基板上に第2の厚さまで被膜を堆積する。これらのステップは、基板上の被膜が最終的な厚さに達するまで繰り返してよい。別の実装形態では、低再結合材料コーティングは、連続する基板の加工の合間に再調整される(例えば、再調整中、加工チャンバ内に基板が存在しても存在しなくてもよい)。さらに別の実装形態では、再調整は、n枚の基板ごとに1回行われ、ここで、nは、約1~50の間または約1~10の間である。
再調整に関する理想的な頻度は、基板上で行われる加工のタイプ、使用される化学物質、チャンバ表面上に蓄積する材料の組成、各基板上に堆積される被膜の厚さなどを含めた様々な因子に依存する。低再結合材料コーティングをどの程度頻繁に再調整するかを決定するために、(基板加工が基板上への堆積を含む実施形態では)基板上に堆積された被膜厚さの均一性に注目することができる。上記のように、材料の蓄積は、基板の中心部分よりも基板の周縁部分に大きな影響を及ぼす。したがって、被膜厚さ均一性(中心-縁部)が特定の閾値に達したときに、低再結合材料コーティングを再調整してよい。
基板の1バッチの終了時、加工チャンバ(および任意選択で遠隔プラズマチャンバ)を洗浄することができる。洗浄プロセスは、いくつかの場合には、フッ素含有化学物質(例えばフッ素含有プラズマ、例えばNF3ベースのプラズマ)の使用を含むことがあるが、他の方法を使用しても差し支えない。洗浄は、低再結合材料を含めた関連する表面上の全ての蓄積された材料を除去する。チャンバが洗浄された後、新たな基板バッチを提供するものとしてよい。新たなバッチが加工される前に、例えば図3に関連して述べた方法を使用して、新鮮な低再結合材料コーティングを提供することができる。新たな基板バッチが加工されるとき、上述したように、低再結合材料コーティングが定期的に再調整される。低再結合材料を提供し、再調整することによって、ラジカル再結合の度合いが最小限に抑えられ、残存して基板に達するラジカルの量が時間にわたってより均一になり、基板のバッチ内での(および個々の基板内部での)均一性が改良される。
様々な実施形態において、低再結合材料コーティングは、ALDプロセスによって形成される。上記のように、低再結合材料を形成するのに利用可能な1つの他の方法は、水素プラズマを使用して、反応チャンバ内部で水晶構成要素をエッチングすることであり、水晶中の二酸化ケイ素がエッチングされて様々なチャンバ表面上に再堆積される。意外にも、酸化ケイ素の低再結合材料コーティングがこの様式で最初に形成されるとき、酸素含有プラズマ露出は酸化ケイ素材料を上手く再調整しないことが判明している。材料は、酸素含有プラズマへの露出後でさえ、(少なくとも水素ラジカルに関して)比較的高い再結合の材料のままである。
酸素含有プラズマ再調整プロセスは、プラズマ加工で使用される反応チャンバを洗浄するために一般に使用される洗浄プロセスとは区別すべきである。様々な文脈において、プラズマリアクタは、蓄積された材料をチャンバ表面から除去するために、プラズマ(例えば、除去すべき材料のタイプに応じて、Fベースのプラズマまたはいくつかの場合にはOベースのプラズマ)を使用して洗浄するものとしてよい。一例では、化学気相成長によって被膜を堆積するために使用される反応チャンバは、酸素ベースのプラズマを使用して洗浄される。洗浄は、チャンバ表面上に意図せず堆積された材料(例えば、基板上に堆積される被膜、および/またはそのような堆積の副生成物)と、チャンバ表面上に意図して堆積された材料(例えば、本明細書の他の箇所で述べた比較的厚いアンダーコート)との両方を除去する。チャンバが洗浄された後、チャンバ表面は裸(例えばいくつかの場合にはアルミニウム)である。対照的に、酸素ベースのプラズマ再調整プロセスは、単に、チャンバ表面上の被膜に対して影響を及ぼして、露出された被膜を酸化ケイ素(または別の低再結合材料)に変換する。チャンバ表面を覆う被膜は改質され、除去はされない。
(基板に対する加工)
本明細書における実施形態は、基板に対して任意の特定のタイプの加工が行われている場合に限定されない。いくつかの場合には、基板に対して行われる加工は、堆積プロセスでよい。他の場合には、基板に対して行われる加工は、例えばエッチングプロセスまたは処理プロセスでよい。一般に、開示される実施形態は、ラジカルによって加工が行われることが望まれる任意の場合に有用である。
本明細書で論じる様々な実装形態は、遠隔水素プラズマを使用して基板上にケイ素含有被膜(例えば、炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素)を堆積することを含む半導体加工法の文脈で提示される。文脈上、この特定の実装形態のいくつかの詳細が提供されるが、実施形態がこのタイプの加工に限定されないことを理解されたい。
いくつかの実施形態では、基板上にケイ素含有被膜を堆積するためのプロセスは、水素ラジカルベースの堆積プロセスである。そのようなプロセスは、2015年2月6日出願の、「CONFORMAL FILM DEPOSITION OF SILICON CARBIDE FILMS」という名称の米国特許出願公開第14,616,435号;2013年5月31日出願の、「METHOD TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES」という名称の米国特許出願公開第13,907,699号にさらに論じられており、それらの全体をそれぞれ参照により本明細書に援用する。
図1および図2の文脈で、プロセスは、例えば入口108を通した加工チャンバ102への1つまたは複数の前駆体の送給を含むことがある。前駆体は、活性状態のプラズマに直接は露出されない。そうではなく、遠隔プラズマ源110内で水素プラズマが発生され、遠隔Hプラズマが、シャワーヘッド111の穴112を通って加工チャンバ102内に拡散する。前駆体は、ケイ素含有前駆体でよい。ケイ素含有前駆体は、1つまたは複数のケイ素-水素結合および/またはケイ素-ケイ素結合を有することがある。また、ケイ素含有前駆体は、1つまたは複数のケイ素-酸素結合、ケイ素-窒素結合、および/またはケイ素-炭素結合を有することもある。前駆体と水素プラズマとは、同時に加工チャンバ102内に流され/加工チャンバ102に対して露出されて、ケイ素含有被膜を形成する。これらの堆積プロセスにおいて、反応チャンバ内の圧力は、約0.2~40Torr(いくつかの場合には約0.2~8Torrの間または約10~20Torrの間)で維持することができ、基板温度は、約50~500℃の間(いくつかの場合には約200~400℃の間)で維持するものとしてよい。
ラジカル種を生成するために、遠隔プラズマ源において任意のタイプのプラズマ源を使用してもよい。これは、限定はしないが、容量結合プラズマ、誘導結合プラズマ、マイクロ波プラズマ、DCプラズマ、およびレーザにより生成されたプラズマを含む。容量結合プラズマの一例は、高周波(RF)プラズマである。高周波プラズマは、13.56MHz以上で動作するように構成することができる。そのような遠隔プラズマ源の一例は、Novellus Systems(米国カリフォルニア州サンノゼ)によって製造されているGAMMA(登録商標)でよい。そのようなRF遠隔プラズマ源の別の例は、MKS Instruments(米国マサチューセッツ州ウィルミントン)によって製造されているAstron(登録商標)でよく、これは、440kHzで動作することができ、1枚の基板または並行して数枚の基板を加工するためのより大きな装置にボルト留めされたサブユニットとして提供することができる。いくつかの実施形態では、やはりMKS Instrumentsによって製造されているAstex(登録商標)などのマイクロ波プラズマを遠隔プラズマ源として使用することができる。2.45GHzの周波数で動作するようにマイクロ波プラズマを構成することができる。また、これらのプラズマ源は、上述したように低再結合材料を形成および/または再調整するために使用するものとしてよい。
(装置)
本明細書で述べる方法は、任意の適切な装置によって実施しても差し支えない。適切な装置は、プロセス操作を達成するためのハードウェアと、本発明に従ってプロセス操作を制御するための命令を有するシステム制御装置とを含む。例えば、いくつかの実施形態では、ハードウェアは、プロセスツールに含まれる1つまたは複数のプロセスステーションを含んでもよい。1つの例示的な装置は、図1および図2に提供されている。しかし、実施形態は、この装置に限定されない。開示される技法は、ラジカルベースの加工が行われる任意の文脈で有用となるものと期待される。(必ずしも全てではないが)多くの場合に、遠隔プラズマが関連する。特定の実装形態では、Lam Research Corporation(米国カリフォルニア州フリーモント)から市販されているVersa-S装置で実施形態を実現してもよい。
(システム制御装置)
いくつかの実装形態では、制御装置は、上述した例の一部となり得るシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用のプラットフォーム、および/または専用処理構成要素(ウェハペデスタルやガスフローシステムなど)を含めた半導体処理機器を備えることがある。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子回路と一体化されることがある。電子回路は、「制御装置」と呼ばれることもあり、システムの様々な構成要素または一部分を制御するものとしてもよい。制御装置は、処理要件および/またはシステムのタイプに応じて、本明細書で開示されるプロセスの任意のものを制御するようにプログラムすることができ、そのようなプロセスは、処理ガスの送給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、出力設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体送給設定、位置および動作の設定、ツールの内外へのウェハ移送、および特定のシステムに接続またはインターフェースされた他の移送ツールおよび/またはロードロックの内外へのウェハ移送を含む。
広範に言うと、制御装置は、例えば、命令を受信する、命令を送信する、動作を制御する、洗浄操作を可能にする、およびエンドポイント測定を可能にする様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子回路として定義されることがある。集積回路は、プログラム命令を格納するファームウェアの形態でのチップ、デジタル信号処理装置(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つまたは複数のマイクロプロセッサ、もしくはプログラム命令(例えばソフトウェア)を実行するマイクロコントローラを含むことがある。プログラム命令は、様々な個別の設定(またはプログラムファイル)の形態で制御装置に通信される命令でよく、特定のプロセスを半導体ウェハ上で、もしくは半導体ウェハ用に、またはシステムに対して実施するための動作パラメータを定義する。いくつかの実装形態では、動作パラメータは、ウェハの1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはダイの製造中に1つまたは複数の加工ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部でよい。
いくつかの実装形態では、制御装置は、コンピュータの一部でよく、またはコンピュータに結合されていてよく、コンピュータは、システムと一体化される、システムに結合される、他の形でシステムにネットワーク化される、またはそれらの組合せで構成される。例えば、制御装置は、「クラウド」または工場ホストコンピュータシステムの全てもしくは一部でよく、ウェハ処理の遠隔アクセスを可能にする場合がある。コンピュータは、システムへの遠隔アクセスを可能にすることがあり、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を検査し、複数の製造操作から傾向または性能規準を検査して、現在の処理のパラメータを変更する、現在の処理に続くように処理ステップを設定する、または新たなプロセスを開始する。いくつかの例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワークまたはインターネットを含むことがあるネットワークを介してシステムにプロセスレシピを提供するものとしてよい。遠隔コンピュータはユーザインターフェースを含むことがあり、ユーザインターフェースは、パラメータおよび/または設定の入力またはプログラミングを可能にし、これらのパラメータおよび/または設定は、次いで遠隔コンピュータからシステムに通信される。いくつかの例では、制御装置は、1つまたは複数の操作中に行うべき各加工ステップに関するパラメータを指定するデータの形態で命令を受信する。パラメータが、実施すべきプロセスのタイプ、および制御装置がインターフェースまたは制御するように構成されたツールのタイプに特有のものであり得ることを理解されたい。したがって、上述したように、制御装置は、例えば1つまたは複数のディスクリート制御装置を含むことによって分散されることがあり、それらの制御装置は、互いにネットワーク化され、本明細書で述べるプロセスや制御など共通の目的に向けて協働する。そのような目的のための分散型制御装置の一例は、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に位置された1つまたは複数の集積回路と通信するチャンバにある1つまたは複数の集積回路であり、これらが組み合わさってチャンバでのプロセスを制御する。
限定はしないが、例示的なシステムには、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および、半導体ウェハの作製および/または製造に関連付けられるまたは使用されることがある任意の他の半導体処理システムが含まれることがある。
上述したように、ツールによって行うべきプロセスステップに応じて、制御装置は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近隣のツール、全工場内に位置されたツール、メインコンピュータ、別の制御装置、または、ウェハのコンテナを半導体製造工場内のツール位置および/または装填ポートに/から導く材料輸送で使用されるツールの1つまたは複数と通信する場合がある。
開示される実施形態の文脈で、制御装置は、基板がさらされるプロセスに従って基板を加工するように設計または構成されることに加えて、低再結合材料コーティングを形成および再調整するように設計または構成してもよい。制御装置は、本明細書で述べるプロセス/方法の任意のものを行うための命令を有するものとしてもよい。
上述した様々なハードウェアおよび方法実施形態は、例えば、半導体デバイス、ディスプレイ、LED、太陽光発電パネルなどの作製または製造のためのリソグラフィパターン形成ツールまたはプロセスに関連して使用するものとしてもよい。必須ではないが、典型的には、そのようなツール/プロセスは、共通の製造施設で一緒に使用または実施される。
被膜のリソグラフィパターン形成は、典型的には、以下のステップのいくつかまたは全てを含み、各ステップが、いくつかの可能なツールによって実現可能にされる:(1)スピンオンまたはスプレーオンツールを使用して、加工対象物、例えば窒化ケイ素被膜が上に形成された基板にフォトレジストを塗布するステップ;(2)ホットプレートまたは炉または他の適切な硬化ツールを使用してフォトレジストを硬化するステップ;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ;(4)ウェットベンチやスプレー現像装置などのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成するステップ;(5)ドライエッチングまたはプラズマ支援エッチングツールを使用することによって、下にある被膜または加工対象物にレジストパターンを転写するステップ;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。いくつかの実施形態では、アッシャブルハードマスク層(非晶質炭素層など)および別の適切なハードマスク(反射防止層など)が、フォトレジストの塗布前に堆積されることがある。
本明細書で述べる構成および/または手法は、性質上例示にすぎず、多くの変形が可能であるので、これらの特定の実施形態または例は、限定的な意味で解釈すべきではないことを理解されたい。本明細書で述べる特定のルーチンまたは方法は、多くの処理ストラテジのうちの1つまたは複数を表すことがある。したがって、例示される様々な作用は、例示される順序で、他の順序で、もしくは並行して実施することができ、またはいくつかの場合には省略してもよい。同様に、上述したプロセスの順序を変えてもよい。
本開示の主題は、様々なプロセス、システム、および構成の全ての新規であり自明でない組合せおよび部分的組合せ、ならびに、本明細書で開示される他の特徴、機能、作用、および/または特性、ならびにそれらの全ての均等形態を含む。例えば、本開示は、以下の適用例として実施可能である。
[適用例1]遠隔プラズマ加工を行うために使用される反応チャンバを調整する方法であって、
前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、
1つまたは複数の基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整し、それにより前記低再結合材料コーティングを再形成するステップと
を含む方法。
[適用例2]前記低再結合材料コーティングを形成した後に、前記遠隔プラズマ操作を行って、前記反応チャンバ内で1枚または数枚の基板を加工するステップをさらに含み、前記遠隔プラズマ操作が、前記反応チャンバ内部の前記露出された表面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす適用例1に記載の方法。
[適用例3]前記反応チャンバを前記酸化プラズマに露出させるステップが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する適用例2に記載の方法。
[適用例4]前記反応チャンバが、約0.05~5秒の期間にわたって前記酸化プラズマに露出される適用例1から適用例3のいずれか一項に記載の方法。
[適用例5]前記期間が約0.1~1秒の間である適用例4に記載の方法。
[適用例6]前記反応チャンバ内部の露出された表面上に前記低再結合材料コーティングを形成するための前記原子層堆積プロセスが、
(a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるステップと、
(b)前記第1の反応物を前記反応チャンバからパージするステップと、
(c)第2の反応物を前記反応チャンバ内に流すステップと、
(d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するステップと、
(e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返すステップと
を含む適用例1から適用例5のいずれか一項に記載の方法。
[適用例7]前記低再結合材料コーティングの前記最終的なコーティング厚さが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、少なくとも約50Åである適用例6に記載の方法。
[適用例8]前記低再結合材料コーティングが酸化ケイ素を含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例9]前記低再結合材料コーティングが酸化ケイ素を含み、前記遠隔プラズマ操作を実施するステップが、前記1枚または数枚の基板上にケイ素含有被膜を堆積するステップを含み、前記第2のコーティングがケイ素含有材料を含む適用例2または適用例3に記載の方法。
[適用例10]前記第1と第2の反応物の少なくとも一方が、遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記遠隔プラズマチャンバが、前記加工チャンバの近位に位置され、シャワーヘッドによって前記加工チャンバから離隔される適用例6または適用例7に記載の方法。
[適用例11]前記第1と第2の反応物の両方が、前記遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記酸化プラズマが、前記遠隔プラズマチャンバ内で発生され、前記シャワーヘッドを通って前記加工チャンバ内に流れる適用例10に記載の方法。
[適用例12]前記酸化プラズマが、前記反応チャンバ内でインサイチュで発生される適用例1から適用例11のいずれか一項に記載の方法。
[適用例13]前記遠隔プラズマ操作を実施するステップが、
前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
を含む適用例2または適用例3に記載の方法。
[適用例14]前記反応チャンバが前記酸化プラズマに露出されるときに、1枚または数枚の基板が前記反応チャンバ内に存在する適用例2、適用例3、または適用例9のいずれか一項に記載の方法。
[適用例15]前記基板が前記反応チャンバ内にある状態で前記反応チャンバを前記酸化プラズマに露出させた後、第2の遠隔プラズマ操作を実施して、前記反応チャンバ内で前記基板をさらに加工するステップであって、前記第2の遠隔プラズマ操作が、再び前記第2のコーティングの形成をもたらすステップと、
前記第2の遠隔プラズマ操作を実施した後、前記基板が前記反応チャンバ内に存在する状態で前記反応チャンバを第2の酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再び再調整して、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成するステップと
をさらに含む適用例14に記載の方法。
[適用例16](a)1枚または数枚の基板に対して遠隔プラズマ操作を実施するステップと、(b)前記反応チャンバを前記酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整するステップとを循環して繰り返すことをさらに含み、ステップ(b)の各繰返しの合間に、ステップ(a)において約1~50枚の基板が加工される適用例1から適用例15のいずれか一項に記載の方法。
[適用例17]前記反応チャンバ内部の前記露出された表面上に存在する低再結合材料コーティングおよび第2のコーティングを除去するために、前記反応チャンバを洗浄するステップであって、前記反応チャンバをフッ素含有プラズマに露出させるステップと、
前記反応チャンバを洗浄した後、適用例1に記載の方法を繰り返すステップと
をさらに含む適用例2、3、または9のいずれか一項に記載の方法。
[適用例18]基板を加工するための遠隔プラズマ加工装置であって、
反応チャンバを備え、前記反応チャンバが、
チャンバ内面と、
前記反応チャンバ内で前記基板を支持するための基板支持体と、
前記反応チャンバから材料を除去するための排出口と
を備え、
遠隔プラズマ加工装置がさらに、
遠隔プラズマチャンバを備え、前記遠隔プラズマチャンバが、
前記遠隔プラズマチャンバ内部でプラズマを発生するためのプラズマ発生器と、
前記遠隔プラズマチャンバにガスを送給するための入口と、
前記遠隔プラズマチャンバ内で発生されたプラズマを前記反応チャンバに提供するための出口と
を備え、
遠隔プラズマ加工装置がさらに、
制御装置を備え、前記制御装置が、
前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバのチャンバ内面上に低再結合材料コーティングを形成するための命令と、
前記基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記チャンバ内面を再調整し、それにより前記低再結合材料コーティングを再形成するための命令と
を有する遠隔プラズマ加工装置。
[適用例19]前記制御装置が、
前記低再結合材料コーティングを形成した後に、前記反応チャンバ内に前記基板を提供するための命令と、
前記遠隔プラズマチャンバ内でプラズマを発生し、前記プラズマを前記反応チャンバに提供して、遠隔プラズマ操作を実施するための命令と
をさらに有し、
前記遠隔プラズマ操作が、前記チャンバ内面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらし、
前記反応チャンバを前記酸化プラズマに露出させることが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する
適用例18に記載の装置。
[適用例20]前記遠隔プラズマチャンバの前記出口が、前記遠隔プラズマチャンバを前記反応チャンバから離隔するシャワーヘッドである適用例18または適用例19に記載の装置。
[適用例21]前記反応チャンバを酸化プラズマに露出させるための前記命令が、約0.05~5秒の間の期間にわたって前記反応チャンバを前記酸化プラズマに露出させるための命令を含む適用例18から適用例20のいずれか一項に記載の装置。
[適用例22]原子層堆積プロセスによって前記反応チャンバのチャンバ内面上に前記低再結合材料コーティングを形成するための前記命令が、
(a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるための命令と、
(b)前記第1の反応物を前記反応チャンバからパージするための命令と、
(c)第2の反応物を前記反応チャンバ内に流すための命令と、
(d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するための命令と、
(e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返すための命令と
を含む適用例18から適用例21のいずれか一項に記載の装置。
[適用例23]前記最終的なコーティング厚さが、前記基板支持体上に堆積された平均厚さに基づいて測定されたときに、少なくとも約50Åである適用例22に記載の装置。
(実験)
開示される低再結合材料コーティングを使用して、ラジカル再結合を最小限に抑え、基板に対する加工に利用可能なラジカルの量を最大にすることができることを実験結果が示している。また、開示される再調整プロセスを使用して、チャンバ表面上の蓄積された材料をより低い度合いのラジカル再結合をもたらす材料に効果的に変換することができることも実験結果が示している。
図4Aおよび図4Bは、チャンバ内で加工される基板上に堆積されたケイ素含有被膜の厚さプロファイルを示し、チャンバは、ALDにより堆積されたSiO2で初めにコーティングされており、SiO2コーティングの初期形成後のチャンバ表面上でのいくらかの度合いの材料蓄積を有している。図4Aは、加工チャンバが酸素プラズマによって再調整される前の、堆積されたケイ素含有被膜の厚さプロファイルを示し、図4Bは、加工チャンバが酸素プラズマによって再調整された後の、堆積されたケイ素含有被膜の厚さプロファイルを示す。図4Aでのプロファイルは、大きな中心-縁部の厚さ不均一性を示す。この場合に観察された厚さの範囲は、被膜の最も薄い部分と最も厚い部分との間で約9Åであった。これは、平均被膜厚さの約32%を表していた。1σの厚さ不均一性が、約12.5%として計算された。それに対し、図4Bの基板は、わずか約5Å、または平均被膜厚さの約14%の範囲内で、はるかに均一な厚さプロファイルを示す。この場合の1σの厚さ不均一性は、わずか約4.1%であった。これは、チャンバが再調整される前の、加工される基板に関する図4Aでの不均一性よりもはるかに低い。
図4Aでのプロファイルは、堆積された被膜が基板の縁部の周りで薄いことを示す。縁部で薄いこのプロファイルは、基板の縁部の近位にあるチャンバ表面での材料蓄積により、ラジカルがこの領域で実質的に排除されることに起因すると考えられる。対照的に、図4Bでのプロファイルは、径方向の中央位置で最も厚く、全体的に、より均一である。これらの結果は、再調整プロセスが非常に効果的であることを示唆する。

Claims (35)

  1. 遠隔プラズマ加工を行うために使用される反応チャンバを調整する方法であって、
    前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、
    1つまたは複数の基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整し、それにより前記低再結合材料コーティングを再形成するステップと
    を含み、前記低再結合材料コーティングは、酸化ケイ素、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム、酸化イットリウム、イットリア安定化ジルコニア(YSZ)、およびこれらのうちの任意の2以上の組合せのいずれか一つである、方法。
  2. 前記低再結合材料コーティングを形成した後に、前記遠隔プラズマ操作を行って、前記反応チャンバ内で1枚または数枚の基板を加工するステップをさらに含み、前記遠隔プラズマ操作が、前記反応チャンバ内部の前記露出された表面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす請求項1に記載の方法。
  3. 前記反応チャンバを前記酸化プラズマに露出させるステップが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する請求項2に記載の方法。
  4. 前記反応チャンバが、約0.05~5秒の期間にわたって前記酸化プラズマに露出される請求項1から請求項3のいずれか一項に記載の方法。
  5. 前記期間が約0.1~1秒の間である請求項4に記載の方法。
  6. 前記反応チャンバ内部の露出された表面上に前記低再結合材料コーティングを形成するための前記原子層堆積プロセスが、
    (a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるステップと、
    (b)前記第1の反応物を前記反応チャンバからパージするステップと、
    (c)第2の反応物を前記反応チャンバ内に流すステップと、
    (d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するステップと、
    (e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返すステップと
    を含む請求項1から請求項5のいずれか一項に記載の方法。
  7. 前記低再結合材料コーティングの前記最終的なコーティング厚さが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、少なくとも約50Åである請求項6に記載の方法。
  8. 前記低再結合材料コーティングが酸化ケイ素を含む請求項1から請求項7のいずれか一項に記載の方法。
  9. 前記低再結合材料コーティングが酸化ケイ素を含み、前記遠隔プラズマ操作を実施するステップが、前記1枚または数枚の基板上にケイ素含有被膜を堆積するステップを含み、前記第2のコーティングがケイ素含有材料を含む請求項2または請求項3に記載の方法。
  10. 前記第1の反応物と前記第2の反応物の少なくとも一方が、遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記遠隔プラズマチャンバが、前記反応チャンバの近位に位置され、シャワーヘッドによって前記反応チャンバから離隔される請求項6または請求項7に記載の方法。
  11. 前記第1反応物と前記第2の反応物の両方が、前記遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記酸化プラズマが、前記遠隔プラズマチャンバ内で発生され、前記シャワーヘッドを通って前記反応チャンバ内に流れる請求項10に記載の方法。
  12. 前記酸化プラズマが、前記反応チャンバ内でインサイチュで発生される請求項1から請求項11のいずれか一項に記載の方法。
  13. 前記遠隔プラズマ操作を実施するステップが、
    前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
    ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
    前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
    前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
    を含む請求項2または請求項3に記載の方法。
  14. 前記反応チャンバが前記酸化プラズマに露出されるときに、1枚または数枚の基板が前記反応チャンバ内に存在する請求項2、請求項3、または請求項9のいずれか一項に記載の方法。
  15. 前記基板が前記反応チャンバ内にある状態で前記反応チャンバを前記酸化プラズマに露出させた後、第2の遠隔プラズマ操作を実施して、前記反応チャンバ内で前記基板をさらに加工するステップであって、前記第2の遠隔プラズマ操作が、再び前記第2のコーティングの形成をもたらすステップと、
    前記第2の遠隔プラズマ操作を実施した後、前記基板が前記反応チャンバ内に存在する状態で前記反応チャンバを第2の酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再び再調整して、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成するステップと
    をさらに含む請求項14に記載の方法。
  16. (a)1枚または数枚の基板に対して遠隔プラズマ操作を実施するステップと、(b)前記反応チャンバを前記酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整するステップとを循環して繰り返すことをさらに含み、ステップ(b)の各繰返しの合間に、ステップ(a)において約1~50枚の基板が加工される請求項1から請求項15のいずれか一項に記載の方法。
  17. 前記反応チャンバ内部の前記露出された表面上に存在する低再結合材料コーティングおよび第2のコーティングを除去するために、前記反応チャンバを洗浄するステップであって、前記反応チャンバをフッ素含有プラズマに露出させるステップと、
    前記反応チャンバを洗浄した後、請求項1に記載の方法を繰り返すステップと
    をさらに含む
    請求項2、3、または9のいずれか一項に記載の方法。
  18. 1枚または数枚の基板に対して前記遠隔プラズマ操作を実施するステップであって、前記遠隔プラズマ操作が、
    前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
    ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
    前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
    前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
    をさらに含む請求項1ないし17のいずれか一項に記載の方法。
  19. 前記低再結合材料コーティングが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、約50~500Åの間の厚さに形成される請求項1ないし18のいずれか一項に記載の方法。
  20. 前記低再結合材料コーティングが、酸化ケイ素を含み、
    前記方法が、1枚または数枚の基板を遠隔プラズマに露出させることによって前記1枚または数枚の基板上で前記遠隔プラズマ操作を実施し、それにより前記1枚または数枚の基板上に炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を堆積することをさらに含み、
    前記遠隔プラズマ操作を実施するステップが、前記反応チャンバ内部の前記露出された表面上に炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を形成し、
    前記反応チャンバを前記酸化プラズマに露出させるステップが、前記反応チャンバ内部の前記露出された表面上の前記炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を酸化させることによって前記低再結合材料コーティングを再形成し、それにより前記反応チャンバ内部の前記露出された表面上の酸化ケイ素を再形成する請求項1ないし19のいずれか一項に記載の方法。
  21. 基板を加工するための遠隔プラズマ加工装置であって、
    反応チャンバと遠隔プラズマチャンバと制御装置とを備え、
    前記反応チャンバが、
    チャンバ内面と、
    前記反応チャンバ内部で前記基板を支持するための基板支持体と、
    前記反応チャンバから材料を除去するための排出口と
    を備え、
    前記遠隔プラズマチャンバが、
    前記遠隔プラズマチャンバ内部でプラズマを発生するためのプラズマ発生器と、
    前記遠隔プラズマチャンバにガスを送給するための入口と、
    前記遠隔プラズマチャンバ内で発生されたプラズマを前記反応チャンバに提供するための出口と
    を備え、
    前記制御装置が、
    記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバの前記チャンバ内面上に低再結合材料コーティングの形成をもたらすように構成され、
    前記基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記チャンバ内面を再調整し、それにより前記低再結合材料コーティングの再形成をもたらすように構成され、
    前記低再結合材料コーティングは、酸化ケイ素、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム、酸化イットリウム、イットリア安定化ジルコニア(YSZ)、およびこれらのうちの任意の2以上の組合せのいずれか一つである、
    遠隔プラズマ加工装置。
  22. 前記制御装置が、
    前記低再結合材料コーティングを形成した後に、前記反応チャンバ内に前記基板の提供をもたらすように構成され、
    前記遠隔プラズマチャンバ内でプラズマを発生し、前記プラズマを前記反応チャンバに提供して、前記基板に対する前記遠隔プラズマ操作の実施をもたらすように構成される、請求項21に記載の装置。
  23. 前記制御装置が、前記基板に対する前記遠隔プラズマ操作中に、前記チャンバ内面の少なくとも一部への第2のコーティングの形成をもたらすように構成され、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす請求項22に記載の装置。
  24. 前記制御装置が、前記第2のコーティングを改質して、前記低再結合材料コーティングの再形成をもたらすように構成される請求項23に記載の装置。
  25. 前記遠隔プラズマチャンバの前記出口が、前記遠隔プラズマチャンバを前記反応チャンバから離隔するシャワーヘッドである請求項21ないし24のいずれか一項に記載の装置。
  26. 前記制御装置が、約0.05~5秒の間の期間にわたって前記反応チャンバを前記酸化プラズマに露出させるように構成される請求項21ないし25のいずれか一項に記載の装置。
  27. 前記制御装置が、
    (a)第1の反応物を前記反応チャンバ内に提供し、前記第1の反応物を、前記反応チャンバ内部の前記チャンバ内面上に吸着させ、
    (b)前記第1の反応物を前記反応チャンバからパージさせ、
    (c)第2の反応物を前記反応チャンバ内に流入させ、
    (d)前記反応チャンバを堆積プラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記チャンバ内面上に前記低再結合材料コーティングを形成させ、
    (e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)~(d)を繰り返させることによって、
    前記低再結合材料コーティングの形成をもたらすように構成される請求項21ないし26のいずれか一項に記載の装置。
  28. 前記制御装置が、前記反応チャンバのフッ素含有プラズマへの露出をもたらすように構成される請求項21ないし27のいずれか一項に記載の装置。
  29. 前記制御装置が、酸化ケイ素、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム、酸化イットリウム、イットリア安定化ジルコニア、およびそれらの組合せからなる群より選択された材料を含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項21ないし28のいずれか一項に記載の装置。
  30. 前記制御装置が、酸化ケイ素を含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  31. 前記制御装置が、酸化ジルコニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  32. 前記制御装置が、酸化アルミニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  33. 前記制御装置が、酸化ハフニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  34. 前記制御装置が、酸化イットリウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  35. 前記制御装置が、イットリア安定化ジルコニアを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
JP2016054587A 2015-03-26 2016-03-18 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化 Active JP7067853B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022004040A JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562138810P 2015-03-26 2015-03-26
US62/138,810 2015-03-26
US14/712,167 US9828672B2 (en) 2015-03-26 2015-05-14 Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US14/712,167 2015-05-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022004040A Division JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Publications (3)

Publication Number Publication Date
JP2016216817A JP2016216817A (ja) 2016-12-22
JP2016216817A5 JP2016216817A5 (ja) 2019-05-30
JP7067853B2 true JP7067853B2 (ja) 2022-05-16

Family

ID=56974974

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016054587A Active JP7067853B2 (ja) 2015-03-26 2016-03-18 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
JP2022004040A Active JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022004040A Active JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Country Status (6)

Country Link
US (3) US9828672B2 (ja)
JP (2) JP7067853B2 (ja)
KR (3) KR20160115761A (ja)
CN (1) CN106024673B (ja)
SG (1) SG10201602333SA (ja)
TW (1) TWI702308B (ja)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2697397B1 (en) 2011-04-15 2017-04-05 The Johns Hopkins University Safe sequencing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
ES2886507T3 (es) 2012-10-29 2021-12-20 Univ Johns Hopkins Prueba de Papanicolaou para cánceres de ovario y de endometrio
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017027653A1 (en) 2015-08-11 2017-02-16 The Johns Hopkins University Assaying ovarian cyst fluid
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) * 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
MX2020001575A (es) 2017-08-07 2020-11-18 Univ Johns Hopkins Materiales y métodos para evaluar y tratar el cáncer.
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) * 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109712868A (zh) * 2018-12-20 2019-05-03 西安电子科技大学 基于氧化铝材料内嵌纳米晶结构的铁电薄膜制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114051541A (zh) * 2019-06-26 2022-02-15 朗姆研究公司 通过原位钝化室积累扩展
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US20220282366A1 (en) * 2019-08-30 2022-09-08 Lam Research Corporation High density, modulus, and hardness amorphous carbon films at low pressure
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188198A (ja) 2008-02-06 2009-08-20 Taiyo Nippon Sanso Corp 半導体装置の製造方法及び基板処理装置
WO2011111498A1 (ja) 2010-03-08 2011-09-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
JPS58151031A (ja) 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH07326581A (ja) 1994-05-31 1995-12-12 Sony Corp プラズマ装置およびこれを用いたプラズマcvd方法
JPH0822980A (ja) 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
CN1258617C (zh) 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003224076A (ja) * 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
JP4411215B2 (ja) 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
EP1774548A1 (en) 2004-07-23 2007-04-18 Sundew Technologies, LLP Capacitors with high energy storage density and low esr
EP1784690A2 (en) * 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US8088296B2 (en) * 2004-09-01 2012-01-03 Shibaura Mechatronics Corporation Plasma processing device and plasma processing method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
KR100931771B1 (ko) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
KR20080050402A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Nf₃를 사용한 표면 적층물 제거 방법
JP2009503905A (ja) 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
KR100745987B1 (ko) 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
US7977149B2 (en) 2005-08-31 2011-07-12 Sumitomo Chemical Company, Limited Transistor, organic semiconductor device, and method for manufacture of the transistor or device
EP1989335A4 (en) 2005-11-23 2010-04-07 Surface Comb Inc SURFACE TREATMENT OF METAL OBJECTS IN AN ATMOSPHERIC OVEN
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
KR20080058568A (ko) 2006-12-22 2008-06-26 세메스 주식회사 리프트 핀 및 이를 갖는 기판 처리 장치
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
CN203225233U (zh) 2009-09-10 2013-10-02 朗姆研究公司 一种陶瓷侧气体喷射器
JP5575299B2 (ja) 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN102013568A (zh) 2010-12-01 2011-04-13 惠州Tcl移动通信有限公司 一种四频段的内置天线及其移动通信终端
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
CN104136138B (zh) 2012-01-30 2018-03-20 赫姆洛克半导体运营有限责任公司 修复和/或保护反应器中表面的方法
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6071537B2 (ja) 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
JP2016520707A (ja) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
CN103219227A (zh) 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
KR20230032000A (ko) 2013-04-10 2023-03-07 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
EP3739079A1 (en) 2015-02-13 2020-11-18 Entegris, Inc. Porous metallic filter
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2016178777A1 (en) 2015-05-07 2016-11-10 Applied Materials, Inc. Corrosion control for chamber components
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20190091542A (ko) 2017-01-16 2019-08-06 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078206A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US20190131113A1 (en) 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US10134569B1 (en) 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
SG11202103979UA (en) 2018-10-19 2021-05-28 Lam Res Corp In situ protective coating of chamber components for semiconductor processing
US20220277936A1 (en) 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188198A (ja) 2008-02-06 2009-08-20 Taiyo Nippon Sanso Corp 半導体装置の製造方法及び基板処理装置
WO2011111498A1 (ja) 2010-03-08 2011-09-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Also Published As

Publication number Publication date
KR102443854B1 (ko) 2022-09-15
TWI702308B (zh) 2020-08-21
US20220145459A1 (en) 2022-05-12
CN106024673A (zh) 2016-10-12
CN106024673B (zh) 2020-06-09
US20180044791A1 (en) 2018-02-15
TW201704529A (zh) 2017-02-01
JP7386270B2 (ja) 2023-11-24
KR20220024330A (ko) 2022-03-03
KR20160115761A (ko) 2016-10-06
JP2022058614A (ja) 2022-04-12
US11920239B2 (en) 2024-03-05
JP2016216817A (ja) 2016-12-22
SG10201602333SA (en) 2016-10-28
KR20220130058A (ko) 2022-09-26
US9828672B2 (en) 2017-11-28
US20160281230A1 (en) 2016-09-29

Similar Documents

Publication Publication Date Title
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
CN111247269B (zh) 介电膜的几何选择性沉积
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
TWI679701B (zh) 矽氧化物之沉積方法
JP2021022752A (ja) 低温ald被膜のためのチャンバアンダーコート準備方法
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20220084002A (ko) Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
CN117210798A (zh) 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法
CN111501013A (zh) 用于先进图案化的软着陆纳米层压层
CN116137931A (zh) 减少半导体设备中的层内电容
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160810

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190417

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210308

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210914

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220114

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220114

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220124

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220428

R150 Certificate of patent or registration of utility model

Ref document number: 7067853

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150