TWI702308B - 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化 - Google Patents

以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化 Download PDF

Info

Publication number
TWI702308B
TWI702308B TW105109337A TW105109337A TWI702308B TW I702308 B TWI702308 B TW I702308B TW 105109337 A TW105109337 A TW 105109337A TW 105109337 A TW105109337 A TW 105109337A TW I702308 B TWI702308 B TW I702308B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
remote plasma
chamber
plasma
material coating
Prior art date
Application number
TW105109337A
Other languages
English (en)
Other versions
TW201704529A (zh
Inventor
巴德里 N 凡拉德拉彥
龔伯
瑞秋 E 巴特瑟
邱華檀
史貴凡迪 巴頓 J 凡
杰弗里 翰
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201704529A publication Critical patent/TW201704529A/zh
Application granted granted Critical
Publication of TWI702308B publication Critical patent/TWI702308B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32486Means for reducing recombination coefficient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本文中的某些實施例係關於用以進行遠端電漿處理之反應腔室的調節方法。本文中的其他實施例係關於用以進行遠端電漿處理之設備。在許多實施例中,透過在內側腔室表面上形成低再結合材料塗層,來調節反應腔室。當使用反應腔室來處理基板時,低再結合材料有助於最小化發生在反應腔室內的自由基再結合程度。在基板上之處理期間,低再結合材料可能被相對較高再結合材料(例如基板處理的副產物)覆蓋,而導致可用以處理基板的自由基的量隨時間減少。透過暴露到作用以改良低再結合材料塗層的氧化電漿,可再調節低再結合材料塗層。此再調節處理可週期性地進行,作為在基板上進行的另外的處理。

Description

以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化
[相關技術領域之交互參照] 本申請案主張美國臨時專利申請案第62/138810號之權益,申請日為2015年3月 26日,案名為「MINIMIZING RADICAL RECOMBINATION」,該案以全文加入本案之參考資料。
半導體處理一般在專門的半導體處理設備中進行。該設備通常包括在處理期間容置基板的反應腔室。反應腔室一般亦包括各種硬體零件(例如基板固持器、噴淋頭等)以達成半導體處理。在一些情況下,反應腔室可在其用以處理基板之前先進行處理(treated)。反應腔室處理可採取若干的不同形式,且可因各種原因而執行。
本文中的某些實施例係關於調節反應腔室的方法。本文中的某些其他實施例係關於設置以調節反應腔室的設備。該反應腔室可用於使用遠端電漿處理來處理基板。在許多實施例中,調節該反應腔室涉及以促進低程度之自由基再結合的材料塗佈於內側腔室表面上。亦可週期性地再調節該腔室以改良低再結合材料。
在本文之實施例的一態樣中,提供用以執行遠端電漿處理的反應腔室之調節方法,該方法包含下列步驟:在無基板存在於反應腔室中之情況下,透過原子層沉積處理在該反應腔室中的暴露表面上形成低再結合材料塗層;並且在一或多個基板上執行遠端電漿操作之後,將該反應腔室暴露到氧化電漿,以再調節該反應腔室中的暴露表面,而藉此改良該低再結合材料塗層。
該方法更包含在形成低再結合材料塗層之後,執行該遠端電漿操作以在該反應腔室中處理一或多個基板,其中該遠端電漿操作導致在該反應腔室中的暴露表面的至少一部分上形成第二塗層,且相較於該低再結合材料塗層,該第二塗層在遠端電漿操作期間促進較高的自由基再結合速率。在許多例子中,將該反應腔室暴露到氧化電漿的操作將該第二塗層改質,而改良該低再結合材料塗層。
在許多實施例中,將該反應腔室暴露到氧化電漿歷時介於約0.05-5秒的持續期間。在若干此類例子中,該持續期間可介於約0.1-1秒。
用以在該反應腔室中的暴露表面上形成低再結合材料塗層的原子層沉積處理包括下列步驟: (a)使第一反應劑流入該反應腔室中,並允許該第一反應劑吸附在該反應腔室中的暴露表面上;(b)將該第一反應劑從該反應腔室中沖除;(c)使第二反應劑流入該反應腔室中;(d)將該反應腔室中的暴露表面暴露到電漿以驅動該第一反應劑及該第二反應劑之間的表面反應,進而在該反應腔室中的暴露表面上形成低再結合材料塗層;並且(e)重複執行步驟(a)-(d)直到低再結合材料塗層達到最終塗層厚度。該低再結合材料塗層的最終塗層厚度可至少約50 Å,其係以該反應腔室中的一基板固持器上的低再結合材料塗層的平均厚度來度量。
在許多實施例中,該低再結合材料塗層包含矽氧化物。在一些此類實施例中,執行遠端電漿操作之步驟包含在一或多個基板上沉積含矽薄膜,且該第二塗層包含含矽材料。
可以許多不同的方式來產生電漿。在一些實施例中,該第一與第二反應劑中之至少一者從一遠端電漿腔室流進該反應腔室中,該遠端電漿腔室鄰近該反應腔室而設置,並透過一噴淋頭而與該反應腔室隔開。例如,該第一與第二反應劑兩者均從該遠端電漿腔室流進該反應腔室中,且該氧化電漿係在該遠端電漿腔室中產生並透過該噴淋頭流進該反應腔室中。在一些其他實施例中,該氧化電漿係在該反應腔室中原位地產生。
該遠端電漿操作可包括若干步驟。例如,執行該遠端電漿操作可包括:提供該一或多個基板中之一者於該反應腔室中;使含矽反應劑流進該反應腔室中,但不將該含矽反應劑暴露到原位電漿;在一遠端電漿腔室中產生氫電漿,該遠端電漿腔室鄰近該反應腔室而設置,並透過一噴淋頭而與該反應腔室隔開,並且在使含矽反應劑流進該反應腔室中的同時允許氫電漿通過該噴淋頭而進入該反應腔室中;並且將該基板同時地暴露到含矽反應劑與氫電漿,而在該基板上沉積含矽薄膜。
當該反應腔室暴露到氧化電漿時,該腔室可包含或不包含基板。在一些實施例中,當該反應腔室暴露到氧化電漿時,一或多個基板存在於該反應腔室中。在其他實施例中,在將該腔室暴露到氧化電漿之前將基板移開。
在一些實施例中,該方法可更包含:在基板位於該反應腔室中時將該反應腔室暴露到氧化電漿之後,執行第二遠端電漿操作,以進一步處理該反應腔室中的該基板,第二遠端電漿操作再次導致第二塗層的形成;並且在執行第二遠端電漿操作之後,在該基板存在於該反應腔室中時將該反應腔室暴露到第二氧化電漿,以再次再調節該反應腔室中的暴露表面,而改質該第二塗層,進而改良該低再結合材料塗層。
在一些例子中,可以循環的方式執行該方法。例如,該方法可包含週期性地重複執行下列步驟:(a)在一或多個基板上執行遠端電漿操作,以及(b)將該反應腔室暴露到氧化電漿,進而再調節該反應腔室中的暴露表面,其中在步驟(b)的各反覆操作之間於步驟(a)中處理介於約1-50個之間的基板。
在處理一批次的基板之後,可清潔該反應腔室以將存在於該反應腔室中的暴露表面上的任何低再結合材料塗層與第二塗層移除,清潔步驟包含將該反應腔室暴露到含氟電漿。在清潔之後,可重複執行該方法。
在所揭露實施例的另一態樣中,提供用以處理基板的遠端電漿處理設備,該設備包含:一反應腔室、一遠端電漿腔室、及一控制器,其中該反應腔室包含:內側腔室表面;一基板固持器,用以將基板固持在該反應腔室中;以及一排氣埠口,用以將材料從該反應腔室中移除;該遠端電漿腔室包含:一電漿產生器,用以在該遠端電漿腔室中產生電漿;一入口,用以將氣體輸送到該遠端電漿腔室中;一出口,用以將在該遠端電漿腔室中產生的電漿提供到該反應腔室中;而該控制器具有用於下列操作的指令:在無基板存在於該反應腔室中之情況下透過原子層沉積處理在該反應腔室中的內側腔室表面上形成低再結合材料塗層;並且在基板上執行遠端電漿操作之後,將該反應腔室暴露到氧化電漿,以再調節該內側腔室表面,而藉此改良該低再結合材料塗層。
該控制器可更具有用於下列操作的指令:在形成低再結合材料塗層之後,提供基板至該反應腔室中;在該遠端電漿腔室中產生電漿,並提供電漿至該反應腔室中以執行遠端電漿操作;其中該遠端電漿操作導致在該內側腔室表面的至少一部分上形成第二塗層,相較於該低再結合材料塗層,該第二塗層在遠端電漿操作期間促進較高的自由基再結合速率;並且其中將該反應腔室暴露到氧化電漿的操作將該第二塗層改質,而改良該低再結合材料塗層。
在許多實施例中,該遠端電漿腔室的出口為一噴淋頭,其將該遠端電漿腔室與該反應腔室隔開。
用於將該反應腔室暴露到氧化電漿之指令可包含將該反應腔室暴露到氧化電漿歷時介於約0.05-5秒的持續期間之指令。用於透過原子層沉積處理在該反應腔室中的內側腔室表面上形成低再結合材料塗層之指令可包含用於下列操作之指令: (a)使第一反應劑流入該反應腔室中,並允許該第一反應劑吸附在該反應腔室中的暴露表面上;(b)將該第一反應劑從該反應腔室中沖除;(c)使第二反應劑流入該反應腔室中;(d)將該反應腔室中的暴露表面暴露到電漿以驅動該第一反應劑及該第二反應劑之間的表面反應,進而在該反應腔室中的暴露表面上形成低再結合材料塗層;並且(e)重複執行操作(a)-(d)直到低再結合材料塗層達到最終塗層厚度。在許多實施例中,該最終塗層厚度至少約50 Å,其係基於沉積在該基板固持器上的平均厚度來度量。
該等與其他特徵將參考相關圖式描述如下。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。本技術領域中具有通常知識者應理解「部分已製成積體電路」指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。使用於半導體裝置產業的晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。除非另外指出,否則本文中敘述的處理細節(例如流率、功率位準等)係關於處理300mm直徑的基板、或關於為配置以處理300mm直徑之基板的腔室塗上保護層,且可經調整尺寸以適用於其他尺寸的基板或腔室。本文中描述的腔室可用以處理各種形狀、尺寸、及材料的工件。除了半導體晶圓之外,可在根據某些實施例而製備的腔室中進行處理的其他工件包括各種物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、反射鏡、光學元件、微機械裝置、及其他。
為提供本文之實施例的全面性理解,在下列描述中闡述許多具體細節。所揭露之實施例毋須若干或全部該等具體細節而可被實施。在其他例子中,為了避免不必要地混淆所揭露之實施例,熟知的處理作業將不再贅述。雖然所揭露之實施例結合具體實施例來描述,但應知其非意欲限制所揭露之實施例。
許多半導體涉及使用遠端電漿。遠端電漿為在一位置中產生再輸送到第二位置(處理於其中發生)的電漿,該第二位置被與第一位置隔開。例如,可在與反應腔室連接的電漿源中產生遠端電漿,並在處理期間將電漿從該電漿源輸送到該反應腔室中。在一些處理中需要遠端電漿的一理由為,與直接地在處理腔室中產生的電漿相比,遠端電漿可提供相對較高濃度的自由基與相對較低濃度的離子。因此,當期望以自由基的方式進行處理時,使用遠端電漿係尤其有效的。
本文中揭露用於遠端電漿處理之反應腔室、及用於遠端電漿處理之設備的調節方法。以自由基為主的處理的範例包括沉積處理、蝕刻處理、及加工處理(treating processes)。在一具體範例中,以自由基為主的處理為以氫自由基為主的處理,其用以沉積含矽薄膜,例如矽碳化物、矽氮碳化物、或矽氧碳化物、及該者之組合(例如矽氧碳氮化物)。在一些實施例中,該沉積處理為以氫自由基為主的沉積處理,於後文進一步描述。
許多伴隨遠端電漿處理會遇到的問題為自由基再結合。若自由基在到達基板之前再結合,則此種自由基不再可用以進行基板上的處理。某些自由基比其他的自由基更大程度地遭受此問題。例如,氫自由基在大部分的材料上具有非常高的再結合率。其結果為,當使用遠端產生的氫自由基處理基板時,此類自由基時常在電漿源與反應腔室之間的表面及/或反應腔室的表面上再結合,而使這些自由基無法於氣相中或在基板上執行任何有效的處理。
自由基再結合特別會在緊接於腔室清潔之後造成問題。在許多實施中,半導體基板係連續地在一批次中受處理。一批次包括於相同的反應腔室中在依序的腔室清潔操作之間受處理的一組基板。在一範例中,清潔一反應腔室,然後在該反應腔室中處理100個基板(例如可個別地或若設備裝備有複數個站,以在該反應腔室中同時處理多於一個基板,則可同時多於一個地處理),接著再次清潔該反應腔室。該100個基板為單一批次。在本文中的若干實施例中,一批次包括介於約100-3000個基板。批次的大小至少部分地取決於沉積在各個基板上的薄膜的厚度。其主要受限於,所沉積之薄膜的非均勻性隨著腔室表面上的累積物變多而提高,或缺陷(微粒)隨著累積物變多而增加。最佳的批次大小亦受被沉積之薄膜影響,以及薄膜材料沉積在腔室壁上與沉積在基板上的多寡的比較。因為在許多清況下,腔室比支座更低溫,所以在低溫時沉積較多的薄膜會在這些表面上成長地非常快,甚至會產生微粒問題。反過來說,針對在低溫時以較低速率沉積的薄膜而言,吾人可輕易地在微粒議題造成問題之前處理大量的基板。
在一些實施例中,可使用氟基化學品來清潔腔室(留下裸露的腔室壁,例如裸露的鋁壁)。在清潔腔室之後,殘留而到達基板的自由基的數量極少,而基板無法如所期望般受處理。 低自由基再結合表面塗層
本文中揭露之方法的實施涉及以材料塗佈在腔室的相關表面上,其中該材料表現出相關自由基的低再結合率。例如,在某些實施例中,用以在腔室中處理基板的自由基,在塗佈於腔室表面上的材料上具有約5E-4或更低(例如1E-4 或更低)的再結合機率。例如,與塗佈矽氧化物之表面相互作用的氫自由基表現出約4E-5的再結合機率。
在氫自由基的脈絡中,促進低再結合率的材料為矽氧化物(SiO2 )。矽氧化物亦可促進與其他自由基的低自由基再結合率。因此,在本文的許多實施例中,以矽氧化物塗佈相關表面來幫助維持氫自由基(或其他自由基)在相關表面上的低再結合率。該相關表面可包括(例如)反應腔室內的表面、反應腔室與遠端電漿源之間的表面、及遠端電漿源內的表面。反應腔室內或反應腔室附近可塗佈的表面包括(例如)基板固持器/支座、腔室壁/天花板/地板、噴淋頭、噴淋頭孔洞、入口、出口等。在某些實施例中可促進自由基的低再結合率的其他材料的範例列於後文。
圖1呈現可用於進行以某些自由基為主的處理(例如以氫自由基為主的沉積處理)的設備的簡化圖。根據某些實施例亦可使用以其他自由基為主的處理及反應腔室。處理腔室102包括腔室壁103、腔室地板104、及腔室天化板105。在處理腔室102中為基板固持器106,而基板107坐落於其上。處理腔室102亦包括入口108與排氣出口109。遠端電漿源110設置在處理腔室102上方。遠端電漿源110包括電漿產生器(未圖示),用以在遠端電漿源中產生電漿。該電漿產生器包括用以產生電漿(可為電感耦合型電漿、電容耦合型電漿、微波耦合型電漿)的硬體(例如線圈、電極等)。遠端電漿源110透過具有複數個噴淋頭孔洞112的噴淋頭111而與處理腔室102隔開。遠端電漿源110具有用以提供用來產生遠端電漿之氣體的入口113。
圖2描繪被以低再結合材料塗層220塗佈後的圖1所示之設備。為說明之目的,在此圖中未顯示基板107,且將低再結合材料塗層220之厚度放大。此外,低再結合材料塗層220可存在於圖2中看不見的區域,例如在噴淋頭孔洞112的內側表面上。在一些實施例中,低再結合材料塗層220僅覆蓋位於處理腔室102內側的表面。在其他實施例中,如前文提及,低再結合材料塗層220亦覆蓋位於遠端電漿腔室110內側的表面、及/或噴淋頭的表面。
本文中的許多實施例以矽氧化物低再結合材料作為脈絡來呈現。矽氧化物為使氫自由基再結合最小化的非常有效的材料。然而,在某些實施中,亦可使用非為矽氧化物的材料。所選擇的材料應為促進與被使用的特定自由基的低自由基再結合率的材料。此外,所選擇的材料應為可保型地形成在反應腔室之表面上的材料,例如使用本文所述之原子層沉積(ALD)方法。在許多情況下,低再結合材料為氧化物。可有效地作為低再結合材料的非為矽氧化物材料之範例包括(但不限於) 鋯氧化物、鋁氧化物、鉿氧化物、釔氧化物、氧化釔安定氧化鋯(YSZ)、及該者之組合。 形成低再結合材料塗層
可以一種方式來形成低再結合材料塗層,而其結果為在上方需要沉積作用的所有表面上的低再結合材料之沉積作用。尤其適合此目標的方法為原子層沉積(ALD)。ALD方法為在暴露的表面上沉積非常均勻/保型的薄膜的循環過程。
圖3呈現在反應腔室的表面上形成低再結合材料塗層的方法的流程圖。該方法以圖1及2所示之設備作為脈絡來呈現。方法始於操作301,其中第一反應劑流進處理腔室102中。該第一反應劑可透過入口108流進處理腔室102、或透過入口113流進遠端電漿腔室110。使反應劑透過入口113流進遠端電漿腔室110,有助於在遠端電漿腔室110內的表面上、及在噴淋頭孔洞112的內側表面上形成低再結合材料220。在該第一反應劑經由入口108流進處理腔室102內的某些例子中,當輸送該第一反應劑的同時,沒有氣體流經遠端電漿源110。無論該第一反應劑被輸送到哪,該第一反應劑均係在無電漿存在之情況下以氣相輸送。該第一反應劑吸附處理腔室102的表面上,例如腔室壁103 、腔室地板104、腔室天化板105、基板固持器106 。該第一反應劑吸附於上的其他表面可包括噴淋頭111的表面、以及遠端電漿腔室110內的表面。可透過在輸送第一反應劑時關閉排氣閥(未圖示)而使處理腔室內的壓力稍微昇高,來促進第一反應劑的吸附與飽和。
若低再結合材料220為矽氧化物,該第一反應劑一般為含矽反應劑。在一些例子中,該含矽反應劑為矽烷、鹵素矽烷、或胺基矽烷。矽烷包含氫及/或碳基團,但不含鹵素。矽烷的範例為矽烷 (SiH4 )、二矽烷 (Si2 H6 )、及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、第三己基矽烷、異戊基矽烷、第三丁基二矽烷、二第三丁基二矽烷等。鹵素矽烷包含至少一個鹵素基團,且可包含或不包含氫及/或碳基團。鹵素矽烷類的範例為碘矽烷類、溴矽烷類、氯矽烷類及氟矽烷類。雖然鹵素矽烷類(尤其氟矽烷類)可能形成會蝕刻矽材料的反應性鹵化物物種,但在本文描述的某些實施例中,含矽反應劑在觸發電漿時並不存在。具體的氯矽烷類為四氯矽烷 (SiCl4 )、三氯矽烷 (HSiCl3 )、二氯矽烷 (H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、 第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、 氯第二丁基矽烷、第三丁基二甲基氯矽烷、第三己基二甲基氯矽烷等。胺基矽烷包括至少一個與矽原子鍵結的氮原子,但亦可包含氫、氧、鹵素、及碳原子。胺基矽烷類之範例為一、二、三、及四胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、 HSi(NH2 )3 及 Si(NH2 )4 ),以及被取代的一、二、三、及四胺基矽烷類,例如第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、雙(第三丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS)、第三丁基矽烷基胺基甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、 SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。胺基矽烷的進一步的範例包括三矽烷基胺(N(SiH3 )3 )、二甲基胺二甲基矽烷、雙(二甲基胺)二甲基矽烷、雙(二甲基胺)甲基矽烷、二甲基胺三甲基矽烷、三(二甲基胺)矽烷、及雙(二乙基胺)矽烷。在某些例子中亦可使用這些反應劑的組合。
在一些例子中,若低再結合材料為金屬氧化物,則該第一反應劑可為金屬烷基胺、金屬烷氧化物、金屬烷基醯胺、金屬鹵化物、金屬β-二酮基、金屬羰基、有機金屬等。適當的含金屬反應劑包括期望合併在薄膜中的金屬。例如,若低再結合材料為鋁氧化物,則該第一反應劑可包括(此外還有)三(2,2,6,6-四甲基-3,5-庚二酮酸)鋁 (Al(OCC(CH3 )3 CHCOC(CH3 )3 )3 )、 三異丁基鋁([(CH3 )2 CHCH2 ]3 Al)、三甲基鋁((CH3 )3 Al)、三(二甲基醯胺)鋁(Al(N(CH3 )2 )3 )、及該者之組合。
若低再結合材料為鉿氧化物,則該第一反應劑一般為含鉿反應劑。含鉿反應劑的範例包括(此外還有)雙(第三丁基環戊二烯基)二甲基鉿(C20 H32 Hf)、 雙(甲基-η5 −環戊二烯基) 二甲基鉿(Hf[C5 H4 (CH3 )]2 (CH3 )2 )、 雙(甲基-η5 −環戊二烯基)甲氧基甲基鉿 (HfCH3 (OCH3 )[C5 H4 (CH3 )]2 )、 氯化雙(三甲基矽烷基)醯胺鉿([[(CH3 )3 Si]2 N]2 HfCl2 )、二甲基雙(環戊二烯基)鉿((C5 H5 )2 Hf(CH3 )2 )、第三丁基氧化鉿 (Hf[OC(CH3 )3 ]4 )、異丙氧化鉿異丙醇 (C12 H28 HfO4 )、四(二乙基醯胺)鉿 ([(CH2 CH3 )2 N]4 Hf)、四(二甲基醯胺)鉿  ([(CH3 )2 N]4 Hf)、四(乙基甲基醯胺)鉿([(CH3 )(C2 H5 )N]4 Hf)、及該者之組合。
若低再結合材料為鋯氧化物,則該第一反應劑一般為含鋯反應劑。含鋯反應劑的範例包括(但不限於)雙(環戊二烯基)二氫化鋯(C10 H12 Zr)、雙(甲基-η5 −環戊二烯基)甲氧基甲基鋯 (Zr(CH3 C5 H4 )2 CH3 OCH3 )、二甲基雙(五甲基環戊二乙基)鋯 (C22 H36 Zr)、四(二乙基醯胺)鋯 ([(C2 H5 )2 N]4 Zr)、四(二甲基醯胺)鋯([(CH3 )2 N]4 Zr)、四(乙基甲基醯胺)鋯(Zr(NCH3 C2 H5 )4 )、二丁氧化鋯(雙-2,4-乙醯丙酮) (C18 H32 O6 Zr)、 2-乙基己酸鋯(Zr(C8 H15 O2 )4 )、四(2,2,6,6-四甲基-3,5-庚二酮酸) 鋯(Zr(OCC(CH3 )3 CHCOC(CH3 )3 )4 )、及該者之組合。亦可形成其他的金屬氧化物,且可使用其他的反應劑。
在一些實施例中,該第一反應劑非為含鹵素的反應劑。不含鹵素的反應劑在一些實施例中有益於避免和某些腔室表面(例如鋁表面)發生不樂見的相互作用。在某些此類實施例中,該第一反應劑可包括胺基基團。在這些或其他的例子中,該第一反應劑可包括烷氧基基團。
在該第一反應劑的輸送期間,一般將反應腔室維持在相當高的壓力下。高的壓力有助於確保該第一反應劑吸附在所有的相關表面上。化學品的分壓愈高,飽和反應發生所需的時間愈短。在一些實施例中,反應腔室內的壓力可介於約0.1-20 Torr,例如介於約0.5-4 Torr。 最佳壓力主要受前驅物流動可能量、時間、及腔室容積影響。可將腔室表面維持在介於約20-600°C的溫度下,例如在一些例子中介於約50-450°C 。在一些實施例中,該第一反應劑以介於約1-1000 sccm、或介於約1-25 sccm的速率流進反應腔室中,歷時介於約0.1s-5 min、或介於約10s-3 min的持續期間。該第一反應劑流動的最佳持續期間受前驅物之流率及分壓、以及腔室之尺寸影響。
接下來,在操作303,沖洗處理腔室102。可透過下列操作來進行沖洗步驟:以沖洗氣體(例如惰性氣體)清掃處理腔室102;使用真空將處理腔室102排空;或透過這些操作之組合。沖洗步驟用以將第一前驅物之任何未吸附的分子從處理腔室102中移除。
在沖洗處理腔室102之後,在操作305時使第二反應劑流進處理腔室102中。與第一反應劑相同地,該第二反應劑可透過入口108流進處理腔室102、或透過入口113流進遠端電漿腔室110。使該第二反應劑透過入口113流進遠端電漿腔室110,有助於在遠端電漿腔室110內的表面上、及在噴淋頭111的表面上形成低再結合材料。該第一與第二反應劑中之一者或兩者可與載氣(例如惰性氣體)一起流入。
許多時候,該第二反應劑為含氧反應劑。含氧反應劑的範例包括(但不限於)氧 (O2 )、二氧化碳 (CO2 )、一氧化二氮 (N2 O)、水 (H2 O)、臭氧、及該者之組合。在具體範例中,該第二反應劑為氧。在一些實施例中,該第二反應劑以介於約100-20000 sccm、或介於約 500-5000 sccm的速率流動,歷時介於約0.25-60s、或介於約1-20s的持續期間。該第二反應劑可連同惰性載氣(例如氦、氬等)一起流動,這亦有助於建立而維持電漿,並且提高分解效率(breakdown efficiency)。
在操作307中,供應能量以使用表面反應將前驅物轉化成低再結合材料塗層220 。例如圖2所示般,低再結合材料塗層220形成在各個表面上。在許多實施例中,該能量可為熱能或電漿能。若使用熱能來驅動反應,則腔室表面可升溫到昇高溫度而促進低再結合材料形成。此外,若使用熱能,則用以形成低再結合材料的反應劑可選擇特別具反應性者(例如臭氧、水等)。在一些例子中若使用電漿能,則可使用相對低反應性的反應劑。
可在處理腔室102中、或在遠端電漿腔室110中產生電漿。若在遠端電漿腔室110中產生電漿,則自由基擴散出去而進入處理腔室102中,如處理期間在基板上發生般。該電漿可為電感耦合型電漿、電容耦合型電漿、微波耦合型電漿等。在一些實施例中,用以產生電漿的RF功率可介於約每站200-4500 W 或介於約每站1500-3000 W。最佳功率取決於用以產生電漿的來源。ICP來源一般使用相對較高的功率,而 CCP 來源一般使用相對較低的功率。在一些實施例中,用以產生電漿的頻率可為HF頻率。例示性HF頻率範圍介於約1.8 MHz 與約2.45 GHz之間。在一些實施例中可使用的具體例示性頻率包括 13.56 MHz、27 MHz、 40 MHz、 60 MHz、 100 MHz、及/或 2.45 GHz。該電漿可存在介於約0.25-60 s或介於約1-20 s的持續期間。
在一些實施例中,操作307(有時稱為轉化操作)係在該第二反應劑之流動停止之後進行。操作305與307之間可選擇性沖洗處理腔室102,例如使用清掃氣體及/或透過將處理腔室102排氣。在其他實施例中,操作305與307可重疊。例如,在一些例子中,轉化操作307在第二反應劑仍流進處理腔室102時開始(亦即,在操作305持續進行的同時開始進行操作307)。在其他例子中,轉化操作307與操作305中的第二反應劑輸送在實質上相同的時間點開始。操作305中的第二反應劑的流動可在轉化操作307之前、期間、或結束時停止。
接下來,在操作309時沖洗處理腔室102以將任何未吸附的物種移除。操作309有時稱為後電漿沖洗。操作301-309一起代表單一次ALD反應循環。各循環可沉積約單層的材料,其大約為0.5-3 Å厚,但在一些實施例中可沉積次單層或比單層更厚的層。在各個ALD循環結束時,在操作311判定所沉積的低再結合材料塗層220是否夠厚。若材料夠厚,則結束沉積處理。反之,從操作301開始重複執行該處理,並沉積另外的低再結合材料。操作311中的判定通常係根據每一循環所沉積的已知/預測厚度、循環的次數、及所期望的最終厚度而作出。
在一些實施例中,低再結合材料塗層220被沉積到介於約50-500 Å、或介於約100-300 Å的總厚度。 在某些例子中可使用更厚的塗層。在一具體範例中,將低再結合材料220沉積到約200Å之厚度。若基板固持器在低再結合材料之沉積期間被維持在約400°C之溫度下,則這些厚度係以沉積在基板固持器106(或若基板存在,基板固持器106上的基板)上的薄膜的平均厚度為根據。在其他區域的薄膜可具有不同的厚度,但一般認為,在各種腔室表面上之薄膜厚度係相當均勻的,至少當低再結合材料為矽氧化物時係如此。在這些或其他的實施例中,形成低再結合材料塗層220的ALD循環的總次數可介於約50-1000次、或介於約100-300次。在具體實施例中,使用約150次的循環,而在基板固持器106上產生約 200 Å 的總厚度。
可使用形成矽氧化物低再結合材料塗層220的替代方法。在一範例中,可使用氫(H2 )電漿來蝕刻處理腔室內的石英組成物。石英中的SiO2 被從石英組成物中蝕刻出來,並再分配於處理腔室中的表面上。雖然蝕刻石英並再分配SiO2 的方法係可使用的,但對於某些腔室而言, ALD處理可能更為合適。相較於所述之關於圖3的使用ALD的低再結合材料沉積,原位 H2 電漿方法需要更長的時間且在腔室表面上產生較不均勻的覆蓋率。此外,此方法 (H2 電漿)導致不樂見的石英組成物之降解 ,且以此方式形成的矽氧化物較無法成功地在處理於處理腔室中發生之後,再調節成為低再結合材料,如下進一步描述。
低再結合材料塗層220一般係在使用處理腔室來處理基板之前形成。在許多實施例中,低再結合材料塗層220係在腔室清潔操作(例如使用氟基化學品或其他的腔室清潔技術)之後、處理基板之前形成。換句話說,可在基板的每一批次開始之時形成低再結合材料塗層220。
低再結合材料塗層220 可與「底塗層」進行比較,底塗層使用在某些半導體處理設備上,例如用以在基板上執行化學氣相沉積(CVD)及/或原子層沉積 (ALD) 的某些反應器。在許多 CVD與 ALD反應器中,在內側腔室表面(通常為鋁)上沉積厚度介於約3-4µm的底塗層,與圖2所示之低再結合材料塗層220十分類似。然而,此兩個塗層具有非常不同的厚度(底塗層比所揭露的低再結合材料塗層220厚許多),且係因不同的原因而提供。在CVD 與ALD 反應器中,底塗層係提供用以覆蓋腔室表面,進而避免金屬腔室表面汙染基板。在此類反應器中使用的原位電漿可能非常具侵蝕性(harsh),且若不存在底塗層則可能侵蝕金屬腔室表面。底塗層亦可用以在一批次的基板處理過程中,提供更均勻的腔室阻抗。 相較之下,低再結合材料塗層220 比CVD 與ALD 反應器中使用的底塗層薄得多,且僅提供用以使自由基再結合的量最小化,進而使可用以在基板上進行處理的自由基的量最大化。不願受限於理論,若使用遠端電漿,則與裸露腔室表面有關的基板汙染議題問題顯著較少,因為遠端電漿較不具侵蝕性且不太可能侵蝕腔室表面而污染基板。在本文中的許多實施例中,以相對薄的低再結合材料層塗佈在處理腔室以及其中的表面上,且不使用相對厚的底塗層(如本文中所述般)。 再調節低再結合材料塗層
雖然低再結合材料塗層在使處理期間之自由基再結合程度最小化時係非常有用的,但在處理整個批次的基板期間產生某些議題。例如,隨著一批次中的其他基板受到處理,材料積累在被塗佈了低再結合材料的各個表面上。積累材料可為被沉積在基板上的材料(在一些例子中,例如矽碳化物、矽氮碳化物、或矽氧碳化物)、處理的副產物等。這些材料可能在某種程度上覆蓋低再結合材料塗層,而使低再結合材料塗層的有效性顯著地降低。在許多例子中,與用於低再結合材料塗層的材料(例如SiO2 、或本文中描述的其他材料)相比,在一批次期間積累在腔室表面上的材料為促進更快的自由基再結合速率的材料。因此,自由基再結合隨著批次之進行而變得更有問題。
逐漸惡化的自由基再結合問題對於給定之基板的薄膜均勻性具有很大的影響。更具體而言,危及中心到邊緣的薄膜均勻性。首先,基板之周邊區因材料沉積在腔室表面上所受到的影響比基板之中心區更多,這係由於腔室表面(其在材料沉積之時/之後有效地消耗(kill)附近的自由基)對基板之周邊區的鄰近性,且較少自由基被輸送到此周邊區。此薄膜非均勻性在一批次的過程中惡化至一相當穩定的數值。此外,逐漸嚴重的自由基再結合問題可能導致沉積作用在基板上進行時的速率產生偏差(其中沉積速率在一批次的過程中慢下來)。
本文中揭露之某些方法的實施例透過週期性地再調節低再結合材料塗層而解決材料積累問題。此再調節處理改變積累材料,而將其轉變成促進較低自由基再結合程度的材料。這可涉及改變積累材料,以形成羥基化表面。在一具體範例中,積累材料為含矽材料(例如矽碳化物、矽氮碳化物、及/或矽氧碳化物),而再調節處理涉及將該含矽材料變成矽氧化物。
再調節低再結合材料塗層的一方法為將該材料暴露到含氧電漿。該含氧電漿有效地將碳(以及其他非矽/氧的元素)從積累材料中燒盡(burn off)/移除,並改良所期望的二氧化矽低再結合材料。
在另一例示性實施例中,處理腔室可用以形成含鋁薄膜,低再結合材料為鋁氧化物,腔室表面上不樂見的積累材料為含鋁薄膜,而再調節處理涉及將腔室表面暴露到含氧電漿,進而將積累材料轉化回鋁氧化物。在另一實施例中,處理腔室可用以形成含鉿薄膜,低再結合材料為鉿氧化物,腔室表面上不樂見的積累材料為含鉿薄膜,而再調節處理涉及將腔室表面暴露到含氧電漿,進而將積累材料轉化回鉿氧化物。可想見使用其他金屬的相似實施,亦落入本文之實施例的範疇內。在另外其他的實施例中,低再結合材料為矽氧化物,而再調節處理涉及暴露到含氧電漿,進而促進矽氧化物的形成,不考慮在基板上發生的處理或積累材料之組成物。
可用以形成含氧電漿的例示性氣體包括氧(O2 )、二氧化碳(CO2 )、一氧化二氮 (N2 O)、水 (H2 O)、臭氧、 H2 + O2 、及該者之組合。在一些實施例中, 用以產生含氧電漿的氣體亦可包括惰性氣體。含氧氣體可以介於約100-20000 sccm、或介於約500-5000 sccm的速率流動。電漿可在處理腔室中產生、或在遠端電漿腔室中產生。
在許多例子中,將處理腔室暴露到含氧電漿歷時一段相當短的時間,例如約5秒或更短、約3秒或更短、或約1秒或更短。在一些實施例中,用以再調節低再結合材料的含氧電漿暴露之持續期間介於約0.05-3 秒、或介於約0.1-1秒。
因為電漿暴露的持續期間如此短暫,所以在某些實施例中,在基板仍存在於反應腔室中時,將該反應腔室暴露到電漿。在一範例中,將基板裝載到具有SiO2 低再結合材料塗層的處理腔室中,並進行以H自由基為主的沉積處理,而在該基板上沉積約10-100 Å (例如30 Å) 的含矽薄膜。然後停止該沉積處理,並在該基板仍存在於該處理腔室中時,將該基板與腔室暴露到含氧電漿。雖然該含氧電漿可能對沉積在該基板上的薄膜產生若干影響,但此種影響非常小,且在一些例子中係預期可被接受的。在暴露到含氧電漿之後,在該基板上沉積額外 10-100 Å (例如30 Å)的含矽薄膜。可持續循環(a) 在基板上進行沉積與 (b) 暴露到含氧電漿等之步驟,直到所沉積的薄膜達到其最終厚度為止。當沉積在基板上的薄膜特別厚時(例如,最終厚度至少約 60 Å,有時最終厚度介於約60–1500 Å),此實施例尤其有用。在一些例子中,個別的基板上於隨後的再調節電漿暴露步驟之間沉積的薄膜厚度介於約20-70 Å、或介於約 30-50 Å。於隨後的再調節處理步驟之間沉積的最佳薄膜厚度取決於薄膜非均勻性因薄膜沉積而惡化的速率有多快。
在不同的實施中再調節的頻率可不一樣。在先前範例中,每一基板再調節低再結合材料塗層至少一次(且在許多例子中至少兩次)。在基板上期望之最終薄膜厚度為1000 Å 且在後續的再調節處理步驟之間沉積 40Å的薄膜的另一範例中,每一基板約執行此再調節處理25次。總薄膜厚度以及於再調節處理步驟之間沉積的厚度將決定再調節應執行的次數。
在一相似範例中,針對每一基板再調節低再結合材料塗層至少一次,但在暴露到含氧電漿的期間將基板從反應腔室中移開。在一實施中,將基板裝載到處理腔室中;進行沉積作用而產生第一厚度;將該基板從該處理腔室中移開;如本文所述般再調節該處理腔室;再次將該基板裝載到該處理腔室中;並且進行沉積作用而在該基板上沉積薄膜至第二厚度。可重複執行這些步驟直到該基板上的薄膜達到最終厚度。在另一實施中,在隨後的基板處理步驟之間再調節低再結合材料塗層(例如,基板於再調節其間存在或不存在於該處理腔室中之情況下)。在更另一實施中,每隔n個基板進行再調節一次,其中n介於約1-50、或介於約1-10。
再調節的理想頻率將視許多因素而定,包括在基板上發生的處理的類型、所使用的化學品、材料積累在腔室表面上的組成物、被沉積在各個基板上的薄膜的厚度等。為決定再調節低再結合材料塗層之頻率,吾人可注意沉積在基板上的薄膜厚度的均勻性(在基板處理涉及基板上的沉積作用的實施例中)。如前文提及,材料積累對基板之周邊區的影響比對基板之中央區更加嚴重。因此,當薄膜厚度均勻性(中央到邊緣)達到某一閾值時,可調節低再結合材料塗層。
在一批次的基板完成時,可清潔處理腔室(以及選擇性地遠端電漿腔室)。在一些例子中,清潔處理可涉及使用含氟化學品(例如含氟電漿,諸如以NF3 為主的電漿),但亦可使用其他的方法。清潔之結果為移除相關表面上的所有積累材料,包括低再結合材料。在清潔腔室之後,可提供新的一批次的基板。在處理新的批次之前,可提供新的低再結合材料塗層,例如使用所述之關於圖3的方法。隨著新批次的基板受到處理,如所述般週期性地再調節低再結合材料塗層。透過提供並再調節低再結合材料,而最小化自由基再結合程度,殘留而到達基板的自由基的數量在時間上更均勻,且改善了批次基板中(以及個別的基板中)的均勻性。
在許多實施例中,透過ALD處理而形成低再結合材料塗層。如前文提及,可用以形成低再結合材料的另一方法為使用氫電漿來蝕刻處理腔室內的石英組成物,石英中的二氧化矽被蝕刻,並再沉積於各個腔室表面上。令人訝異的係,當矽氧化物低再結合材料塗層一開始係以此方式形成時,含氧電漿暴露步驟並不會成功地再調節矽氧化物材料。反而,即使在暴露到含氧電漿之後,該材料仍然為相當高再結合材料(至少就氫自由基而言)。
應將含氧電漿再調節處理步驟與清潔處理區分開來,清潔處理通常用以清潔進行電漿處理的反應腔室。在許多脈絡中,可使用電漿(例如在一些例子中,以F為主的電漿或以O為主的電漿,取決於待移除之材料的類型)來清潔電漿反應器,以將積累材料從腔室表面上移除。在一範例中,使用以氧為主的電漿來清潔用以透過化學氣相沉積來沉積薄膜的反應腔室。清潔步驟將無意沉積在腔室表面上之材料(例如沉積在基板上的薄膜及/或此類沉積作用的副產物)以及故意沉積在腔室表面上之材料(例如在本文之其他地方描述的較厚的底塗層)兩者移除。在清潔腔室之後,腔室表面為裸露的(例如在一些例子中為鋁)。相較之下,以氧為主的電漿再調節處理步驟僅影響腔室表面上的薄膜,而將暴露的薄膜轉化成矽氧化物(或另外的低再結合材料)。覆蓋腔室表面的薄膜被改質,未被移除。 基板上的處理
本文中之實施例不限於任何特定類型的處理在基板上進行之例子。在一些例子中,在基板上進行的處理可為沉積處理。舉例來說,在其他例子中,在基板上進行的處理可為蝕刻處理、或加工處理。總而言之,所揭露之實施例可用於期望以自由基的方式進行處理的任何例子。
本文中討論的許多實施以涉及使用遠端氫電漿在基板上沉積含矽薄膜(例如矽碳化物、矽氮碳化物、或矽氧碳化物)的半導體處理方法為脈絡來呈現。提供此具體實施的某些細節作為脈絡,但已知該等實施例不限於此類處理。
在一些實施例中,在基板上沉積含矽薄膜的處理為以氫自由基為主的沉積處理。此類處理進一步討論於美國專利申請第14616435號, 申請日為2015年2月6日,案名為「CONFORMAL FILM DEPOSITION OF SILICON CARBIDE FILMS」; 美國專利申請第13907699號, 申請日為2013年5月31日,案名為「METHOD TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES」,各該等案以全文加入本案之參考資料。
在圖1與2之內容中,處理可涉及將一或多個前驅物輸送到處理腔室102,例如透過入口108。前驅物不會直接地暴露到活性電漿。取而代之的係,氫電漿在遠端電漿源110中產生,且遠端H電漿擴散經過噴淋頭111中的孔洞112並進入處理腔室102。前驅物(或複數前驅物)可為含矽前驅物。含矽前驅物可具有一或多個矽-氫鍵及/或矽-矽鍵。含矽前驅物亦可具有一或多個矽-氧鍵、矽-氮鍵、及/或矽-碳鍵。前驅物(或複數前驅物)與氫電漿同時地流入/暴露到處理腔室102,而形成含矽薄膜。在這些沉積處理中,可將反應腔室中的壓力維持在介於約0.2-40 Torr之間(在一些例子中介於約0.2-8 Torr、或介於約10-20 Torr之間),且可將基板的溫度維持在介於約50-500°C之間(在一些例子中介於約200-400°C)。
在遠端電漿源中可使用任何類型的電漿源來產生自由基物種。這包括(但不限於)電容耦合型電漿、電感耦合型電漿、微波電漿、DC電漿、及雷射產生型電漿。電容耦合型電漿之一範例為射頻(RF)電漿。高頻電漿可配置以在13.56 MHz或更高之頻率下操作。此類遠端電漿源的一範例為由加利福尼亞州聖荷西(San Jose, California)的諾發系統公司(Novellus Systems)所製造的GAMMA®。 此類RF遠端電漿源的另一範例為由馬薩諸塞州威明頓(Wilmington, Massachusetts)的萬機科技公司(MKS Instruments)所製造的Astron®,其可在440 kHz之頻率下操作,且可提供作為栓接在更大的設備上用以平行地處理一或多個基板的子元件 。在一些實施例中,微波電漿可使用作為遠端電漿源,例如亦由萬機科技公司所製造的Astex®。微波電漿可配置以在 2.45 GHz之頻率下操作。 設備
本文中描述的方法可透過任何適當的設備執行。適當的設備包括硬體與系統控制器,其中該硬體用以完成製程操作,而該系統控制器具有用以根據本發明控制製程操作之指令。例如,在一些實施例中,該硬體可包括含括在一處理工具中的一或多個處理站。一例示性設備已提供在圖1與2中。然而,該等實施例不限於此設備。吾人預期所揭露之技術可有用於任何進行以自由基為主之處理的脈絡中。在許多(但不必然全部)例子中,涉及遠端電漿。在某些實施中,該等實施例可在可得自加利福尼亞州費利蒙(Fremont, CA)的蘭姆研究公司(Lam Research Corporation)的Versa-S 設備中實行。 系統控制器
在一些實施例中,控制器可為或系統之一部分,系統可為上述範例之一部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
在所揭露實施例的脈絡中,除了經設計或配置以根據基板所暴露的任何處理來處理基板之外,控制器可經設計或配置以形成並再調節低再結合材料塗層。控制器可具有執行本文所述之處理/方法之任一者的指令。
上述之各種硬體與方法實施例可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。
薄膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如具有矽氮化物薄膜形成於上方的基板)上;(2)使用加熱板、或加熱爐、或其他適當的固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台或噴射顯影器之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。在一些實施例中,可在塗佈光阻劑之前先沉積可灰化硬遮罩層(例如非晶碳層)以及另一適當的硬遮罩(例如抗反射層)。
應瞭解,本文所述之配置及/或方法本質上為例示性, 且這些具體實施例或範例不被認為具有限制性,因為可能有許多變化。本文所述之具體例行工作或方法可呈現任何數量之處理策略中之一或更多者。因此,所描述之許多動作可以所描述之順序、以其他順序、平行地執行,或在一些例子中可省略。同樣地,可改變上述處理之順序。
本發明之標的包括各種製程、系統及形構、及本文揭露之其他特徵、功能、動作、及/或性質的所有新穎且非顯而易見的組合與次組合,以及任何其中之等效應用。實驗
實驗結果顯示,所揭露之低再結合材料塗層可用以使自由基再結合的程度最小化,並且使可用以在基板上進行處理的自由基的量最大化。實驗結果亦顯示,所揭露之再調節處理可用以有效地將腔室表面上積累的材料轉化成促進更低自由基再結合程度的材料。
圖4A與4B描繪沉積在基板上的含矽薄膜的厚度輪廓,其中該基板係在原已塗佈ALD沉積SiO2 並在SiO2 塗層初始形成之後具有某種程度的材料積累在腔室表面上的腔室中受處理。圖4A顯示在以氧電漿再調節處理腔室之前所沉積的含矽薄膜的厚度輪廓,而圖4B顯示在以氧電漿再調節處理腔室之後所沉積的含矽薄膜的厚度輪廓。圖4A中的輪廓顯示明顯的中央到邊緣的厚度不均勻性。在此例子中觀察到的此膜的最薄與最厚部分之間的厚度差距約9 Å,其相當於約32%的平均薄膜厚度。算得的1標準差的厚度不均勻性約12.5%。相較之下,圖4B的基板顯示均勻得多的厚度輪廓,差距僅約5 Å,或約14%的平均薄膜厚度。在此例子中1標準差的厚度不均勻性僅約4.1%,比圖4A(針對在腔室再調節之前受處理的基板)的非均勻性低許多。
圖4A中的輪廓顯示所沉積的薄膜在基板之邊緣附近處係薄的。由於此區域中的自由基會因為材料積累在接近基板邊緣的腔室表面上而有效地被消耗,故此邊緣薄的輪廓係被預料的。相較之下,圖4B中的輪廓在半徑中段位置最厚,且整體上更為均勻。這些結果證實再調節處理係非常有效的。
102‧‧‧處理腔室 103‧‧‧腔室壁 104‧‧‧腔室地板 105‧‧‧腔室天化板 106‧‧‧基板固持器 107‧‧‧基板 108‧‧‧入口 109‧‧‧排氣出口 110‧‧‧遠端電漿源/腔室 111‧‧‧噴淋頭 112‧‧‧孔洞 113‧‧‧入口 220‧‧‧低再結合材料(塗層) 300‧‧‧方法 301‧‧‧步驟 303‧‧‧步驟 305‧‧‧步驟 307‧‧‧步驟 309‧‧‧步驟 311‧‧‧步驟
圖1描繪使用遠端電漿處理基板的反應腔室的簡化圖。
圖2顯示圖1的反應腔室,其中有低再結合材料塗層覆蓋於內側表面上。
圖3為流程圖,描述使用原子層沉積(ALD)型反應在內側腔室表面上形成低再結合材料塗層的方法。
圖4A與4B顯示基板的膜厚度輪廓,其中基板係在低再結合材料塗層再調節之前(圖4A)或在低再結合材料塗層再調節之後(圖4B)於反應腔室中進行處理。
102‧‧‧處理腔室
103‧‧‧腔室壁
104‧‧‧腔室地板
105‧‧‧腔室天化板
106‧‧‧基板固持器
107‧‧‧基板
108‧‧‧入口
109‧‧‧排氣出口
110‧‧‧遠端電漿源/腔室
111‧‧‧噴淋頭
112‧‧‧噴淋頭孔洞
113‧‧‧入口
220‧‧‧低再結合材料塗層

Claims (35)

  1. 一種用以執行遠端電漿處理的反應腔室之調節方法,該方法包含下列步驟:在無基板存在於反應腔室中之情況下,透過原子層沉積處理在該反應腔室中的暴露表面上形成低再結合材料塗層;並且在一或多個基板上執行遠端電漿操作之後,將該反應腔室暴露到氧化電漿,以再調節該反應腔室中的暴露表面,而藉此改良該低再結合材料塗層。
  2. 如申請專利範圍第1項之用以執行遠端電漿處理的反應腔室之調節方法,更包含在形成低再結合材料塗層之後,執行該遠端電漿操作以在該反應腔室中處理一或多個基板,其中該遠端電漿操作導致在該反應腔室中的暴露表面的至少一部分上形成第二塗層,且相較於該低再結合材料塗層,該第二塗層在該遠端電漿操作期間促進較高的自由基再結合速率。
  3. 如申請專利範圍第2項之用以執行遠端電漿處理的反應腔室之調節方法,其中將該反應腔室暴露到氧化電漿的步驟將該第二塗層改質,而改良該低再結合材料塗層。
  4. 如申請專利範圍第1項之用以執行遠端電漿處理的反應腔室之調節方法,其中將該反應腔室暴露到氧化電漿歷時介於約0.05-5秒的持續期間。
  5. 如申請專利範圍第4項之用以執行遠端電漿處理的反應腔室之調節方法,其中該持續期間介於約0.1-1秒。
  6. 如申請專利範圍第1-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,其中用以在該反應腔室中的暴露表面上形成低再結合材料塗層的原子層沉積處理包括下列步驟:(a)使第一反應劑流入該反應腔室中,並允許該第一反應劑吸附在該反應腔室中的暴露表面上; (b)將該第一反應劑從該反應腔室中沖除;(c)使第二反應劑流入該反應腔室中;(d)將該反應腔室中的暴露表面暴露到電漿以驅動該第一反應劑及該第二反應劑之間的表面反應,進而在該反應腔室中的暴露表面上形成低再結合材料塗層;並且(e)重複執行步驟(a)-(d)直到低再結合材料塗層達到最終塗層厚度。
  7. 如申請專利範圍第6項之用以執行遠端電漿處理的反應腔室之調節方法,其中該低再結合材料塗層的最終塗層厚度至少約50Å,其係以該反應腔室中的一基板固持器上的低再結合材料塗層的平均厚度來度量。
  8. 如申請專利範圍第6項之用以執行遠端電漿處理的反應腔室之調節方法,其中該低再結合材料塗層包含矽氧化物。
  9. 如申請專利範圍第3項之用以執行遠端電漿處理的反應腔室之調節方法,其中該低再結合材料塗層包含矽氧化物,其中執行遠端電漿操作之步驟包含在一或多個基板上沉積含矽薄膜,且其中該第二塗層包含含矽材料。
  10. 如申請專利範圍第6項之用以執行遠端電漿處理的反應腔室之調節方法,其中該第一與第二反應劑中之至少一者從一遠端電漿腔室流進該反應腔室中,該遠端電漿腔室鄰近該反應腔室而設置,並透過一噴淋頭而與該反應腔室隔開。
  11. 如申請專利範圍第10項之用以執行遠端電漿處理的反應腔室之調節方法,其中該第一與第二反應劑兩者均從該遠端電漿腔室流進該反應腔室中,且其中該氧化電漿係在該遠端電漿腔室中產生並透過該噴淋頭流進該反應腔室中。
  12. 如申請專利範圍第1-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,其中該氧化電漿係在該反應腔室中原位地產生。
  13. 如申請專利範圍第2項之用以執行遠端電漿處理的反應腔室之調節方法,其中執行遠端電漿操作包含下列步驟:提供該一或多個基板中之一者於該反應腔室中;使含矽反應劑流進該反應腔室中,但不將該含矽反應劑暴露到原位電漿;在一遠端電漿腔室中產生氫電漿,該遠端電漿腔室鄰近該反應腔室而設置,並透過一噴淋頭而與該反應腔室隔開,並且在使含矽反應劑流進該反應腔室中的同時允許該氫電漿通過該噴淋頭而進入該反應腔室中;將該基板同時地暴露到含矽反應劑與氫電漿,而在該基板上沉積含矽薄膜。
  14. 如申請專利範圍第3或9項之用以執行遠端電漿處理的反應腔室之調節方法,其中當該反應腔室暴露到氧化電漿時,一或多個基板存在於該反應腔室中。
  15. 如申請專利範圍第14項之用以執行遠端電漿處理的反應腔室之調節方法,更包含:在基板位於該反應腔室中時將該反應腔室暴露到氧化電漿之後,執行第二遠端電漿操作,以進一步處理該反應腔室中的該基板,第二遠端電漿操作再次導致第二塗層的形成;並且在執行第二遠端電漿操作之後,在該基板存在於該反應腔室中時將該反應腔室暴露到第二氧化電漿,以再次再調節該反應腔室中的暴露表面,而改質該第二塗層,進而改良該低再結合材料塗層。
  16. 如申請專利範圍第1-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,更包含週期性地重複執行下列步驟:(a)在一或多個基板上執行遠端電漿操作,以及(b)將該反應腔室暴露到氧化電漿,進而再調節該反應腔室中的暴露表面,其中在步驟(b)的各反覆操作之間於步驟(a)中處理介於約1-50個之間的基板。
  17. 如申請專利範圍第3或9項之用以執行遠端電漿處理的反應腔室之調節方法,更包含:清潔該反應腔室以將存在於該反應腔室中的暴露表面上的任何低再結合材料塗層與第二塗層移除,清潔步驟包含將該反應腔室暴露到含氟電漿;並且在清潔該反應腔室之後,重複執行申請專利範圍第1項之方法。
  18. 如申請專利範圍第1、3-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,更包含在一或多個基板上執行該遠端電漿操作,該遠端電漿操作包含下列步驟:提供該一或多個基板中之一者於該反應腔室中;使含矽反應劑流進該反應腔室中,但不將該含矽反應劑暴露到原位電漿;在一遠端電漿腔室中產生氫電漿,該遠端電漿腔室鄰近該反應腔室而設置,並透過一噴淋頭而與該反應腔室隔開,並且在使該含矽反應劑流進該反應腔室中的同時允許該氫電漿通過該噴淋頭而進入該反應腔室中;將該基板同時地暴露到該含矽反應劑與該氫電漿,而在該基板上沉積含矽薄膜。
  19. 如申請專利範圍第1-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,其中該低再結合材料塗層係形成在介於約50-500Å的厚度,其係以該反應腔室中的一基板固持器上的該低再結合材料塗層的平均厚度來度量。
  20. 如申請專利範圍第1-5項中之任一項之用以執行遠端電漿處理的反應腔室之調節方法,其中該低再結合材料塗層包含矽氧化物,該方法更包含藉由下列方式在該一或多個基板上執行該遠端電漿操作:將該一或多個基板暴露到遠端電漿,而藉此在該一或多個基板上沉積矽碳化物、矽氮碳化物、或矽氧碳化物, 其中執行該遠端電漿操作的步驟係在該反應腔室中的暴露表面上形成矽碳化物、矽氮碳化物、或矽氧碳化物,以及其中將該反應腔室暴露到氧化電漿的步驟係藉由下列方式來改良該低再結合材料塗層:使該反應腔室中的暴露表面上的該矽碳化物、矽氮碳化物、或矽氧碳化物氧化,而藉此改良該反應腔室中的暴露表面上的矽氧化物。
  21. 一種用以處理基板的遠端電漿處理設備,該設備包含:一反應腔室,其包含:內側腔室表面;一基板固持器,用以將基板固持在該反應腔室中;以及一排氣埠口,用以將材料從該反應腔室中移除;一遠端電漿腔室,其包含:一電漿產生器,用以在該遠端電漿腔室中產生電漿;一入口,用以將氣體輸送到該遠端電漿腔室中;一出口,用以將在該遠端電漿腔室中產生的電漿提供到該反應腔室中;以及一控制器,其係配置成致使:在無基板存在於該反應腔室中之情況下,透過原子層沉積處理在該反應腔室中的該內側腔室表面上形成低再結合材料塗層;並且在該基板上執行遠端電漿操作之後,將該反應腔室暴露到氧化電漿,以再調節該內側腔室表面,而藉此改良該低再結合材料塗層。
  22. 如申請專利範圍第21項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使:在形成該低再結合材料塗層之後,提供該基板至該反應腔室中,以及 在該遠端電漿腔室中產生電漿,並提供該電漿至該反應腔室,以在該基板上執行該遠端電漿操作。
  23. 如申請專利範圍第22項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使在該基板上的該遠端電漿操作期間,在該內側腔室表面的至少一部分上形成第二塗層,相較於該低再結合材料塗層,該第二塗層在該遠端電漿操作期間促進較高的自由基再結合速率。
  24. 如申請專利範圍第23項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使將該第二塗層改質,而藉此改良該低再結合材料塗層。
  25. 如申請專利範圍第21-24項中之任一項之用以處理基板的遠端電漿處理設備,其中該遠端電漿腔室的該出口為用以將該遠端電漿腔室與該反應腔室隔開的一噴淋頭。
  26. 如申請專利範圍第21-24項中之任一項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使將該反應腔室暴露到該氧化電漿歷時介於約0.05-5秒的持續期間。
  27. 如申請專利範圍第21-24項中之任一項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成藉由下列步驟來致使形成該低再結合材料塗層:(a)將第一反應劑提供至該反應腔室,其中該第一反應劑吸附在該反應腔室中的該內側腔室表面上;(b)將該第一反應劑從該反應腔室中沖除;(c)使第二反應劑流至該反應腔室; (d)將該反應腔室暴露到沉積電漿,以藉此驅動該第一反應劑及該第二反應劑之間的表面反應,進而致使在該反應腔室中的該內側腔室表面上形成該低再結合材料塗層;並且(e)重複執行步驟(a)-(d)直到該低再結合材料塗層達到最終塗層厚度。
  28. 如申請專利範圍第21-24項中之任一項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使將該反應腔室暴露到含氟電漿。
  29. 如申請專利範圍第21-24項中之任一項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含選自於由下者所組成之群組之一材料的該低再結合材料塗層:矽氧化物、鋯氧化物、鋁氧化物、鉿氧化物、釔氧化物、氧化釔安定氧化鋯、及該者之組合。
  30. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含矽氧化物的該低再結合材料塗層。
  31. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含鋯氧化物的該低再結合材料塗層。
  32. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含鋁氧化物的該低再結合材料塗層。
  33. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含鉿氧化物的該低再結合材料塗層。
  34. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含釔氧化物的該低再結合材料塗層。
  35. 如申請專利範圍第29項之用以處理基板的遠端電漿處理設備,其中該控制器係配置成致使形成包含氧化釔安定氧化鋯的該低再結合材料塗層。
TW105109337A 2015-03-26 2016-03-25 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化 TWI702308B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562138810P 2015-03-26 2015-03-26
US62/138,810 2015-03-26
US14/712,167 US9828672B2 (en) 2015-03-26 2015-05-14 Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US14/712,167 2015-05-14

Publications (2)

Publication Number Publication Date
TW201704529A TW201704529A (zh) 2017-02-01
TWI702308B true TWI702308B (zh) 2020-08-21

Family

ID=56974974

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105109337A TWI702308B (zh) 2015-03-26 2016-03-25 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化

Country Status (6)

Country Link
US (3) US9828672B2 (zh)
JP (2) JP7067853B2 (zh)
KR (3) KR20160115761A (zh)
CN (1) CN106024673B (zh)
SG (1) SG10201602333SA (zh)
TW (1) TWI702308B (zh)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
ES2625288T3 (es) 2011-04-15 2017-07-19 The Johns Hopkins University Sistema de secuenciación segura
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
AU2013338393B2 (en) 2012-10-29 2017-05-11 The Johns Hopkins University Papanicolaou test for ovarian and endometrial cancers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017027653A1 (en) 2015-08-11 2017-02-16 The Johns Hopkins University Assaying ovarian cyst fluid
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
WO2019067092A1 (en) 2017-08-07 2019-04-04 The Johns Hopkins University METHODS AND SUBSTANCES FOR THE EVALUATION AND TREATMENT OF CANCER
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109712868A (zh) * 2018-12-20 2019-05-03 西安电子科技大学 基于氧化铝材料内嵌纳米晶结构的铁电薄膜制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US20220267900A1 (en) * 2019-06-26 2022-08-25 Lam Research Corporation Chamber-accumulation extension via in-situ passivation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN114342043A (zh) * 2019-08-30 2022-04-12 朗姆研究公司 低压下的高密度、模量和硬度的非晶碳膜
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313085A (zh) * 2005-08-02 2008-11-26 麻省理工学院 除去化学气相沉积(cvd)腔内的表面沉积物和钝化内表面的方法

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
JPS58151031A (ja) 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH07326581A (ja) 1994-05-31 1995-12-12 Sony Corp プラズマ装置およびこれを用いたプラズマcvd方法
JPH0822980A (ja) 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224076A (ja) * 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
JP4411215B2 (ja) 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
JP2008507847A (ja) 2004-07-23 2008-03-13 サンデュー・テクノロジーズ・エルエルシー 高エネルギー貯蔵密度及び低esrを有するコンデンサ
CN101053063B (zh) * 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
KR100959981B1 (ko) * 2004-09-01 2010-05-27 시바우라 메카트로닉스 가부시끼가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
WO2006130838A2 (en) 2005-06-02 2006-12-07 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007027350A2 (en) 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
KR100745987B1 (ko) 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
KR20080047543A (ko) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 트랜지스터, 유기 반도체 소자, 및 이들의 제조 방법
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
KR20080058568A (ko) 2006-12-22 2008-06-26 세메스 주식회사 리프트 핀 및 이를 갖는 기판 처리 장치
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP5011148B2 (ja) * 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
KR101577474B1 (ko) 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
JP5575299B2 (ja) 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5571770B2 (ja) * 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN102013568A (zh) 2010-12-01 2011-04-13 惠州Tcl移动通信有限公司 一种四频段的内置天线及其移动通信终端
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
MY171572A (en) 2012-01-30 2019-10-21 Hemlock Semiconductor Operations Llc Method of repairing and/or protecting a surface in a reactor
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6071537B2 (ja) 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
CN103219227A (zh) 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
KR20230032000A (ko) 2013-04-10 2023-03-07 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
CN107636374B (zh) 2015-05-07 2019-12-27 应用材料公司 一种波纹管和阀门组件
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078206A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US20190131113A1 (en) 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US10134569B1 (en) 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US20210340670A1 (en) 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
WO2021029970A1 (en) 2019-08-09 2021-02-18 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313085A (zh) * 2005-08-02 2008-11-26 麻省理工学院 除去化学气相沉积(cvd)腔内的表面沉积物和钝化内表面的方法

Also Published As

Publication number Publication date
US20160281230A1 (en) 2016-09-29
KR102443854B1 (ko) 2022-09-15
US11920239B2 (en) 2024-03-05
KR20220130058A (ko) 2022-09-26
JP2022058614A (ja) 2022-04-12
US20220145459A1 (en) 2022-05-12
TW201704529A (zh) 2017-02-01
US20180044791A1 (en) 2018-02-15
CN106024673B (zh) 2020-06-09
SG10201602333SA (en) 2016-10-28
JP2016216817A (ja) 2016-12-22
KR20220024330A (ko) 2022-03-03
JP7386270B2 (ja) 2023-11-24
KR20160115761A (ko) 2016-10-06
JP7067853B2 (ja) 2022-05-16
US9828672B2 (en) 2017-11-28
CN106024673A (zh) 2016-10-12

Similar Documents

Publication Publication Date Title
TWI702308B (zh) 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化
US10020188B2 (en) Method for depositing ALD films using halide-based precursors
JP7325417B2 (ja) 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
TWI679701B (zh) 矽氧化物之沉積方法
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
CN111247269A (zh) 介电膜的几何选择性沉积
TW201805476A (zh) 使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法
CN105420685B (zh) 用于减少背面沉积和减少基片边缘处的厚度变化的系统和方法
JP2015144268A (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
JP2023532118A (ja) 半導体デバイスにおける層内静電容量の低減