CN106024673B - 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化 - Google Patents

使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化 Download PDF

Info

Publication number
CN106024673B
CN106024673B CN201610181756.XA CN201610181756A CN106024673B CN 106024673 B CN106024673 B CN 106024673B CN 201610181756 A CN201610181756 A CN 201610181756A CN 106024673 B CN106024673 B CN 106024673B
Authority
CN
China
Prior art keywords
reaction chamber
chamber
plasma
coating
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610181756.XA
Other languages
English (en)
Other versions
CN106024673A (zh
Inventor
巴德里·N·瓦拉达拉简
龚波
雷切尔·E·巴策尔
邱华潭
巴特·J·范施拉芬迪克
杰弗里·霍恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106024673A publication Critical patent/CN106024673A/zh
Application granted granted Critical
Publication of CN106024673B publication Critical patent/CN106024673B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32486Means for reducing recombination coefficient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Silicon Compounds (AREA)

Abstract

本文的某些实施方式涉及调整用于远程等离子体处理的反应室的方法。本文的其他实施方式涉及用于远程等离子体处理的装置。在不同的实施方式中,通过在内腔室表面形成低重组材料涂层来调整反应室。当反应室被用于处理衬底时,低重组材料有助于使发生在反应室内的自由基程度最小化。在衬底处理过程中,低重组材料可被相对较高的重组材料(例如衬底处理的副产物)覆盖,导致随着时间的流逝可用于处理衬底的自由基的量减少。低重组材料涂层可通过暴露于氧化等离子体被修复,可以改造所述低重组材料涂层。所述修复工艺可随着产生于衬底上的附加的处理周期性的发生。

Description

使用具有间歇恢复等离子体的ALD氧化硅表面涂层来使自由 基重组最小化
相关申请的交叉引用
本发明要求2015年3月26日申请的、名称为“使自由基重组最小化”的美国临时专利申请No.62/138,810的优先权,上述申请的全部公开内容通过引用的方式并入本申请中。
技术领域
本发明涉及一种调整半导体处理装置中的反应室的方法及配置用于调整反应室的装置。
背景技术
半导体处理通常发生在专门的半导体处理装置中。该装置通常包括在处理过程中容纳衬底的反应室。反应室通常还包括用于实现半导体制造工艺的各种硬件(例如,衬底支撑件、喷头,等等)。在某些情况下,反应室可在用于处理基片之前被处理。反应室处理可采取许多不同的形式,并且可以因为各种原因进行。
发明内容
本文的某些实施方式涉及调整反应室的方法。本文的某些其他实施方式涉及配置用于调整反应室的装置。该反应室可用于使用远程等离子体处理处理衬底。在不同实施方式中,调整反应室包括用引起低程度自由基重组的材料涂敷内腔室表面。该腔室也可被定期修复以改造低重组材料。
在本文的实施方式的一个方面,提供一种调整用于执行远程等离子体处理的反应室的方法,所述方法包括:当反应室内没有衬底时,通过原子层沉积工艺在反应室内的暴露表面上形成低重组材料涂层;和在一或多个衬底上执行远程等离子体操作之后,将反应室暴露于氧化等离子体以修复反应室内的暴露表面并从而改造低重组材料涂层。
所述方法可进一步包括在形成低重组材料涂层之后,执行远程等离子体操作以处理反应室内的一或多个衬底,其中所述远程等离子体操作导致在反应室内的暴露表面的至少一部分上形成第二涂层,所述第二涂层在远程等离子体操作过程中引起比低重组材料涂层更高的自由基重组率。在不同情况中,将反应室暴露于氧化等离子体修改了所述第二涂层以改造所述低重组材料涂层。
在一些实施方式中,所述反应室被暴露于所述氧化等离子体持续约0.05-5秒之间的时间。在一些这样的情况中,持续时间约在0.1-1秒之间。
用于在反应室内的暴露的表面上形成低重组材料涂层的原子层沉积工艺包括:(a)将第一反应物流入所述反应室并允许所述第一反应物吸附于所述反应室中的暴露的表面上;(b)将所述第一反应物从所述反应室清除;(c)将第二反应物流入所述反应室;(d)将所述反应室中暴露的表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。所述低重组材料涂层的最终涂层厚度可至少为约
Figure GDA0001821390240000021
由所述反应室中的衬底支撑件上的低重组材料涂层的平均厚度测量得到。
在不同的实施方式中,低重组材料涂层包括氧化硅。在一些这样的实施方式中,执行所述远程等离子体操作包括将含硅薄膜沉积在一或多个衬底上,并且其中所述第二涂层包括含硅材料。
等离子体可以许多不同的方式产生。在一些实施方式中,第一反应物和第二反应物中的至少一个从远程等离子体腔室流入所述反应室,所述远程等离子体腔室最接近所述反应室并通过喷淋头与所述反应室分开。例如,第一和第二反应物都从所述远程等离子体腔室流入所述反应室,且其中所述氧化等离子体可在所述远程等离子体腔室中产生并通过所述喷淋头流入所述反应室。在一些其他的实施方式中,氧化等离子体在反应室内原位产生。
远程等离子体操作可包括多个步骤。例如,执行远程等离子体操作包括:提供反应室内的一或多个衬底中的一个,将含硅反应物流入所述反应室而不把所述含硅反应物暴露于原位等离子体,在远程等离子体腔室中产生氢等离子体,所述远程等离子体腔室最接近所述反应室并且通过喷淋头与所述反应室分开,且当所述含硅反应物流入所述反应室时,允许所述氢等离子体穿过所述喷淋头进入所述反应室;将衬底同时暴露于所述含硅反应物和所述氢等离子体以将含硅薄膜沉积在衬底上。
当反应室暴露于氧化等离子体时,所述反应室可包括或不包括衬底。在一些实施方式中,当反应室暴露于氧化等离子体时,一或多个衬底在所述反应室中。在其他实施方式中,衬底在腔室暴露于氧化等离子体之前被移除。
在一些实施方式中,所述方法可进一步包括:当衬底在反应室中时,将反应室暴露于氧化等离子体之后,执行第二远程等离子体操作以进一步处理反应室中的衬底,所述第二远程等离子体操作再次导致第二涂层的形成;和执行所述第二远程等离子体操作后,当衬底在反应室中时将反应室暴露于第二氧化等离子体,以再次修复反应室中的暴露的表面,从而修改第二涂层以改造所述低重组材料涂层。
在一些情况中所述方法可以循环的方式执行。例如,所述方法可包括循环重复步骤(a)在一或多个衬底上执行远程等离子体操作和(b)将反应室暴露于氧化等离子体以修复反应室内的暴露的表面,其中1-50之间的衬底在(b)步骤的每一次循环之间以(a)处理。
一批次的衬底被处理后,反应室可被清洁以去除反应室中的暴露表面上存在的任何低重组材料涂层和第二涂层,所述清洁包括将反应室暴露于含氟等离子体。清洁后,重复所述方法。
在本发明的实施方式的其他方面,提供一种用于处理衬底的远程等离子体处理装置,所述装置包括:反应室,其包括:内腔室表面、衬底支撑件,其用于支撑反应室中的衬底和排气口,其用于从反应室中移除材料;远程等离子体腔室,包括:等离子体产生器,其用于产生远程等离子体腔室内的等离子体、进口,其用于将气体传输到远程等离子体腔室、出口,其用于将远程等离子体腔室中产生的等离子体提供给反应室;和控制器,其具有指令,用于当反应室中没有衬底存在时,通过原子层沉积工艺在反应室的内腔室表面上形成低重组材料涂层,和执行远程等离子体操作后,将反应室暴露于氧化等离子体以修复内腔室表面并从而改造所述低重组材料涂层。
所述控制器进一步具有指令,用于形成低重组材料涂层后,提供反应室中的衬底,产生远程等离子体腔室中的等离子体并将等离子体提供到反应室以执行远程等离子体操作,其中所述远程等离子体操作导致在内腔室表面的至少一部分上形成第二涂层,所述第二涂层引起在远程等离子体操作过程中比低重组材料涂层更高的自由基重组率,和其中将反应室暴露于氧化等离子体修改了所述第二涂层以改造所述低重组材料涂层。
在不同的实施方式中,远程等离子体腔室的出口是将远程等离子体腔室从反应室分隔开的喷淋头。
用于将反应室暴露于氧化等离子体的指令可包括将反应室暴露于氧化等离子体持续约0.05-5秒之间的时间的指令。用于通过原子层沉积工艺在内腔室表面形成低重组材料涂层的指令在一些实施方式中可包括以下指令:(a)将第一反应物流入反应室并允许所述第一反应物吸附在反应室中的暴露的表面上;(b)将所述第一反应物从所述反应室清除;(c)将第二反应物流入所述反应室;(d)将所述反应室中暴露的表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。在不同的实施方式中,所述最终涂层厚度至少为约
Figure GDA0001821390240000041
由衬底支撑件上沉积的平均厚度测量得到。
具体而言,本发明的一些方面可以阐述如下:
1.一种调整用于执行远程等离子体处理的反应室的方法,所述方法包括:
当反应室内没有衬底时,通过原子层沉积工艺在该反应室内的暴露表面上形成低重组材料涂层;和
在一或多个衬底上执行远程等离子体操作之后,将该反应室暴露于氧化等离子体以修复该反应室内的暴露表面并从而改造该低重组材料涂层。
2.根据条款1所述的方法,进一步包括在形成该低重组材料涂层之后,执行远程等离子体操作以处理该反应室内的一或多个衬底,其中所述远程等离子体操作导致在该反应室内的暴露表面的至少一部分上形成第二涂层,所述第二涂层在远程等离子体操作过程中引起比该低重组材料涂层更高的自由基重组率。
3.根据条款2所述的方法,其中将该反应室暴露于氧化等离子体修改了所述第二涂层以改造所述低重组材料涂层。
4.根据条款1所述的方法,其中所述反应室被暴露于所述氧化等离子体持续约0.05-5秒之间的时间。
5.根据条款4所述的方法,其中持续时间约在0.1-1秒之间。
6.根据条款1-5中任一项所述的方法,其中用于在反应室内的暴露表面上形成低重组材料涂层的原子层沉积工艺包括:
(a)将第一反应物流入所述反应室并允许所述第一反应物吸附于所述反应室中的暴露表面上;
(b)将所述第一反应物从所述反应室清除;
(c)将第二反应物流入所述反应室;
(d)将所述反应室中的暴露表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和
(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。
7.根据条款6所述的方法,其中所述低重组材料涂层的最终涂层厚度至少为约
Figure GDA0001821390240000051
由所述反应室中的衬底支撑件上的低重组材料涂层的平均厚度测量得到。
8.根据条款6所述的方法,其中所述低重组材料涂层包括氧化硅。
9.根据条款3所述的方法,其中所述低重组材料涂层包括氧化硅,其中执行所述远程等离子体操作包括将含硅薄膜沉积在一或多个衬底上,并且其中所述第二涂层包括含硅材料。
10.根据条款6所述的方法,其中所述第一反应物和第二反应物中的至少一个从远程等离子体腔室流入所述反应室,所述远程等离子体腔室最接近所述处理腔室并通过喷淋头与所述处理腔室分开。
11.根据条款10所述的方法,其中所述第一和第二反应物都从所述远程等离子体腔室流入所述反应室,且其中所述氧化等离子体在所述远程等离子体腔室中产生并通过所述喷淋头流入所述处理腔室。
12.根据条款1-5中任一项所述的方法,其中所述氧化等离子体在该反应室内原位产生。
13.根据条款2所述的方法,其中执行远程等离子体操作包括:
提供该反应室内的一或多个衬底中的一个,
将含硅反应物流入所述反应室而不把所述含硅反应物暴露于原位等离子体,
在远程等离子体腔室中产生氢等离子体,所述远程等离子体腔室最接近所述反应室并且通过喷淋头与所述反应室分开,且当所述含硅反应物流入所述反应室时,允许所述氢等离子体穿过所述喷淋头进入所述反应室;
将衬底同时暴露于所述含硅反应物和所述氢等离子体以将含硅薄膜沉积在该衬底上。
14.根据条款3或9所述的方法,其中当所述反应室暴露于所述氧化等离子体时,所述反应室中有一或多个衬底。
15.根据条款14所述的方法,进一步包括:
当衬底在反应室中时,将所述反应室暴露于所述氧化等离子体之后,执行第二远程等离子体操作以进一步处理该反应室中的该衬底,所述第二远程等离子体操作再次导致第二涂层的形成;和
执行所述第二远程等离子体操作后,当该衬底在该反应室中时将该反应室暴露于第二氧化等离子体,以再次修复该反应室中的暴露表面,从而修改第二涂层以改造所述低重组材料涂层。
16.根据条款1-5中任一项所述的方法,进一步包括循环重复步骤(a)在一或多个衬底上执行远程等离子体操作和(b)将该反应室暴露于氧化等离子体以修复该反应室内的暴露表面,其中1-50之间的衬底在(b)步骤的每一次循环之间以(a)处理。
17.根据条款3或9所述的方法,进一步包括:
清洁该反应室以去除该反应室中的暴露表面上存在的任何低重组材料涂层和第二涂层,所述清洁包括将该反应室暴露于含氟等离子体;和
在清洁该反应室之后,重复条款1中的方法。
18.一种用于处理衬底的远程等离子体处理装置,所述装置包括:
反应室,其包括:
内腔室表面,
衬底支撑件,其用于支撑反应室中的衬底,和
排气口,其用于从反应室中移除材料;
远程等离子体腔室,包括:
等离子体产生器,其用于在远程等离子体腔室内产生等离子体,
进口,其用于将气体传输到远程等离子体腔室,
出口,其用于将远程等离子体腔室中产生的等离子体提供给反应室;和
控制器,其具有指令,用于:
当反应室中没有衬底存在时,通过原子层沉积工艺在反应室的内腔室表面上形成低重组材料涂层,和
执行远程等离子体操作后,将反应室暴露于氧化等离子体以修复内腔室表面并从而改造所述低重组材料涂层。
19.根据条款18所述的装置,其中所述控制器进一步具有指令,用于:
形成低重组材料涂层后,向反应室中提供衬底,
在远程等离子体腔室中产生等离子体并将等离子体提供到反应室以执行远程等离子体操作,
其中所述远程等离子体操作导致在内腔室表面的至少一部分上形成第二涂层,所述第二涂层在远程等离子体操作过程中引起比低重组材料涂层更高的自由基重组率,和
其中将反应室暴露于氧化等离子体修改了所述第二涂层以改造所述低重组材料涂层。
20.根据条款18所述的装置,其中所述远程等离子体腔室的出口是将远程等离子体腔室从反应室分隔开的喷淋头。
21.根据条款18-20中任一项所述的装置,其中所述用于将反应室暴露于氧化等离子体的指令包括将反应室暴露于氧化等离子体持续约0.05-5秒之间的时间的指令。
22.根据条款18-20中任一项所述的装置,其中用于通过原子层沉积工艺在内腔室表面形成低重组材料涂层的指令包括以下指令:
(a)将第一反应物流入反应室并允许所述第一反应物吸附在该反应室中的暴露表面上;
(b)将所述第一反应物从所述反应室清除;
(c)将第二反应物流入所述反应室;
(d)将所述反应室中的暴露表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和
(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。
23.根据条款22所述的装置,其中所述最终涂层厚度至少为约
Figure GDA0001821390240000081
由衬底支撑件上沉积的平均厚度测量得到。
下面将参考相关附图对这些以及其他特征进行描述。
附图说明
图1示出了用于使用远程等离子体来处理衬底的反应室的简化示图。
图2示出了图1中的反应室具有覆盖在内表面的低重组材料涂层。
图3是描述使用原子层沉积(ALD)型反应在内腔室表面形成低重组材料涂层的方法的流程图。
图4A和4B示出了在低重组材料涂层修复之前(图4A)或之后(图4B),已在反应室中处理的衬底的薄膜厚度分布。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可交替使用。本领域的普通技术人员将理解的是,术语“部分制造的集成电路”可以指在其上的集成电路制造的许多阶段中的任一阶段的硅晶片。在半导体器件工业中使用的晶片或衬底典型地直径为200毫米或300毫米,或450毫米。除非另有说明,此处描述的处理的细节(例如,流速、功率水平等)是与处理300毫米直径的衬底相关的,或者是与配置为处理300mm直径衬底的处理腔室相关,并可以扩展为适用于其他尺寸的衬底或腔室。本文所描述的腔室可以被用于处理可具有各种形状、尺寸和材料的工件。除了半导体晶片,可在根据某些实施方式制备的腔室中进行处理的其它工件包括各种物品,如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微型机械装置及类似物。
在下面的说明中,描述了许多具体的细节,以便对本发明有透彻理解。本发明可以在缺少一些或全部这些具体细节的情况下实施。在其它实例中,公知的处理操作未被详细描述,以避免不必要地模糊本发明。本发明将与具体实施方案相结合来描述,但可以理解,这并不意在限制本发明。
各种半导体制造工艺涉及远程等离子体(remote plasma)的使用。远程等离子体是在一个位置产生并被传输到进行处理的第二位置的等离子体,所述第二位置与第一位置是分开的。例如,可以在与反应室连接的等离子体源中产生远程等离子体,等离子体在处理期间被从等离子体源输送到反应室。远程等离子体在一些处理中令人想要的一个原因是:与直接在处理室中产生的等离子体相比,它们可以提供相对高浓度的自由基和相对低浓度的离子。因此,当需要通过自由基的方式进行处理时,使用远程等离子体是特别有用。
本文所公开的是调整用于远程等离子处理的反应室的状态的方法以及用于远程等离子处理的装置。基于自由基的工艺的示例包括沉积工艺、蚀刻工艺和处理工艺。在一个特定示例中,基于自由基的工艺是用于沉积含硅膜,如碳化硅、硝基碳化硅或碳氧化硅以及它们的组合(例如硅氧碳氮化物),的基于氢自由基的工艺。在一些实施方式中,所述沉积工艺是一个基于氢自由基的沉积工艺,下文将进一步描述。
各种远程等离子体处理中遇到的一个问题是自由基重组。如果自由基在到达衬底之前重组则这种自由基不可再用于衬底的处理。某些自由基比其他自由基遇到这个问题的程度较深。例如,氢自由基对大多数材料具有非常高的重组率。其结果是,当使用远程产生的氢自由基处理衬底时,这样的自由基经常在等离子体源和反应室之间的表面和/或在反应室的表面重组,这使这些自由基不能在气相中或在衬底上执行任何有用的处理。
紧接在腔室清洁之后的重组尤其有问题。在不同的实施方式中,半导体衬底在一批内被连续处理。一批包括一组在连续的腔室清洁操作之间的同一个反应室中处理的衬底。在一个示例中,反应室被清洗,然后100个衬底在反应室中被处理(如单独地,或者一次一个以上,如果该装置配备有多个站以在反应室中一次处理一个以上的衬底),然后反应室被再次清洗。100个衬底是单一批次。在本文的一些实施方式中,一批包括约100-3000个之间的衬底。批次的大小至少部分地取决于沉积在每个衬底上的薄膜的厚度。主要是通过随着在腔室表面上的积累的增加,增加沉积的薄膜的非均匀性,或者随着累积的增加,增加缺陷(粒子)来限制。最佳批次大小还受被沉积的薄膜、以及多少薄膜材料沉积在室壁上vs沉积在衬底上的影响。因为腔室在许多情况下比基座冷,在相对更低温度下沉积的薄膜会非常快速地增长在这些表面上,最终导致颗粒问题。相反,对于在较低的温度相对较低的速率下沉积的薄膜,人们可以在颗粒问题成为问题之前很容易地运行更多晶片。
在一些实施方式(留下裸露的室壁,例如,裸露的铝壁)中,腔室可以用基于氟的化学物质进行清洁。腔室被清洁后,幸存的到达衬底的自由基的数量是极低的,并且衬底不能够根据需要进行处理。
低自由基重组表面涂敷
本文公开的方法的实现涉及涂敷腔室的相关表面,其具有对相关自由基显示出低重组率的材料。例如,在某些实施方式中,在腔室中用于处理衬底的自由基具有涂敷在腔室表面上的材料上的大约5E-4或更小(例如1E-4或更小)的重组机率。例如,与氧化硅涂覆的表面相互作用的氢自由基显示出约4E-5的重组机率。
在氢自由基的情况下,引起低重组率的一种材料是氧化硅(SiO2)。氧化硅也可引起与其它自由基的低自由基重组率。这样,在本文的不同的实施方式中,相关表面被涂覆有氧化硅,以帮助维持在相关表面上的氢自由基(或其他自由基)的低重组率。相关表面可包括:例如,反应室内的表面、在反应室和远程等离子体源之间的表面,以及远程等离子体源内的表面。反应室内或靠近反应室的可被涂敷的表面包括:例如,衬底支撑件/基座、室壁/顶棚/底板、喷头、喷头孔、入口、出口等。在某些实施方式中的其它引起低自由基重组率的材料的示例如下所列。
图1呈现了可用于某些基于自由基的工艺(如基于氢自由基的沉积工艺)的装置的简化视图。根据某些实施方式,也可使用其他基于自由基的工艺和反应室。处理腔室102包括室壁103、室底板104和室顶棚105。衬底支撑件106在处理腔室102内,基板107位于所述衬底支撑件上。处理室102还包括入口108和排气出口109。远程等离子体源110设置在处理室102的上方。远程等离子体源110包括用于在远程等离子体源中产生等离子体的等离子体发生器(未示出)。等离子体发生器包括用于产生等离子体的硬件(例如线圈、电极等),所述等离子体可以是电感耦合等离子体、电容耦合等离子体、微波耦合等离子体等。远程等离子体源110通过喷头111与处理腔室102分开,喷头111具有多个喷头孔112。远程等离子体源110具有入口113,其提供用于产生远程等离子体的气体。
图2示出了图1中的装置已被用低重组材料涂层220涂敷之后。衬底107未在该图中示出,且为了说明,低重组材料涂层220的厚度被夸大了。此外,低重组材料涂层220可以在图2中不可见的区域,如喷头孔112的内表面上。在一些实施方式中,低重组材料涂层220仅覆盖处理室102的内部的表面。在其他实施方式中,低重组材料涂层220也覆盖远程等离子体室110的内部的表面和/或如上所述的喷头表面。
本文中的许多实施方案是在氧化硅低重组材料的范围内提出的。氧化硅是使氢自由基的重组最小化的一个非常有效的材料。但是,除氧化硅外的其它材料也可在某些实施中使用。选择的材料应该是引起低自由基重组率的具有特定自由基的材料。此外,选择的材料应该是能保形地,例如使用如本文所述的原子层沉积(ALD)方法,形成在反应室上方的材料。在不同情况下,低重组材料是氧化物。除了氧化硅以外的有用的低重组材料的示例包括,但不限于,氧化锆(zirconium oxide)、氧化铝(aluminum oxide)、氧化铪(hafniumoxide)、氧化钇(yttrium oxide)、氧化钇稳定氧化锆(yttria-stabilized zirconia(YSZ)),以及它们的组合。
低重组材料涂层的形成
低重组材料涂层可以这种方式形成:在所有期望在其上沉积的表面上产生低重组材料涂层的沉积。特别适合这个目的的一种方法是原子层沉积(ALD)。ALD方法是循环工艺,其在暴露的表面沉积非常均匀/保形的薄膜。
图3呈现了一种在反应室的表面上形成低重组材料涂层的方法的流程图。该方法使用在图1和2中所示的装置。方法开始于操作301,其中第一反应物流入处理腔室102。第一反应物可通过入口108流入处理腔室102,或通过入口113流到远程等离子体室110。通过入口113将反应物流到远程等离子体室110引起在远程等离子体室110内的表面上以及喷头孔112的内表面上的低重组材料220的形成。在某些情况下,其中第一反应物经由入口108流入处理腔室102中,而第一反应物被输送时,没有气体流过远程等离子体源110。无论第一反应物被输送到哪里,第一反应物以气体形式传送,没有等离子体存在。第一反应物吸附到处理腔室102的表面,例如室壁103、室底板104、室顶棚105和衬底支撑件106。其他第一反应物吸附的表面可以包括喷头111的表面和远程等离子体室110内的表面。第一前体的吸附和饱和可以通过关闭排气阀(未示出)和允许处理室内的压力随着第一反应物的传输略微上升而引起。
其中低重组材料220是氧化硅,第一反应物是典型的含硅反应物。在一些例子中,含硅反应物是硅烷、卤代硅烷或氨基硅烷。硅烷含有氢和/或碳基团,但不包含卤素。硅烷的示例是硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷,异戊基硅烷、叔-丁乙硅烷、二-叔-丁乙硅烷,和类似物。卤代硅烷含有至少一个卤素基团,并且可以含有或可以不含有氢和/或碳基团。卤代硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。虽然卤代硅烷,尤其是氟硅烷,在本文描述的某些实施方式中可以形成可蚀刻硅材料的反应性卤化物,当等离子体被冲击时含硅反应物是不存在的。具体的氯硅烷是四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、一氯硅烷(ClSiH3)、氯丙烯硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基丁基硅烷、叔二甲基氯硅烷、叔己基二甲基氯硅烷,和类似物。氨基硅烷包括至少一个键合到硅原子的氮原子,但还可以包含氢、氧、卤素和碳。氨基硅烷的示例是单-、二-、三-和四-氨基硅烷(分别H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及取代的单-、二-、三-和四-氨基硅烷,例如叔-丁基氨基硅烷、甲基氨基硅烷、叔-丁基硅烷胺,双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔-丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3及类似物。氨基硅烷的进一步的例子包括三甲硅烷基(N(SiH3)3)、二甲基氨基二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、二甲基氨基三甲基硅烷、三(二甲氨基)硅烷、和双(二乙基氨基)硅烷。这些反应物的组合也可以在某些情况下使用。
其中低重组材料是金属氧化物,第一反应物在一些情况下可以是金属烷基胺、金属醇盐、金属烷基酰胺、金属卤化物、金属β-内二酮酸盐、金属羰基、有机金属等。合适的含金属前体将包括期望被掺入薄膜中的金属。例如,如果低重组材料是氧化铝,第一反应物尤其可包括:三(2,2,6,6-四甲基-3,5-庚二酮)铝(Al(OCC(CH3)3CHCOC(CH3)3)3)、三异丁基铝([(CH3)2CHCH2]3Al)、三甲基铝((CH3)3Al),三(二甲基氨基)铝(Al(N(CH3)2)3),以及它们的组合。
如果低重组材料是氧化铪,第一反应物通常是含铪反应物。含铪反应物的示例尤其包括:双(叔丁基环戊二烯基)二甲基铪(C20H32Hf)、双(甲基-η5-环戊二烯基)二甲基铪(Hf[C5H4(CH3)]2(CH3)2)、双(甲基-η5-环戊二烯基)甲氧基甲基铪(HfCH3(OCH3)[C5H4(CH3)]2)、双(三甲基甲硅烷)酰氨氯化铪([[(CH3)3Si]2N]2HfCl2)、二甲基双(环戊二烯基)铪((C5H5)2Hf(CH3)2)、铪叔丁醇钾(Hf[OC(CH3)3]4),异丙氧化铪异丙醇(C12H28HfO4),四(二乙基)铪([(CH2CH3)2N]4Hf),四(二甲氨基)铪([(CH3)2N]4Hf),四(乙基甲基胺基)铪([(CH3)(C2H5)N]4Hf),以及它们的组合。
如果低重组材料为氧化锆,所述第一反应物通常是含锆的反应物。含锆反应物的示例包括,但不限于:双(环戊二烯基)二氢化锆(C10H12Zr),双(甲基-η5-环戊二烯基)甲氧基甲基锆(Zr(CH3C5H4)2CH3OCH3)、二甲基双(五甲基环戊二烯)合锆(C22H36Zr)、四(二乙基)合锆([(C2H5)2N]4Zr)、四(二甲基氨基)合锆([(CH3)2N]4Zr)、四(乙基甲基胺基)锆(Zr(NCH3C2H5)4)、二丁醇(双-2,4-戊二酮)锆(C18H32O6Zr)、2-乙基己酸锆(Zr(C8H15O2)4)、四(2,2,6,6-四甲基-3,5-庚二酮)锆(Zr(OCC(CH3)3CHCOC(CH3)3)4),以及它们的组合。其它金属氧化物也可以被形成,并且可以使用其他的反应物。
在一些实施方式中,第一反应物不是含有卤素的反应物。非含卤素反应物在一些实施方式中可以是有利的,以避免与某些腔室表面(例如,铝表面)不必要的相互作用。在一些这样的实施方式中,第一反应物可包括胺基。在这些或其它情况下,第一反应物可以包括烷氧基。
在传送第一反应物的过程中,反应室通常保持在一个相对高的压力。高压有助于确保第一反应物吸附到所有相关表面。化学物质的分压越高,发生饱和反应的时间越短。在一些实施方式中,反应室中的压力可为约0.1-20托之间,例如约0.5-4托之间。最理想的压力主要受前体可能流动的量、时间和腔室体积的影响。腔室表面可以保持在温度为约20-600℃之间,例如在某些情况下约50-450℃之间。在一些实施方式中,第一反应物可以以约1-1000标准毫升/分钟(sccm)之间或约1-25标准毫升/分钟(sccm)之间的速度流入反应室,持续约0.1秒-5分钟之间或者约10秒-3分钟之间的时间。第一反应物流动的最佳持续时间受前体的流速和分压以及腔室的大小影响。
接下来,在操作303中,处理室102被净化。净化可通过用净化气体(例如,惰性气体)清扫处理室102、使用真空排空处理室102,或者通过这些手段的组合来建立。净化的作用是从处理室102除去第一反应物的任何未吸附的分子。
净化处理室102之后,在操作305第二反应物流入处理腔室102。与第一反应物一样,第二反应物可通过入口108流入到处理室102或通过入口113流入远程等离子体室110。第二反应物通过入口113流入远程等离子体室110将帮助低重组材料形成在远程等离子体室110内的表面上,以及形成在喷头的表面111上。第一和第二反应物两者或其中任一可与载气(如惰性气体)一起流动。
通常,第二反应物是含氧反应物。含氧反应物的示例包括,但不限于:氧气(O2)、二氧化碳(CO2)、一氧化二氮(N2O)、水(H2O)、臭氧,以及它们的组合。在一个特定的示例中,第二反应物是氧气。在一些实施方式中,第二反应物以约100-20,000标准毫升/分钟(sccm)之间或约500-5000标准毫升/分钟(sccm)之间的速度流动,持续约0.25-60秒之间或约1-20秒之间的时间。第二反应物可以与惰性载气(例如氦气、氩气等)一起传输,这也可以有助于建立和维持等离子体并提高击穿效率。
在操作307中,使用表面反应提供能量以将前体转换为低重组材料涂层220。低重组材料220形成如图2中所示的各种表面上。在许多实施方式中,所述能量可以是热能或等离子体能。其中,热能被用来驱动该反应,腔室表面可以被提到升高的温度,以引起低重组材料的形成。此外,如果使用热能,用于形成低重组材料的反应物可以被选择是特别活性的(例如,臭氧、水、等等)。如果使用等离子体的能量,在一些情况下可使用相对较低活性的反应物。
等离子体可在处理室102或远程等离子体室110中产生。如果等离子体在远程等离子体腔室110内产生,自由基扩散出来进入处理室102,在衬底处理期间发生。等离子体可以是电感耦合等离子体、电容耦合等离子体、微波耦合等离子体等。在一些实施方式中,用于产生等离子体的RF功率可以在约每站200-4500W之间或约每站1500-3000W之间。最佳功率取决于用于产生等离子体的源。ICP源通常采用相对较高的功率,CCP源通常使用相对较低的功率。在一些实施例中用于产生等离子体的频率可以是HF频率。示例的HF频率范围在约1.8MHz和2.45GHz之间。可在一些特定的实施例中使用的频率包括13.56MHz、27MHz、40MHz、60MHz、100MHz和/或2.45GHz。等离子体可以存在的持续时间大约为0.25-60秒之间或约1-20秒之间。
在一些实施方式中,操作307(有时被称为转换操作)在第二反应物的流动停止后发生。处理腔室102可任选在操作305和307之间被净化,使用例如吹扫气体和/或通过抽空所述处理室102。在其它实施例中,操作305和307可以重叠。例如,在某些情况下,第二反应物还在流入处理腔室102时,转换操作307开始(即,操作305进行中,操作307开始)。在其他情况下,转换操作307和在操作305的第二反应物的输送基本上在相同的时间开始。在操作305的第二反应物的流动可以在转换操作307结束之前、转换操作307结束期间或在转换操作307结束时停止。
接下来,在操作309处理室102被净化以除去任何未吸附的物种。操作309有时被称为后等离子体净化。操作301-309一起代表ALD反应的单个循环。虽然在一些实施方式中可沉积比一个单层厚的子单层或层,每个循环可沉积约一个单层的材料,其可为约
Figure GDA0001821390240000161
的厚度。在每个ALD循环结束时,在操作311中确定沉积的低重组材料涂层220是否足够厚。如果材料足够厚,沉积过程就结束。否则,工艺从操作301重复并沉积额外的低重组材料。在操作311中的确定通常是根据每个周期沉积在已知/估计厚度、循环数量以及所需的最终厚度进行。
在一些实施方案中,低重组材料涂层220沉积的总厚度在约
Figure GDA0001821390240000165
Figure GDA0001821390240000164
之间,或约
Figure GDA0001821390240000162
之间。某些情况下可以使用更厚的涂层。在一个特定的实施例中,低重组材料220沉积至约
Figure GDA0001821390240000163
的厚度。这些厚度是基于将在衬底支撑件106(或衬底支撑件106上的衬底,如果有的话)上沉积的薄膜的平均厚度,如果在低重组材料沉积过程中衬底支撑件保持在约400℃的温度。虽然可以相信薄膜厚度在各腔室表面上是相对均匀的,薄膜在其他区域,至少低重组材料是氧化硅处,可具有不同的厚度。在这些或其它实施方式中,用于形成低重组材料涂层220的ALD循环的总数量可以在约50-1000之间,或约100-300之间。在一个特定示例中,使用约150次循环,导致在衬底支撑件106上约
Figure GDA0001821390240000171
的总厚度。
可使用形成氧化硅低重组材料涂层220的另一种方法。在一个示例中,氢(H2)等离子体可用于蚀刻处理室内的石英组件。石英中的SiO2被从石英组件中蚀刻并重新分布于处理室中的表面上。尽管可以使用蚀刻石英和重新分布SiO2,ALD工艺可能更适合于某些腔室。相比如图3中所述的用ALD沉积低重组材料,原位H2等离子体方法可能需要较长时间,并导致在腔室表面不太均匀的覆盖。此外,这种方法(H2等离子体)会导致石英部件的降解,这可能是不希望的,并且以这种方式形成的氧化硅不太能够在处理室发生处理之后成功地修复成低重组材料,如下面进一步描述。
低重组材料涂层220通常形成在处理腔室处理衬底之前。在不同的实施方式中,低重组材料涂层220在腔室清洁操作之后(例如,使用基于氟的化学物质或其它腔室清洁技术)和处理衬底之前形成。换言之,低重组材料涂层220可以形成在衬底的每个批次的开始。
低重组材料涂层220可以与在某些半导体处理设备(如用于在衬底上进行化学气相沉积(CVD)和/或原子层沉积(ALD)的某些反应器)上使用的底涂层相比较。在不同CVD和ALD反应器中,具有约3-4μm之间的厚度的底涂层被沉积在内腔室表面(通常是铝),很像图2中所示的低重组材料涂层220。然而,这两种涂层的厚度大不相同(底涂层比所公开的低重组材料涂层220厚得多),并用于不同的目的。在CVD和ALD反应器中,底涂层设置成覆盖腔室表面,以防止金属腔室表面污染衬底。在这种反应器中使用的原位等离子体可以是非常粗糙的,并且如果没有底涂层,会侵蚀金属腔室表面。底涂层也可以用来在处理一批衬底的过程中提供更均匀的腔室阻抗。相比之下,低重组材料涂层220比用于CVD和ALD反应器的底涂层薄得多,并且被提供只是用来使自由基重组的量最小化,以最大化可用于处理衬底的自由基的量。不希望受理论的束缚,与裸露的腔室表面有关的衬底的污染问题,如果使用远程等离子体,问题要小的多,因为远程等离子体不太粗糙并且不太可能侵蚀腔室表面从而污染衬底。在本文中的不同的实施方式中,处理腔室和其中的表面被涂覆有相对薄的低重组材料的层,并不使用相对厚的底涂层(如本文所述的)。
修复低重组材料涂层
虽然在处理过程中低重组材料涂层在最小化自由基重组的程度中非常有用,在衬底的全部批次的处理过程中会产生某些问题。例如,由于在一个批次内会处理附加的衬底,材料积聚在被涂覆有低重组材料的各种表面上。积聚的材料可以是被沉积在衬底上的材料(例如,碳化硅、硝基碳化硅,或在某些情况下的碳氧化硅)、处理的副产物等。这个材料可以在一定程度上覆盖低重组材料涂层,显著降低低重组材料涂层的有效性。在许多情况下,在一个批次处理期间,在腔室表面积聚的材料是这样的材料:引起比用于低重组材料涂层的材料(例如,SiO2或其他如本文所述的)更高的自由基重组速率。因此,随着批次处理的进行,自由基重组越来越成问题。
逐步恶化的自由基重组问题对给定的衬底上的薄膜的均匀性有很大的影响。更具体地说,中心到边缘的薄膜均匀性受到损害。随着材料在腔室表面沉积,由于靠近腔室表面(当材料沉积在衬底的周边部分时或之后,腔室表面有效地消除附近的自由基)以及最开始较少的自由基被传送到这一周边区域,衬底的周边部分比衬底的中心部分受到的影响更大。该薄膜的非均匀性在一个批次的处理过程中恶化至一个相对稳定的状态值。此外,逐步恶化的自由基重组问题会导致在衬底上发生沉积的速度的漂移(一个批次的处理过程中沉积速率逐渐放慢)。
本文公开的方法的某些实施方式通过周期性地修复低重组材料涂层来解决材料积聚的问题。修复改变了积聚的材料以将其更改为引起程度较低的自由基重组的材料。这可能涉及改变积聚材料以形成羟基化的表面。在一个特定示例中,积聚的材料是含硅材料(例如,碳化硅、硝基碳化硅,和/或碳氧化硅),并且修复工艺包括将含硅材料转变为氧化硅。
修复低重组材料涂层的一种方法是将材料暴露于含氧等离子体。含氧等离子体有效地从积聚的材料中烧掉/移除碳(和其它非硅/氧元素)并改造所需期望的氧化硅低重组材料。
在另一示例性实施方式中,处理腔室可以被用于形成含铝薄膜,低重组材料是氧化铝,不希望的在腔室表面上的积聚材料为含铝薄膜,以及修复工艺包括将腔室表面暴露于含氧等离子体,以将积聚材料转换回氧化铝。在另一个实施方式中,处理腔室被用于形成含铪膜,低重组材料是氧化铪,腔室表面的不需要的积聚材料是含铪薄膜,修复工艺包括将腔室表面暴露于含氧等离子体,以将积聚的材料转换回氧化铪。类似的使用其它金属的实施方式也被认为是在本发明的实施方式的范围之内。在其他实施方式中,低重组材料是氧化硅,并且修复工艺包括暴露于含氧等离子体以引起氧化硅的形成,而不管衬底上发生的处理或是积聚材料的组成。
可用于形成含氧等离子体的气体的示例包括氧气(O2)、二氧化碳(CO2)、一氧化二氮(N2O)、水(H2O)、臭氧、H2+O2,及其组合。用于产生含氧等离子体的气体还可以包括在一些实施方式中的惰性气体。含氧气体可以约100-20,000标准毫升/分钟之间,或约500-5000标准毫升/分钟之间的速度流动。等离子体可在处理腔室或远程等离子体腔室中产生。
在许多情况下,处理室在含氧等离子体中暴露相对短的时间,例如约5秒或更少,约3秒或更少,或约1秒或更少。在一些实施方式中,含氧等离子体用于修复低重组材料的暴露持续时间为约0.05-3秒之间,或约0.1-1秒之间。
由于等离子体暴露的持续时间如此之短,在某些实施方式中,当衬底还在反应室中时,反应室被暴露于等离子体。在一个示例中,衬底被装载到具有SiO2的低重组材料涂层的处理腔室,并且发生基于H-自由基的沉积处理以在衬底上沉积约
Figure GDA0001821390240000191
(例如,
Figure GDA0001821390240000192
)的含硅膜。然后沉积处理停止,衬底和腔室暴露于含氧等离子体,同时衬底仍然在该处理腔室中。虽然含氧等离子体可能对沉积在衬底上的薄膜有一定的影响,但这样的影响可能是小的,而且在许多情况下预计是可接受的。暴露于含氧等离子体后,附加的
Figure GDA0001821390240000193
(例如,
Figure GDA0001821390240000194
)的含硅薄膜沉积在衬底上。(a)沉积在衬底上和(b)暴露于含氧等离子体可进行循环,直到沉积的薄膜达到其最终厚度。如果衬底上沉积的薄膜特别厚(例如,最终厚度至少约
Figure GDA0001821390240000195
有时最终厚度在约
Figure GDA0001821390240000196
之间),本实施方式特别有用。在某些情况下,在连续的修复等离子体暴露之间沉积在单个衬底上的薄膜厚度为约
Figure GDA0001821390240000201
之间,或约
Figure GDA0001821390240000202
之间。在连续的修复处理之间的沉积的最佳薄膜厚度取决于由于薄膜的沉积薄膜的不均匀性降低的速度。
修复的频率在不同的实施方式中可以是不同的。在前面的例子中,每基底低重组材料涂层至少修复一次(并且在许多示例中至少两次)。在另一示例中,在连续的修复处理过程期间,在衬底上的期望的最终薄膜厚度为
Figure GDA0001821390240000203
Figure GDA0001821390240000204
修复过程将以每衬底约25次执行。总的薄膜厚度和修复处理过程之间的厚度将决定应该执行的修复的次数。
在类似的示例中,低重组材料涂层至少每衬底修复一次,但在反应室暴露于含氧等离子体期间将衬底从反应室中移除。在一个实施方式中,衬底被装载到处理腔室,沉积达到第一厚度,衬底被从所述处理腔室移除,处理腔室如本文所述的被修复,衬底被再次装载到处理腔室,然后沉积发生以将薄膜沉积在衬底达到第二厚度。可以重复这些步骤,直到衬底上的薄膜达到最终厚度。在另一实施方式中,低重组材料涂层在连续的衬底处理期间被修复(例如,在修复过程中衬底在处理腔室内或者不在)。在又一个实施方式中,每n个衬底发生一次修复,其中n介于约1-50之间,或约1-10之间。
理想的修复频率取决于各种因素,包括在衬底上发生的处理的类型、所使用的化学物质,在腔室表面积聚的材料的组成、沉积在每个衬底上的薄膜的厚度等。为了确定如何经常地修复低重组材料涂层,可以注意沉积在衬底上的薄膜厚度的均匀性(在实施方式中,衬底处理涉及在衬底上的淀积)。如上所述,材料积聚影响衬底的周边部分比影响衬底的中心部分更厉害。这样,当薄膜厚度均匀性(中心到边缘)达到一定阈值时,低重组材料涂层可以被修复。
在一批次衬底结束时,处理腔室(和可选的远程等离子体室)可被清洁。清洁处理在某些情况下可以使用含氟化学物质(例如,含氟等离子体,如基于NF3等离子体),虽然也可以使用其他方法。清洁导致所有相关表面的积聚材料被去除,包括低重组材料。腔室被清洁之后,可以提供一批新的衬底。新的一批被处理之前,可提供新鲜的低重组材料涂层,例如使用关于图3描述的方法。随着新一批衬底被处理,低重组材料涂层如所述的被周期性修复。通过提供和整修低重组材料,自由基重组的程度被最小化,存活到达衬底的自由基的量久而久之更均匀,且提高了所述批次衬底(和单一衬底)的均匀性。
在各种不同的实施方式中,低重组材料涂层通过ALD工艺形成。如上所述,用于形成低重组材料的一种其他的方法是使用氢等离子体来蚀刻反应室内的石英部件,石英中的二氧化硅被蚀刻并再沉积在各个腔室表面。令人惊讶地是,已经发现,当氧化硅低重组材料涂层在最初以这种方式形成时,含氧等离子体暴露不会成功地修复氧化硅材料。相反,该材料仍然是一个相对高的重组材料(至少相对于氢自由基),即使在暴露于含氧等离子体后。
含氧等离子体修复过程应该区别于通常用于清洁在等离子处理中使用的反应室的清洁过程。在不同情况下,等离子体反应器可以使用等离子体(例如,基于F的等离子体,或在某些情况下的基于O等离子体,这取决于待移除的材料的类型),以从腔室表面去除积聚的材料。在一个示例中,用于通过化学气相沉积来沉积薄膜的反应室被用基于氧的等离子体清洗。清洗除去无意地沉积在腔室表面(如,沉积在衬底的薄膜和/或这种沉积的副产物)以及有意地沉积在腔室表面(如本文别处所述的相对厚的底涂层)的材料。腔室被清洁后,腔室表面是裸露的(例如,在某些情况下是铝)。相比之下,基于氧的等离子体修复过程仅仅影响腔室表面的薄膜,以将暴露的薄膜转换为氧化硅(或其他低重组材料)。覆盖腔室表面的薄膜被修改,而非被去除。
衬底处理
本文的实施方式不限于在衬底上发生的任何特定类型的处理。在某些情况下,在衬底上发生的处理可以是沉积工艺。在其他情况下,在衬底上发生的处理可以是蚀刻工艺或例如处理工艺。在一般情况下,所公开的实施方式在任何希望通过自由基的方式处理的方式中是有用的。
本文所讨论的各种实施方式在半导体处理方法的范围内呈现,该方法包括使用远程氢等离子体在衬底上沉积含硅薄膜(例如,碳化硅、硅硝基碳化硅,或碳氧化硅)。上下文提供了特定实施方式的某些细节,但是应当理解,这些实施方式并不限于此类型的处理。在一些实施方式中,将含硅薄膜沉积在衬底的处理是一个氢基团的沉积过程。这样的方法在2015年2月6日提交的、发明名称为“碳化硅薄膜共形膜沉积”的美国专利申请No.14,616,435;2013年5月31日提交的、发明名称为“获得所需的组合物和薄膜性能的碳化硅类的薄膜的方法”的美国专利申请No.13,907,699中进一步讨论,它们全部通过引用的方式并入本申请中。
在图1和2中,处理可包括通过例如入口108传送一或多个前体至处理腔室102。前体不直接暴露于活性等离子体。相反,在远程等离子体源110中产生氢等离子体,并且远程H等离子体通过在喷头111中的孔112扩散并进入处理腔室102。(多个)前体可以是含硅的前体。含硅前体可具有一或多个硅-氢键和/或硅-硅键。含硅前体还可具有一或多个硅-氧键、硅-氮键,和/或硅-碳键。(多个)前体和氢等离子体同时流入/暴露于处理室102以形成该含硅薄膜。在这些沉积处理中,反应室中的压力可保持在约0.2-40托之间(在某些情况下,约0.2-8托之间,或约10-20托之间),并且衬底温度可保持在约50-500℃之间(在某些情况下约200-400℃之间)。
任何类型的等离子体源可用于远程等离子体源以创建自由基。这包括,但不限于:电容耦合等离子体、电感耦合等离子体、微波等离子体、直流等离子体和激光建立的等离子体。电容耦合等离子体的一个例子可以是射频(RF)等离子体。高频等离子体可以配置在13.56MHz或更高来操作。这样的远程等离子体源的一个例子可以是由加利福尼亚州圣何塞市的诺发系统公司制造的
Figure GDA0001821390240000221
这样的射频远程等离子体源的另一例子可以是由马萨诸塞州威明顿的MKS Instruments公司制造的
Figure GDA0001821390240000222
其可以在440KHz下操作,并且可以作为拴在更大的、用于处理一或多个平行衬底的装置中的子单元被提供。在一些实施方案中,微波等离子体可被用作远程等离子体源,如同样由MKS Instruments公司制造的
Figure GDA0001821390240000223
微波等离子体可被构造成在2.45GHz的频率下操作。这些等离子体源也可用于形成和/或修复如上所述的低重组材料。
装置
本文描述的方法可以通过任何合适的装置来执行。合适的装置包括用于完成处理操作的硬件和具有根据本发明控制处理操作的指令的系统控制器。例如,在一些实施方式中,硬件可以包括包含在处理工具中的一或多个处理站。一个示例性装置已在图1和2中提供。然而,实施方式并不限于此装置。所公开的技术预计在基于自由基处理发生的情况下是有用的。在许多(但不必是所有)情况下,将包括远程等离子体。在某些实施中,实施例可以在从加利福尼亚州菲蒙市的朗姆研究公司获得的Versa-S装备得到实现。
系统控制器
在一些实现方式中,控制器可以是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
在公开的实施例的上下文中,除了被设计或配置成根据衬底暴露于何种工艺来处理衬底,控制器可以被设计或配置成形成和修复低重组材料涂层。控制器可以具有指令以执行任何本文描述的处理/方法。
上述不同的硬件和方法实施例可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。在一些实施方式中,灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可在施加光致抗蚀剂之前沉积。
要理解,本文所述的配置和/或方法本质上是示例性的,且这些具体实施例或示例不应被认为是一种限制,因为可能会有许多变化。本文所描述的具体安排或方法可表示许多处理策略中的一或多个。就这一点而论,示出的各种表现可以示出的顺序执行、以其他顺序执行、同时执行,或者在一些情况下省略。同样,上述处理的顺序可以改变。
本发明的主题包括所有新颖的和非显而易见的各种处理、系统和配置的组合和子组合,和本文所公开的其他特征、功能、动作和/或性能,以及它们的任何和全部等效物。
实验
实验结果表明,所公开的低重组材料涂层可以用来最小化自由基重组的程度并最大化可用于在衬底上处理的自由基的量。实验结果还表明,所公开的修复处理可用于有效地将腔室表面积聚的材料转换成引起较低程度的自由基重组的材料。
图4A和4B示出了沉积在最初被涂覆有ALD沉积的SiO2的腔室中处理的衬底上的含硅薄膜的厚度分布,并在初步形成SiO2涂层后在腔室表面具有一定程度的材料积聚。图4A示出了在处理腔室被用氧等离子体修复之前沉积的含硅薄膜的厚度分布,而图4B示出了在处理腔室被用氧等离子体修复之后沉积的含硅薄膜的厚度分布。图4A中的分布示出了明显的中心到边缘的厚度的非均匀性。在这种情况下观察到的厚度范围为薄膜的最薄和最厚部分之间约
Figure GDA0001821390240000261
其表示平均薄膜厚度的约32%。1-sigma厚度非均匀性计算为约12.5%。通过比较,图4B的衬底显示出更均匀的厚度分布,其范围仅约
Figure GDA0001821390240000262
Figure GDA0001821390240000263
或约14%的平均薄膜厚度。在这种情况下,1-sigma厚度非均匀性只有约4.1%,这比图4A中的用于在腔室被修复之前处理的衬底的非均匀性低得多。
图4A中的分布显示围绕衬底的边缘的沉积薄膜是薄的。由于自由基被有效地排出这个区域——因为积聚在腔室表面的材料靠近衬底的边缘,这种边缘薄的分布是预计的。与此相反,图4B中的分布在半径的中间位置是最厚的,并且整体更加均匀。这些结果表明,修复处理是非常有效的。

Claims (22)

1.一种调整用于执行远程等离子体处理的反应室的方法,所述方法包括:
当反应室内没有衬底时,通过原子层沉积工艺在该反应室内的暴露表面上形成低重组材料涂层;
在形成该低重组材料涂层之后,执行远程等离子体操作以处理该反应室内的一或多个衬底,其中所述远程等离子体操作导致在该反应室内的暴露表面的至少一部分上形成第二涂层,所述第二涂层在远程等离子体操作过程中引起比该低重组材料涂层更高的自由基重组率;和
在一或多个衬底上执行所述远程等离子体操作之后,将该反应室暴露于氧化等离子体以通过修改所述第二涂层来修复该反应室内的暴露表面,从而改造该低重组材料涂层;
其中所述氧化等离子体由选自以下组的材料组成,所述组由氧气(O2)、二氧化碳(CO2)、一氧化二氮(N2O)、水(H2O)、臭氧(O3)、氢气(H2)和惰性气体所组成。
2.如权利要求1所述的方法,其中所述反应室被暴露于所述氧化等离子体持续0.05-5秒之间的时间。
3.如权利要求2所述的方法,其中持续时间在0.1-1秒之间。
4.如权利要求1-3中任一项所述的方法,其中用于在反应室内的暴露表面上形成低重组材料涂层的原子层沉积工艺包括:
(a)将第一反应物流入所述反应室并允许所述第一反应物吸附于所述反应室中的暴露表面上;
(b)将所述第一反应物从所述反应室清除;
(c)将第二反应物流入所述反应室;
(d)将所述反应室中的暴露表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和
(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。
5.如权利要求4所述的方法,其中所述低重组材料涂层的最终涂层厚度至少为
Figure FDA0002321344440000011
由所述反应室中的衬底支撑件上的低重组材料涂层的平均厚度测量得到。
6.如权利要求4所述的方法,其中所述低重组材料涂层包括氧化硅。
7.如权利要求1所述的方法,其中所述低重组材料涂层包括氧化硅,其中执行所述远程等离子体操作包括将含硅薄膜沉积在一或多个衬底上,并且其中所述第二涂层包括含硅材料。
8.如权利要求4所述的方法,其中所述第一反应物和第二反应物中的至少一个从远程等离子体腔室流入所述反应室,所述远程等离子体腔室最接近所述反应室并通过喷淋头与所述反应室分开。
9.如权利要求8所述的方法,其中所述第一和第二反应物都从所述远程等离子体腔室流入所述反应室,且其中所述氧化等离子体在所述远程等离子体腔室中产生并通过所述喷淋头流入所述反应室。
10.如权利要求1-3中任一项所述的方法,其中所述氧化等离子体在该反应室内原位产生。
11.如权利要求1所述的方法,其中执行远程等离子体操作包括:
提供该反应室内的一或多个衬底中的一个,
将含硅反应物流入所述反应室而不把所述含硅反应物暴露于原位等离子体,
在远程等离子体腔室中产生氢等离子体,所述远程等离子体腔室最接近所述反应室并且通过喷淋头与所述反应室分开,且当所述含硅反应物流入所述反应室时,允许所述氢等离子体穿过所述喷淋头进入所述反应室;
将衬底同时暴露于所述含硅反应物和所述氢等离子体以将含硅薄膜沉积在该衬底上。
12.如权利要求1或7所述的方法,其中当所述反应室暴露于所述氧化等离子体时,所述反应室中有一或多个衬底。
13.如权利要求12所述的方法,进一步包括:
当衬底在反应室中时,将所述反应室暴露于所述氧化等离子体之后,执行第二远程等离子体操作以进一步处理该反应室中的该衬底,所述第二远程等离子体操作再次导致第二涂层的形成;和
执行所述第二远程等离子体操作后,当该衬底在该反应室中时将该反应室暴露于第二氧化等离子体,以再次修复该反应室中的暴露表面,从而修改第二涂层以改造所述低重组材料涂层。
14.如权利要求1-3中任一项所述的方法,进一步包括循环重复步骤(a)在一或多个衬底上执行远程等离子体操作和(b)将该反应室暴露于氧化等离子体以修复该反应室内的暴露表面,其中所述一或多个衬底中的n个衬底在(b)步骤的每一次循环之间以(a)步骤处理,其中n介于1-50之间。
15.如权利要求1或7所述的方法,进一步包括:
清洁该反应室以去除该反应室中的暴露表面上存在的任何低重组材料涂层和第二涂层,所述清洁包括将该反应室暴露于含氟等离子体;和
在清洁该反应室之后,重复权利要求1中的方法。
16.如权利要求1所述的方法,其中将所述反应室暴露于氧化等离子体是通过在不移除所述第二涂层的情况下修改所述第二涂层来修复所述反应室内的所述暴露表面。
17.如权利要求1所述的方法,其中所述低重组材料涂层的厚度在
Figure FDA0002321344440000031
Figure FDA0002321344440000032
之间。
18.一种用于处理衬底的远程等离子体处理装置,所述装置包括:
反应室,其包括:
内腔室表面,
衬底支撑件,其用于支撑反应室中的衬底,和
排气口,其用于从反应室中移除材料;
远程等离子体腔室,包括:
等离子体产生器,其用于在远程等离子体腔室内产生等离子体,
进口,其用于将气体传输到远程等离子体腔室,
出口,其用于将远程等离子体腔室中产生的等离子体提供给反应室;和
控制器,其被配置为使得:
当反应室中没有衬底存在时,通过原子层沉积工艺在反应室的内腔室表面上形成低重组材料涂层,
在形成该低重组材料涂层之后,执行远程等离子体操作以处理该反应室内的一或多个衬底,其中所述远程等离子体操作导致在所述内腔室表面的至少一部分上形成第二涂层,所述第二涂层在远程等离子体操作过程中引起比该低重组材料涂层更高的自由基重组率;和
执行所述远程等离子体操作后,将反应室暴露于氧化等离子体以通过修改所述第二涂层来修复内腔室表面,从而改造所述低重组材料涂层;
其中所述氧化等离子体由选自以下组的材料组成,所述组由氧气(O2)、二氧化碳(CO2)、一氧化二氮(N2O)、水(H2O)、臭氧(O3)、氢气(H2)和惰性气体所组成。
19.如权利要求18所述的装置,其中所述远程等离子体腔室的出口是将远程等离子体腔室从反应室分隔开的喷淋头。
20.如权利要求18-19中任一项所述的装置,其中所述控制器被配置为使得所述反应室暴露于氧化等离子体持续0.05-5秒之间的时间。
21.如权利要求18-19中任一项所述的装置,其中所述控制器被配置为通过以下步骤使得通过原子层沉积工艺在所述反应室的所述内腔室表面上形成所述低重组材料涂层:
(a)将第一反应物流入反应室并允许所述第一反应物吸附在该反应室中的暴露表面上;
(b)将所述第一反应物从所述反应室清除;
(c)将第二反应物流入所述反应室;
(d)将所述反应室中的暴露表面暴露于等离子体,以推动所述第一反应物和第二反应物之间的表面反应,从而在所述反应室中的暴露表面上形成低重组材料涂层;和
(e)重复(a)-(d)直到所述低重组材料涂层达到最终涂层厚度。
22.如权利要求21所述的装置,其中所述最终涂层厚度至少为
Figure FDA0002321344440000041
由衬底支撑件上沉积的平均厚度测量得到。
CN201610181756.XA 2015-03-26 2016-03-28 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化 Active CN106024673B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562138810P 2015-03-26 2015-03-26
US62/138,810 2015-03-26
US14/712,167 US9828672B2 (en) 2015-03-26 2015-05-14 Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US14/712,167 2015-05-14

Publications (2)

Publication Number Publication Date
CN106024673A CN106024673A (zh) 2016-10-12
CN106024673B true CN106024673B (zh) 2020-06-09

Family

ID=56974974

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610181756.XA Active CN106024673B (zh) 2015-03-26 2016-03-28 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化

Country Status (6)

Country Link
US (4) US9828672B2 (zh)
JP (2) JP7067853B2 (zh)
KR (3) KR102700250B1 (zh)
CN (1) CN106024673B (zh)
SG (1) SG10201602333SA (zh)
TW (1) TWI702308B (zh)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DK3246416T3 (da) 2011-04-15 2024-09-02 Univ Johns Hopkins Sikkert sekventeringssystem
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN109457030B (zh) 2012-10-29 2022-02-18 约翰·霍普金斯大学 卵巢和子宫内膜癌的帕帕尼科拉乌测试
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11286531B2 (en) 2015-08-11 2022-03-29 The Johns Hopkins University Assaying ovarian cyst fluid
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) * 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
SG11202001010UA (en) 2017-08-07 2020-03-30 Univ Johns Hopkins Methods and materials for assessing and treating cancer
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR20200086750A (ko) * 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020006313A1 (en) 2018-06-29 2020-01-02 Lam Research Corporation Oxidative conversion in atomic layer deposition processes
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109712868A (zh) * 2018-12-20 2019-05-03 西安电子科技大学 基于氧化铝材料内嵌纳米晶结构的铁电薄膜制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP2022545720A (ja) * 2019-08-30 2022-10-28 ラム リサーチ コーポレーション 低圧において高密度、高弾性率、および高硬度のアモルファスカーボン膜
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200917363A (en) * 2007-10-08 2009-04-16 Novellus Systems Inc Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
JPS58151031A (ja) 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH07326581A (ja) 1994-05-31 1995-12-12 Sony Corp プラズマ装置およびこれを用いたプラズマcvd方法
JPH0822980A (ja) 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003224076A (ja) * 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
US20060124058A1 (en) 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
JP2008507847A (ja) 2004-07-23 2008-03-13 サンデュー・テクノロジーズ・エルエルシー 高エネルギー貯蔵密度及び低esrを有するコンデンサ
US8088296B2 (en) * 2004-09-01 2012-01-03 Shibaura Mechatronics Corporation Plasma processing device and plasma processing method
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
KR100931771B1 (ko) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP2009503905A (ja) 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
TW200718802A (en) * 2005-08-02 2007-05-16 Massachusetts Inst Technology Method of using NF3 for removing surface deposits
KR100745987B1 (ko) 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
GB2444439B (en) 2005-08-31 2012-04-25 Sumitomo Chemical Co Method for manufacture of a transistor and organic semiconductor device
EP2541177A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Fluid delivery system for an atmospheric furnace used for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
KR20080058568A (ko) 2006-12-22 2008-06-26 세메스 주식회사 리프트 핀 및 이를 갖는 기판 처리 장치
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP5011148B2 (ja) * 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
WO2011031321A2 (en) 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
JP5575299B2 (ja) 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5571770B2 (ja) 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR20130055582A (ko) * 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN102013568A (zh) 2010-12-01 2011-04-13 惠州Tcl移动通信有限公司 一种四频段的内置天线及其移动通信终端
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
EP2809455B1 (en) 2012-01-30 2018-04-04 Hemlock Semiconductor Operations LLC Method of repairing and/or protecting a surface in a reactor
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6071537B2 (ja) 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP2016520707A (ja) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
CN103219227A (zh) 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
KR20230032000A (ko) 2013-04-10 2023-03-07 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
WO2015116455A1 (en) 2014-01-31 2015-08-06 Applied Materials, Inc. Chamber coatings
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
KR20170117490A (ko) 2015-02-13 2017-10-23 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2016178777A1 (en) 2015-05-07 2016-11-10 Applied Materials, Inc. Corrosion control for chamber components
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20180202047A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078200A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US20190131113A1 (en) 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US10134569B1 (en) 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
SG11202103979UA (en) 2018-10-19 2021-05-28 Lam Res Corp In situ protective coating of chamber components for semiconductor processing
US20220277936A1 (en) 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200917363A (en) * 2007-10-08 2009-04-16 Novellus Systems Inc Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components

Also Published As

Publication number Publication date
US20160281230A1 (en) 2016-09-29
US20180044791A1 (en) 2018-02-15
US11920239B2 (en) 2024-03-05
KR102443854B1 (ko) 2022-09-15
KR20160115761A (ko) 2016-10-06
JP2022058614A (ja) 2022-04-12
JP7386270B2 (ja) 2023-11-24
JP2016216817A (ja) 2016-12-22
TWI702308B (zh) 2020-08-21
US20240218509A1 (en) 2024-07-04
CN106024673A (zh) 2016-10-12
KR20220024330A (ko) 2022-03-03
SG10201602333SA (en) 2016-10-28
TW201704529A (zh) 2017-02-01
KR102700250B1 (ko) 2024-08-28
KR20220130058A (ko) 2022-09-26
JP7067853B2 (ja) 2022-05-16
US9828672B2 (en) 2017-11-28
US20220145459A1 (en) 2022-05-12

Similar Documents

Publication Publication Date Title
CN106024673B (zh) 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化
KR102694640B1 (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
CN111247269B (zh) 介电膜的几何选择性沉积
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997372B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9685320B2 (en) Methods for depositing silicon oxide
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
CN117210798A (zh) 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法
CN111501013A (zh) 用于先进图案化的软着陆纳米层压层
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
TW202221768A (zh) 使用錫氧化物之先進自對準多重圖案化
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
TW202409322A (zh) 橫向間隙填充
KR20240135683A (ko) 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant