JP2016216817A5 - - Google Patents

Download PDF

Info

Publication number
JP2016216817A5
JP2016216817A5 JP2016054587A JP2016054587A JP2016216817A5 JP 2016216817 A5 JP2016216817 A5 JP 2016216817A5 JP 2016054587 A JP2016054587 A JP 2016054587A JP 2016054587 A JP2016054587 A JP 2016054587A JP 2016216817 A5 JP2016216817 A5 JP 2016216817A5
Authority
JP
Japan
Prior art keywords
reaction chamber
plasma
chamber
remote plasma
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016054587A
Other languages
English (en)
Other versions
JP7067853B2 (ja
JP2016216817A (ja
Filing date
Publication date
Priority claimed from US14/712,167 external-priority patent/US9828672B2/en
Application filed filed Critical
Publication of JP2016216817A publication Critical patent/JP2016216817A/ja
Publication of JP2016216817A5 publication Critical patent/JP2016216817A5/ja
Priority to JP2022004040A priority Critical patent/JP7386270B2/ja
Application granted granted Critical
Publication of JP7067853B2 publication Critical patent/JP7067853B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本開示の主題は、様々なプロセス、システム、および構成の全ての新規であり自明でない組合せおよび部分的組合せ、ならびに、本明細書で開示される他の特徴、機能、作用、および/または特性、ならびにそれらの全ての均等形態を含む。例えば、本開示は、以下の適用例として実施可能である。
[適用例1]遠隔プラズマ加工を行うために使用される反応チャンバを調整する方法であって、
前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、
1つまたは複数の基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整し、それにより前記低再結合材料コーティングを再形成するステップと
を含む方法。
[適用例2]前記低再結合材料コーティングを形成した後に、前記遠隔プラズマ操作を行って、前記反応チャンバ内で1枚または数枚の基板を加工するステップをさらに含み、前記遠隔プラズマ操作が、前記反応チャンバ内部の前記露出された表面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす適用例1に記載の方法。
[適用例3]前記反応チャンバを前記酸化プラズマに露出させるステップが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する適用例2に記載の方法。
[適用例4]前記反応チャンバが、約0.05〜5秒の期間にわたって前記酸化プラズマに露出される適用例1から適用例3のいずれか一項に記載の方法。
[適用例5]前記期間が約0.1〜1秒の間である適用例4に記載の方法。
[適用例6]前記反応チャンバ内部の露出された表面上に前記低再結合材料コーティングを形成するための前記原子層堆積プロセスが、
(a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるステップと、
(b)前記第1の反応物を前記反応チャンバからパージするステップと、
(c)第2の反応物を前記反応チャンバ内に流すステップと、
(d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するステップと、
(e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)〜(d)を繰り返すステップと
を含む適用例1から適用例5のいずれか一項に記載の方法。
[適用例7]前記低再結合材料コーティングの前記最終的なコーティング厚さが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、少なくとも約50Åである適用例6に記載の方法。
[適用例8]前記低再結合材料コーティングが酸化ケイ素を含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例9]前記低再結合材料コーティングが酸化ケイ素を含み、前記遠隔プラズマ操作を実施するステップが、前記1枚または数枚の基板上にケイ素含有被膜を堆積するステップを含み、前記第2のコーティングがケイ素含有材料を含む適用例2または適用例3に記載の方法。
[適用例10]前記第1と第2の反応物の少なくとも一方が、遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記遠隔プラズマチャンバが、前記加工チャンバの近位に位置され、シャワーヘッドによって前記加工チャンバから離隔される適用例6または適用例7に記載の方法。
[適用例11]前記第1と第2の反応物の両方が、前記遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記酸化プラズマが、前記遠隔プラズマチャンバ内で発生され、前記シャワーヘッドを通って前記加工チャンバ内に流れる適用例10に記載の方法。
[適用例12]前記酸化プラズマが、前記反応チャンバ内でインサイチュで発生される適用例1から適用例11のいずれか一項に記載の方法。
[適用例13]前記遠隔プラズマ操作を実施するステップが、
前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
を含む適用例2または適用例3に記載の方法。
[適用例14]前記反応チャンバが前記酸化プラズマに露出されるときに、1枚または数枚の基板が前記反応チャンバ内に存在する適用例2、適用例3、または適用例9のいずれか一項に記載の方法。
[適用例15]前記基板が前記反応チャンバ内にある状態で前記反応チャンバを前記酸化プラズマに露出させた後、第2の遠隔プラズマ操作を実施して、前記反応チャンバ内で前記基板をさらに加工するステップであって、前記第2の遠隔プラズマ操作が、再び前記第2のコーティングの形成をもたらすステップと、
前記第2の遠隔プラズマ操作を実施した後、前記基板が前記反応チャンバ内に存在する状態で前記反応チャンバを第2の酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再び再調整して、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成するステップと
をさらに含む適用例14に記載の方法。
[適用例16](a)1枚または数枚の基板に対して遠隔プラズマ操作を実施するステップと、(b)前記反応チャンバを前記酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整するステップとを循環して繰り返すことをさらに含み、ステップ(b)の各繰返しの合間に、ステップ(a)において約1〜50枚の基板が加工される適用例1から適用例15のいずれか一項に記載の方法。
[適用例17]前記反応チャンバ内部の前記露出された表面上に存在する低再結合材料コーティングおよび第2のコーティングを除去するために、前記反応チャンバを洗浄するステップであって、前記反応チャンバをフッ素含有プラズマに露出させるステップと、
前記反応チャンバを洗浄した後、適用例1に記載の方法を繰り返すステップと
をさらに含む適用例2、3、または9のいずれか一項に記載の方法。
[適用例18]基板を加工するための遠隔プラズマ加工装置であって、
反応チャンバを備え、前記反応チャンバが、
チャンバ内面と、
前記反応チャンバ内で前記基板を支持するための基板支持体と、
前記反応チャンバから材料を除去するための排出口と
を備え、
遠隔プラズマ加工装置がさらに、
遠隔プラズマチャンバを備え、前記遠隔プラズマチャンバが、
前記遠隔プラズマチャンバ内部でプラズマを発生するためのプラズマ発生器と、
前記遠隔プラズマチャンバにガスを送給するための入口と、
前記遠隔プラズマチャンバ内で発生されたプラズマを前記反応チャンバに提供するための出口と
を備え、
遠隔プラズマ加工装置がさらに、
制御装置を備え、前記制御装置が、
前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバのチャンバ内面上に低再結合材料コーティングを形成するための命令と、
前記基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記チャンバ内面を再調整し、それにより前記低再結合材料コーティングを再形成するための命令と
を有する遠隔プラズマ加工装置。
[適用例19]前記制御装置が、
前記低再結合材料コーティングを形成した後に、前記反応チャンバ内に前記基板を提供するための命令と、
前記遠隔プラズマチャンバ内でプラズマを発生し、前記プラズマを前記反応チャンバに提供して、遠隔プラズマ操作を実施するための命令と
をさらに有し、
前記遠隔プラズマ操作が、前記チャンバ内面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらし、
前記反応チャンバを前記酸化プラズマに露出させることが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する
適用例18に記載の装置。
[適用例20]前記遠隔プラズマチャンバの前記出口が、前記遠隔プラズマチャンバを前記反応チャンバから離隔するシャワーヘッドである適用例18または適用例19に記載の装置。
[適用例21]前記反応チャンバを酸化プラズマに露出させるための前記命令が、約0.05〜5秒の間の期間にわたって前記反応チャンバを前記酸化プラズマに露出させるための命令を含む適用例18から適用例20のいずれか一項に記載の装置。
[適用例22]原子層堆積プロセスによって前記反応チャンバのチャンバ内面上に前記低再結合材料コーティングを形成するための前記命令が、
(a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるための命令と、
(b)前記第1の反応物を前記反応チャンバからパージするための命令と、
(c)第2の反応物を前記反応チャンバ内に流すための命令と、
(d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するための命令と、
(e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)〜(d)を繰り返すための命令と
を含む適用例18から適用例21のいずれか一項に記載の装置。
[適用例23]前記最終的なコーティング厚さが、前記基板支持体上に堆積された平均厚さに基づいて測定されたときに、少なくとも約50Åである適用例22に記載の装置。

Claims (35)

  1. 遠隔プラズマ加工を行うために使用される反応チャンバを調整する方法であって、
    前記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバ内部の露出された表面上に低再結合材料コーティングを形成するステップと、
    1つまたは複数の基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整し、それにより前記低再結合材料コーティングを再形成するステップと
    を含む方法。
  2. 前記低再結合材料コーティングを形成した後に、前記遠隔プラズマ操作を行って、前記反応チャンバ内で1枚または数枚の基板を加工するステップをさらに含み、前記遠隔プラズマ操作が、前記反応チャンバ内部の前記露出された表面の少なくとも一部への第2のコーティングの形成をもたらし、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす請求項1に記載の方法。
  3. 前記反応チャンバを前記酸化プラズマに露出させるステップが、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成する請求項2に記載の方法。
  4. 前記反応チャンバが、約0.05〜5秒の期間にわたって前記酸化プラズマに露出される請求項1から請求項3のいずれか一項に記載の方法。
  5. 前記期間が約0.1〜1秒の間である請求項4に記載の方法。
  6. 前記反応チャンバ内部の露出された表面上に前記低再結合材料コーティングを形成するための前記原子層堆積プロセスが、
    (a)第1の反応物を前記反応チャンバ内に流し、前記第1の反応物を、前記反応チャンバ内部の露出された表面上に吸着させるステップと、
    (b)前記第1の反応物を前記反応チャンバからパージするステップと、
    (c)第2の反応物を前記反応チャンバ内に流すステップと、
    (d)前記反応チャンバ内部の前記露出された表面をプラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記露出された表面上に低再結合材料コーティングを形成するステップと、
    (e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)〜(d)を繰り返すステップと
    を含む請求項1から請求項5のいずれか一項に記載の方法。
  7. 前記低再結合材料コーティングの前記最終的なコーティング厚さが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、少なくとも約50Åである請求項6に記載の方法。
  8. 前記低再結合材料コーティングが酸化ケイ素を含む請求項1から請求項7のいずれか一項に記載の方法。
  9. 前記低再結合材料コーティングが酸化ケイ素を含み、前記遠隔プラズマ操作を実施するステップが、前記1枚または数枚の基板上にケイ素含有被膜を堆積するステップを含み、前記第2のコーティングがケイ素含有材料を含む請求項2または請求項3に記載の方法。
  10. 前記第1の反応物と前記第2の反応物の少なくとも一方が、遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記遠隔プラズマチャンバが、前記反応チャンバの近位に位置され、シャワーヘッドによって前記反応チャンバから離隔される請求項6または請求項7に記載の方法。
  11. 前記第1反応物と前記第2の反応物の両方が、前記遠隔プラズマチャンバから前記反応チャンバ内に流れ、前記酸化プラズマが、前記遠隔プラズマチャンバ内で発生され、前記シャワーヘッドを通って前記反応チャンバ内に流れる請求項10に記載の方法。
  12. 前記酸化プラズマが、前記反応チャンバ内でインサイチュで発生される請求項1から請求項11のいずれか一項に記載の方法。
  13. 前記遠隔プラズマ操作を実施するステップが、
    前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
    ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
    前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
    前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
    を含む請求項2または請求項3に記載の方法。
  14. 前記反応チャンバが前記酸化プラズマに露出されるときに、1枚または数枚の基板が前記反応チャンバ内に存在する請求項2、請求項3、または請求項9のいずれか一項に記載の方法。
  15. 前記基板が前記反応チャンバ内にある状態で前記反応チャンバを前記酸化プラズマに露出させた後、第2の遠隔プラズマ操作を実施して、前記反応チャンバ内で前記基板をさらに加工するステップであって、前記第2の遠隔プラズマ操作が、再び前記第2のコーティングの形成をもたらすステップと、
    前記第2の遠隔プラズマ操作を実施した後、前記基板が前記反応チャンバ内に存在する状態で前記反応チャンバを第2の酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再び再調整して、前記第2のコーティングを改質して、前記低再結合材料コーティングを再形成するステップと
    をさらに含む請求項14に記載の方法。
  16. (a)1枚または数枚の基板に対して遠隔プラズマ操作を実施するステップと、(b)前記反応チャンバを前記酸化プラズマに露出させて、前記反応チャンバ内部の前記露出された表面を再調整するステップとを循環して繰り返すことをさらに含み、ステップ(b)の各繰返しの合間に、ステップ(a)において約1〜50枚の基板が加工される請求項1から請求項15のいずれか一項に記載の方法。
  17. 前記反応チャンバ内部の前記露出された表面上に存在する低再結合材料コーティングおよび第2のコーティングを除去するために、前記反応チャンバを洗浄するステップであって、前記反応チャンバをフッ素含有プラズマに露出させるステップと、
    前記反応チャンバを洗浄した後、請求項1に記載の方法を繰り返すステップと
    をさらに含む
    請求項2、3、または9のいずれか一項に記載の方法。
  18. 1枚または数枚の基板に対して前記遠隔プラズマ操作を実施するステップであって、前記遠隔プラズマ操作が、
    前記1枚または数枚の基板のうちの1枚を前記反応チャンバ内に提供するステップと、
    ケイ素含有反応物をインサイチュプラズマに露出させずに、前記ケイ素含有反応物を前記反応チャンバ内に流すステップと、
    前記反応チャンバの近位に位置決めされ、シャワーヘッドによって前記反応チャンバから離隔された遠隔プラズマチャンバ内で水素プラズマを発生し、前記水素プラズマを、前記シャワーヘッドを通して前記反応チャンバ内に流し、その一方で、前記ケイ素含有反応物が前記反応チャンバ内に流されるステップと、
    前記基板を前記ケイ素含有反応物と前記水素プラズマとに同時に露出させて、前記基板上にケイ素含有被膜を堆積するステップと
    をさらに含む請求項1ないし17のいずれか一項に記載の方法。
  19. 前記低再結合材料コーティングが、前記反応チャンバ内部の基板支持体上での前記低再結合材料コーティングの平均厚さによって測定されたときに、約50〜500Åの間の厚さに形成される請求項1ないし18のいずれか一項に記載の方法。
  20. 前記低再結合材料コーティングが、酸化ケイ素を含み、
    前記方法が、1枚または数枚の基板を遠隔プラズマに露出させることによって前記1枚または数枚の基板上で前記遠隔プラズマ操作を実施し、それにより前記1枚または数枚の基板上に炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を堆積することをさらに含み、
    前記遠隔プラズマ操作を実施するステップが、前記反応チャンバ内部の前記露出された表面上に炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を形成し、
    前記反応チャンバを前記酸化プラズマに露出させるステップが、前記反応チャンバ内部の前記露出された表面上の前記炭化ケイ素、炭窒化ケイ素、または酸炭化ケイ素を酸化させることによって前記低再結合材料コーティングを再形成し、それにより前記反応チャンバ内部の前記露出された表面上の酸化ケイ素を再形成する請求項1ないし19のいずれか一項に記載の方法。
  21. 基板を加工するための遠隔プラズマ加工装置であって、
    反応チャンバと遠隔プラズマチャンバと制御装置とを備え、
    前記反応チャンバが、
    チャンバ内面と、
    前記反応チャンバ内部で前記基板を支持するための基板支持体と、
    前記反応チャンバから材料を除去するための排出口と
    を備え、
    記遠隔プラズマチャンバが、
    前記遠隔プラズマチャンバ内部でプラズマを発生するためのプラズマ発生器と、
    前記遠隔プラズマチャンバにガスを送給するための入口と、
    前記遠隔プラズマチャンバ内で発生されたプラズマを前記反応チャンバに提供するための出口と
    を備え、
    記制御装置が、
    記反応チャンバ内に基板が存在しない状態で、原子層堆積プロセスによって前記反応チャンバの前記チャンバ内面上に低再結合材料コーティングの形成をもたらすように構成され、
    前記基板に対して遠隔プラズマ操作を実施した後に、前記反応チャンバを酸化プラズマに露出させて、前記チャンバ内面を再調整し、それにより前記低再結合材料コーティングの再形成をもたらすように構成される
    遠隔プラズマ加工装置。
  22. 前記制御装置が、
    前記低再結合材料コーティングを形成した後に、前記反応チャンバ内に前記基板の提供をもたらすように構成され、
    記遠隔プラズマチャンバ内でプラズマを発生し、前記プラズマを前記反応チャンバに提供して、前記基板に対する前記遠隔プラズマ操作実施をもたらすように構成される、請求項21に記載の装置。
  23. 前記制御装置が、前記基板に対する前記遠隔プラズマ操作中に、前記チャンバ内面の少なくとも一部への第2のコーティングの形成をもたらすように構成され、前記第2のコーティングが、前記遠隔プラズマ操作中に、前記低再結合材料コーティングよりも高い割合のラジカル再結合をもたらす請求項22に記載の装置。
  24. 前記制御装置が、前記第2のコーティングを改質して、前記低再結合材料コーティングの再形成をもたらすように構成される請求項23に記載の装置。
  25. 前記遠隔プラズマチャンバの前記出口が、前記遠隔プラズマチャンバを前記反応チャンバから離隔するシャワーヘッドである請求項21ないし24のいずれか一項に記載の装置。
  26. 前記制御装置が、約0.05〜5秒の間の期間にわたって前記反応チャンバを前記酸化プラズマに露出させるように構成される請求項21ないし25のいずれか一項に記載の装置。
  27. 前記制御装置が、
    (a)第1の反応物を前記反応チャンバ内に提供し、前記第1の反応物を、前記反応チャンバ内部の前記チャンバ内面上に吸着させ、
    (b)前記第1の反応物を前記反応チャンバからパージさせ、
    (c)第2の反応物を前記反応チャンバ内に流入させ、
    (d)前記反応チャンバを堆積プラズマに露出させて、前記第1の反応物と前記第2の反応物との表面反応を推し進めて、前記反応チャンバ内部の前記チャンバ内面上に前記低再結合材料コーティングを形成させ、
    (e)前記低再結合材料コーティングが最終的なコーティング厚さに達するまで、ステップ(a)〜(d)を繰り返させることによって、
    前記低再結合材料コーティングの形成をもたらすように構成される請求項21ないし26のいずれか一項に記載の装置。
  28. 前記制御装置が、前記反応チャンバのフッ素含有プラズマへの露出をもたらすように構成される請求項21ないし27のいずれか一項に記載の装置。
  29. 前記制御装置が、酸化ケイ素、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム、酸化イットリウム、イットリア安定化ジルコニア、およびそれらの組合せからなる群より選択された材料を含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項21ないし28のいずれか一項に記載の装置。
  30. 前記制御装置が、酸化ケイ素を含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  31. 前記制御装置が、酸化ジルコニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  32. 前記制御装置が、酸化アルミニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  33. 前記制御装置が、酸化ハフニウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  34. 前記制御装置が、酸化イットリウムを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
  35. 前記制御装置が、イットリア安定化ジルコニアを含むような前記低再結合材料コーティングの形成をもたらすように構成される請求項29に記載の装置。
JP2016054587A 2015-03-26 2016-03-18 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化 Active JP7067853B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022004040A JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562138810P 2015-03-26 2015-03-26
US62/138,810 2015-03-26
US14/712,167 2015-05-14
US14/712,167 US9828672B2 (en) 2015-03-26 2015-05-14 Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022004040A Division JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Publications (3)

Publication Number Publication Date
JP2016216817A JP2016216817A (ja) 2016-12-22
JP2016216817A5 true JP2016216817A5 (ja) 2019-05-30
JP7067853B2 JP7067853B2 (ja) 2022-05-16

Family

ID=56974974

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016054587A Active JP7067853B2 (ja) 2015-03-26 2016-03-18 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
JP2022004040A Active JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022004040A Active JP7386270B2 (ja) 2015-03-26 2022-01-14 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化

Country Status (6)

Country Link
US (3) US9828672B2 (ja)
JP (2) JP7067853B2 (ja)
KR (3) KR20160115761A (ja)
CN (1) CN106024673B (ja)
SG (1) SG10201602333SA (ja)
TW (1) TWI702308B (ja)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP3907297A1 (en) 2011-04-15 2021-11-10 The Johns Hopkins University Safe sequencing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CA2889937C (en) 2012-10-29 2020-12-29 The Johns Hopkins University Papanicolaou test for ovarian and endometrial cancers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11286531B2 (en) 2015-08-11 2022-03-29 The Johns Hopkins University Assaying ovarian cyst fluid
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR20200115450A (ko) 2017-08-07 2020-10-07 더 존스 홉킨스 유니버시티 암을 평가하고 치료하기 위한 방법 및 재료
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111448640A (zh) * 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109712868A (zh) * 2018-12-20 2019-05-03 西安电子科技大学 基于氧化铝材料内嵌纳米晶结构的铁电薄膜制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220267900A1 (en) * 2019-06-26 2022-08-25 Lam Research Corporation Chamber-accumulation extension via in-situ passivation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
EP4022670A4 (en) * 2019-08-30 2023-08-30 Lam Research Corporation AMORPHOUS CARBON FILMS WITH HIGH DENSITY, MODULE AND HARDNESS AT LOW PRESSURE
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
JPS58151031A (ja) 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH07326581A (ja) 1994-05-31 1995-12-12 Sony Corp プラズマ装置およびこれを用いたプラズマcvd方法
JPH0822980A (ja) 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6626186B1 (en) 1998-04-20 2003-09-30 Tokyo Electron Limited Method for stabilizing the internal surface of a PECVD process chamber
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003224076A (ja) * 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
CN100389482C (zh) 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US8451582B2 (en) 2004-07-23 2013-05-28 Sundew Technologies, Llc Capacitors with high energy storage density and low ESR
KR100959981B1 (ko) * 2004-09-01 2010-05-27 시바우라 메카트로닉스 가부시끼가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
EP1784690A2 (en) * 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
KR100931771B1 (ko) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007027350A2 (en) 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
JP2009503270A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物を除去するためのnf3の使用方法
KR100745987B1 (ko) 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
KR20080047543A (ko) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 트랜지스터, 유기 반도체 소자, 및 이들의 제조 방법
EP2541177A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Fluid delivery system for an atmospheric furnace used for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
KR101057877B1 (ko) 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
KR20080058568A (ko) 2006-12-22 2008-06-26 세메스 주식회사 리프트 핀 및 이를 갖는 기판 처리 장치
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP5011148B2 (ja) * 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
SG188141A1 (en) 2008-02-08 2013-03-28 Lam Res Corp A protective coating for a plasma processing chamber part and a method of use
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
CN202855717U (zh) 2009-09-10 2013-04-03 朗姆研究公司 等离子体反应室的可替换上室部件
JP5575299B2 (ja) 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
KR101366000B1 (ko) 2010-03-08 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN102013568A (zh) 2010-12-01 2011-04-13 惠州Tcl移动通信有限公司 一种四频段的内置天线及其移动通信终端
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
CN107342216B (zh) 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
MY171572A (en) 2012-01-30 2019-10-21 Hemlock Semiconductor Operations Llc Method of repairing and/or protecting a surface in a reactor
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6071537B2 (ja) 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
WO2014137532A1 (en) 2013-03-08 2014-09-12 Applied Materials, Inc. Chamber component with protective coating suitable for protection against fluorine plasma
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
CN103219227A (zh) 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
KR20150139861A (ko) 2013-04-10 2015-12-14 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
KR20200103890A (ko) 2015-02-13 2020-09-02 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
CN107636374B (zh) 2015-05-07 2019-12-27 应用材料公司 一种波纹管和阀门组件
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078200A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US20190131113A1 (en) 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US10134569B1 (en) 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
CN113196451A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于半导体处理的室部件的原位保护性涂层
EP4010916A4 (en) 2019-08-09 2023-08-09 Applied Materials, Inc. MULTI-LAYER PROTECTIVE LAYER FOR THE PROCESSING OF CHAMBER COMPONENTS
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Similar Documents

Publication Publication Date Title
JP2016216817A5 (ja)
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
TWI835892B (zh) 用於預清除及蝕刻設備之高溫塗層及相關方法
US10043684B1 (en) Self-limiting atomic thermal etching systems and methods
TWI679713B (zh) 半導體基板處理設備之真空室的調節方法
KR102605757B1 (ko) 플라즈마 강화 원자 층 식각의 방법
TWI674328B (zh) 藉由原子層沉積疏水化含矽薄膜表面的方法
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
CN103119695A (zh) 共形膜的等离子体激活沉积
JP2015061075A (ja) プラズマアシストプロセスにより酸化膜を生成する方法
JP2015122486A5 (ja) アンダーコートを形成する方法および反応チャンバ
WO2016130238A1 (en) Selectively lateral growth of silicon oxide thin film
KR20180117057A (ko) 피처리체를 처리하는 방법
JP2022514171A (ja) 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
WO2004061154A1 (ja) 窒化タングステン膜の成膜方法
WO2006101130A1 (ja) 成膜装置及び成膜方法
JP2005248206A (ja) 成膜方法
JPWO2022054216A5 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102583567B1 (ko) 성막 방법 및 성막 장치
US20240218509A1 (en) Minimizing radical recombination using ald silicon oxide surface coating with intermittent restoration plasma
JP4933720B2 (ja) 成膜方法
JP2005064538A (ja) 基板処理装置及び半導体デバイスの製造方法
JP2021077866A5 (ja) 基板処理方法、基板処理装置、および基板処理システム