KR101194532B1 - 장치 패키지 및, 그 제조 방법 및 테스트 방법 - Google Patents

장치 패키지 및, 그 제조 방법 및 테스트 방법 Download PDF

Info

Publication number
KR101194532B1
KR101194532B1 KR1020110095176A KR20110095176A KR101194532B1 KR 101194532 B1 KR101194532 B1 KR 101194532B1 KR 1020110095176 A KR1020110095176 A KR 1020110095176A KR 20110095176 A KR20110095176 A KR 20110095176A KR 101194532 B1 KR101194532 B1 KR 101194532B1
Authority
KR
South Korea
Prior art keywords
lead
layer
optical
wafer
cavity
Prior art date
Application number
KR1020110095176A
Other languages
English (en)
Other versions
KR20110119594A (ko
Inventor
데이빗 더블류. 셰러
래리 제이. 라스네이크
존 제이. 피셔
Original Assignee
누보트로닉스, 엘.엘.씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 누보트로닉스, 엘.엘.씨 filed Critical 누보트로닉스, 엘.엘.씨
Publication of KR20110119594A publication Critical patent/KR20110119594A/ko
Application granted granted Critical
Publication of KR101194532B1 publication Critical patent/KR101194532B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4248Feed-through connections for the hermetical passage of fibres through a package wall
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/16Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/3628Mechanical coupling means for mounting fibres to supporting carriers
    • G02B6/3684Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier
    • G02B6/3692Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier with surface micromachining involving etching, e.g. wet or dry etching steps
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4206Optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/421Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical component consisting of a short length of fibre, e.g. fibre stub
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/423Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using guiding surfaces for the alignment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4239Adhesive bonding; Encapsulation with polymer material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/424Mounting of the optical light guide
    • G02B6/4243Mounting of the optical light guide into a groove
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4244Mounting of the optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4256Details of housings
    • G02B6/4257Details of housings having a supporting carrier or a mounting substrate or a mounting plate
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4266Thermal aspects, temperature control or temperature monitoring
    • G02B6/4268Cooling
    • G02B6/4271Cooling with thermo electric cooling
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4292Coupling light guides with opto-electronic elements the light guide being disconnectable from the opto-electronic element, e.g. mutually self aligning arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02253Out-coupling of light using lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/023Mount members, e.g. sub-mount members
    • H01S5/02325Mechanically integrated components on mount members or optical micro-benches
    • H01S5/02326Arrangements for relative positioning of laser diodes and optical components, e.g. grooves in the mount to fix optical fibres or lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0235Method for mounting laser chips
    • H01S5/02355Fixing laser chips on mounts
    • H01S5/0237Fixing laser chips on mounts by soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02407Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling
    • H01S5/02415Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling by using a thermo-electric cooler [TEC], e.g. Peltier element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02469Passive cooling, e.g. where heat is removed by the housing as a whole or by a heat pipe without any active cooling element like a TEC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/06Arrangements for controlling the laser output parameters, e.g. by operating on the active medium
    • H01S5/068Stabilisation of laser output parameters
    • H01S5/0683Stabilisation of laser output parameters by monitoring the optical output parameters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • H01S5/02216Butterfly-type, i.e. with electrode pins extending horizontally from the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • H01S5/0222Gas-filled housings

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Lasers (AREA)
  • Optical Couplings Of Light Guides (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

밀봉된 비아 구조들을 형성하는 방법이 제공된다. 하나의 방법은 (a) 제 1 표면과 상기 제 1 표면에 반대되는 제 2 표면을 가지는 반도체 기판을 제공하는 단계; (b) 상기 기판의 상기 제 1 표면 위에 층을 형성하는 단계; (c) 상기 제 2 표면으로부터 상기 기판을 통하여 상기 층까지 상기 제 1 표면에서 제 1 경계를 가지는 비아 홀을 에칭하는 단계; (d) 상기 층에 상기 제 1 경계 범위에서 제 2 경계를 가지는 구멍을 형성하는 단계; 및 (e) 상기 비아 구조를 밀봉하는 도전성 구조를 제공하는 단계를 포함한다. 또한, 밀봉된 비아 구조들을 , 밀봉된 소자 패키지에서 리키지를 검출하는 방법들, 밀봉된 소자 패키지들, 냉각 구조들을 가지는 소자 패키지들 및 제 1 구성요소를 제 2 구성요소에 결합시키는 방법들이 제공된다.

Description

장치 패키지 및, 그 제조 방법 및 테스트 방법{Device package and methods for the fabrication and testing thereof}
본 발명은 장치 패키지들에 관한 것으로, 더욱 상세하게는 밀봉된 마이크로-광학 장치 패키지들에 배타적이지 않은 장치 패키지들에 관한 것이다. 또한, 본 발명은 장치 패키지들을 전기적/광학적으로 테스팅할 때 리키지(leakage)를 검출하는 방법들 및 장치 패키지들을 전기적/광학적으로 테스팅하는 방법들과 같은 장치 패키지들을 테스팅하는 방법에 관한 것이다. 게다가, 본 발명은 밀봉된 비아 구조들(sealed via structures), 연결된 광전자 장치들 및 광전자 장치 패키지 리드들에 관한 것이다. 더욱이, 본 발명은 구성요소들을 함께 결합하는 방법들에 관한 것이다.
광전자 장치들은 데이터 통신에서 중요한 역할을 한다. 그러나, 그러한 장치들의 채용 및 이용을 향상시키기 위하여, 광전자 장치들을 효율적으로 제조하는 능력은 낮은 비용안에서 도달되는 것이 바람직하다. 특히, 광전자 통신 장치의 비용의 대부분이 패키징 비용(최대 75%)이므로, 광학 장치들을 패키징하는 공정의 비용을 줄이는 것이 중요하다. 패키징은 전형적으로 단위별로 실행된다. 대형 규모, 예를 들어 웨이퍼- 또는 그리드-레벨(wafer-or grid-level)에서 광전자 장치 패키지들을 제조하는 기술들은 비용견지에서 유리하다.
밀봉된 광전자 패키지들은 알려져 있다. 그러한 패키지들은 패키지 외부 대기에 존재하는 오염물질 및 수증기로부터 동봉된 장치들 및 구성요소들을 보호한다. 또한, 그러한 패키지들은 상기 패키지된 광전자 장치들을 광섬유들과 같은 다른 광학 요소들에 연결(couple)하는 능력을 제공한다. 이러한 패키지들은 레이저가 탑재된 캐비티 영역(cavity region)을 가지는 코바르로 만들어진 오픈-탑 케이스(open-top case made of Kovar)를 포함한다. 상기 케이스의 측벽에서 피드쓰로우(feedthrough)를 통하여 캐비티로 확장된 광섬유가 제공되고 커버는 상기 케이스에 부착되어 캐비티를 밀봉한다.
그러한 섬유 피드쓰로우를 이용하는 데에는 다양한 결점이 있다. 예를 들어, 금속화된 섬유가 밀봉을 형성하는데 이용하는 경우, 비용이 매우 높을 수 있다. 또한, 상기 피드쓰로우에서 상기 섬유를 효율적으로 밀봉하기 어려워 밀봉함에 있어 상기 패키지에 리키지 및 손실을 일으키기 쉽다. 그러므로, 섬유 피드쓰로우에 의존하지 않는 밀봉한 패키지가 바람직하다.
게다가, 장치 패키지에 있어서 리키지는 전형적으로 상기 포함된 구성요소들을 수증기 및 오염물질에 노출시켜 상기 구성요소들을 불량나게 한다. 상세하게는, 이 것은 광전자 및 광학 요소들에 대한 케이스이다. 그래서, 상기 밀봉된 장치 패키지의 밀봉성을 테스트하는 간단한 기술이 유용할 것이다.
그러므로, 종래 기술의 상태에 관하여 위에서 상술된 하나 이상의 문제들을 극복하거나 의식적으로 개선하는 기술이 요구된다.
상기한 바와 같은 목적을 달성하기 위하여, 본 발명의 제 1 실시예에 따른 광전자 장치 패키지가 제공된다. 상기 패키지들은 광전자 장치 장착 영역을 가지는 베이스 기판을 포함하고, 상기 광전자 장치 장착 영역은 상기 베이스 기판의 표면 및 리드 장착 영역(lid mounting region) 위에 있다. 광전자 장치는 상기 광전자 장치 장착 영역에 장착(mount)된다. 리드는 상기 리드 장착 영역에 장착되어 상기 베이스 기판과 상기 리드 사이에 밀폐된 체적(enclosed volume)을 형성한다. 상기 광전자 장치는 상기 밀폐된 체적 안에 있다. 상기 리드는 상기 광전자 장치에 또는 광전자 장치로부터 광학 경로(optical path)를 따라서 제공된 파장의 빛을 전송하는데 적합한 광학 전송 영역(optically transmissive region)을 가진다. 여기서, 상기 리드 장착 영역의 적어도 한 부분은 상기 베이스 기판의 표면 아래의 상기 광학 경로를 따라 상기 광학 경로 아래의 깊이로 배치된다.
본 발명의 다른 실시예에 따른 웨이퍼- 또는 그리드-레벨 광전자 장치 패키지 리드가 제공된다. 상기 리드는 실리콘 웨이퍼 또는 복수의 다이(die)를 가지는 그리드를 포함하고, 상기 각 다이는 복수의 측벽들 및 상기 측벽들을 연결하여 캐비티를 형성하는 루프을 가진다. 하나 이상의 측벽은 상기 측벽을 통하여 광학축을 따라서 제공된 파장의 빛을 전송하는데 적합한 광학 전송 영역을 가진다.
본 발명의 또 다른 실시예에 따른 웨이퍼- 또는 그리드-레벨 위에 광전자 장치를 형성하는 방법이 제공된다. 상기 방법은 실리콘 웨이퍼 또는 복수의 다이를 가지는 그리드를 제공하는 단계 및 상기 웨이퍼 또는 그리드를 에칭(etching)하여 각기 복수의 측벽들 및 캐비티를 형성하도록 상기 측벽들을 연결하는 루프을 가지는 복수의 리드 구조들을 제조하는 단계를 포함한다. 각 리드 구조의 하나 이상의 측벽은 상기 측벽을 통하여 광학축을 따라서 제공된 파장의 빛을 전송하는데 적합한 광학 전송 영역을 가진다.
본 발명의 또 다른 실시예에 따른 연결된 광전자 장치가 제공된다. 상기 장치는 내부 캐비티 및 광학 포트를 가지는 컨넥터, 및 상기 광학 포트와 광통신하는 상기 캐비티에 배치된 광전자 장치 패키지를 포함한다.
상기 광전자 장치 패키지는 광전자 장치 장착 영역 및 리드 장착 영역을 가지는 베이스 기판, 상기 광전자 장치 장착 영역 위에 장착된 광전자 장치, 및 상기 베이스 기판과 상기 리드 사이에 밀폐된 체적을 형성하도록 상기 리드 장착 영역에 장착된 리드를 포함한다. 상기 리드는 광전자 장치에 또는 광전자 장치로부터 광학축을 따라서 제공된 파장의 빛을 전송하는데 적합한 광학 전송 영역을 가진다.
본 발명의 또 다른 실시예에 따른 밀봉된 비아 구조(sealed via structure)를 형성하는 방법이 제공된다. 상기 방법은 (a) 제 1 표면 및 상기 제 1 표면에 반대되는 제 2 표면을 가지는 반도체 기판을 제공하는 단계, (b) 상기 기판의 상기 제 1 표면 위에 층(layer)을 형성하는 단계, (c) 상기 제 2 표면으로부터 상기 기판을 통하여 상기 층에 상기 제 1 표면에서 제 1 경계를 가지는 비아 홀(via hole)을 에칭하는 단계, (d) 상기 층에 상기 제 1 경계 범위에서 제 2 경계를 가지는 구멍을 형성하는 단계, 및 (e) 상기 비아 구조를 밀봉하는 도전성 구조(conductive structure)를 제공하는 단계를 포함한다.
본 발명의 또 다른 실시예에 따른 밀봉된 비아 구조를 형성하는 방법이 제공된다. 상기 방법은 (a) 제 1 기판과 상기 제 1 기판에 반대되는 제 2 기판을 가지는 반도체 기판을 제공하는 단계, (b) 상기 기판의 상기 제 1 표면 위에 절연층을 형성하는 단계, (c) 상기 제 2 표면으로부터 상기 기판을 통하여 상기 절연층에 비아 홀을 에칭하는 단계, (d) 상기 층에 구멍을 형성하는 단계, 및 (e) 상기 비아 구조를 밀봉하는 금속화 구조를 제공하는 단계를 포함한다.
본 발명의 또 다른 실시예에 따른 밀봉된 비아 구조가 제공된다. 상기 비아 구조는 제 1 기판 및 상기 제 1 기판에 반대되는 제 2 기판을 가지는 반도체 기판, 상기 기판의 상기 제 1 표면 위의 층, 상기 제 2 표면으로부터 상기 기판을 통하여 상기 층에 상기 제 1 표면에서 제 1 경계를 가지는 비아 홀, 상기 층에서 상기 제 1 경계 범위안의 제 2 경계를 가지는 구멍, 및 상기 비아 구조를 밀봉하는 금속화 구조를 포함한다.
본 발명의 또 다른 실시예에 따른 밀봉된 비아 구조가 제공된다. 상기 밀봉된 비아 구조는 제 1 표면과 상기 제 1 표면에 반대되는 제 2 표면을 가지는 반도체 기판, 상기 기판의 상기 제 1 표면 위에 있는 절연층, 상기 제 2 표면으로부터 상기 기판을 통하여 상기 층에 비아 홀, 및 상기 비아 구조를 밀봉하는 금속화 구조를 포함한다.
본 발명의 또 다른 실시예에 따른 밀봉된 장치 패키지에서 리키지를 검출하는 방법이 제공된다. 상기 방법은 (a) 장치를 포함하는 밀봉된 패키지를 제공하는 단계, 여기서 상기 패키지는 상기 패키지의 벽에 있는 측정할 수 있는 구부러짐(deflection)을 제공하는 상태에서 밀봉되고, 상기 구부러짐의 정도는 상기 패키지 내의 압력에 의존함, 및 (b) 상기 패키지를 밀봉한 후 상기 벽의 구부러짐을 측정하는 단계를 포함한다.
본 발명의 또 다른 실시예에 따른 밀봉된 장치 패키지가 제공된다. 상기 패키지는 기판, 상기 기판 위의 반도체 물질을 포함하는 리드, 상기 기판과 상기 리드 사이의 밀봉된 캐비티, 및 상기 밀봉된 캐비티에 있는 장치를 포함한다. 상기 밀봉된 캐비티는 상기 리드의 루프 또는 측벽이 측정 가능할 정도로 구부러지도록 하는 압력 하에 있으며, 구부러짐의 정도는 상기 밀봉된 캐비티 압력에 의존한다.
본 발명의 또 다른 실시예에 따른 장치 패키지가 제공된다. 상기 장치 패키지는 제 1 표면을 가지는 기판, 상기 기판의 상기 제 1 표면 위에 장착된 장치, 상기 기판안의 캐비티, 및 상기 장치에 의해 발생되는 열을 제거하며 상기 캐비티 내에 있는 냉각 구조(cooling structure)를 포함한다.
본 발명의 또 다른 실시예에 따른 장치 패키지가 제공된다. 상기 장치 패키지는 리드 장착 영역과 장치 장착 영역을 포함하는 기판, 상기 기판과 상기 리드 사이에 밀폐된 캐비티를 형성하도록 상기 리드 장착 영역 위에 있는 리드, 상기 밀폐된 캐비티 내에 있는 상기 장치 장착 영역 위의 장치, 및 상기 캐비티 내에 있는 냉각 구조를 포함한다.
본 발명의 또 다른 실시예에 따른 제 2 구성요소에 제 1 구성요소를 본딩(bonding)하는 방법이 제공된다. 상기 방법은 (a) 상기 제 1 및 2 구성요소들 사이에 복수의 층들을 제공하는 단계, 상기 복수의 층들은 제 1 구성층(first constituent layer), 상기 제 1 구성층과 다른 합성의 제 2 구성층, 및 확산 베리어층(diffusion barrier layer)을 가지고, 상기 확산 베리어층은 상기 제 1 및 2 구성층들 사이에 배치되고 상기 제 2 구성층은 제 1 구성요소 및 상기 확산 베리어층 사이에 배치됨, (b) 상기 제 1 구성요소와 상기 제 2 구성층 사이에 결합을 형성하도록 상기 제 1 구성요소에 압력을 제공하는 단계, 및 (c) 상기 본딩된 구조를 소정 온도로 소정 시간 동안 가열하여 상기 제 1 및 2 구성층들 사이에 원자들의 동반 확산(inter-diffusion)을 일으키는 단계를 포함한다. 상기 결과적인 구조는 상기 가열 온도보다 더 큰 용융점을 가진다.
본 발명에 따르면 해결하고자 하는 과제에서 언급한 종래 기술의 문제점들이 해결된다. 또한, 본 발명에 따르면, 많은 광 섬유, 렌즈 및 기타 광 요소가 기판 표면상의 위치에 본딩 체계(bonding hierarchy)상 많은 유연성을 갖고 정확하게 본딩되고 고정(locking)될 수 있다. 광 요소를 본딩하는데 사용되는 상대적으로 낮은 온도 때문에 Au-Sn 공율물질(eutectic)과 같은 표준 솔더가 높은 온도에 의해 발생하는 부작용없이 사용될 수 있다. 본딩된 광 요소들의 고온 안정성 때문에 이 표준 솔더는 레이저 다이와 같은 고가 장치를 기판 표면에 연속적으로 본딩시키기 위해 사용될 수 있다.
도 1a 내지 도 1c는 본 발명의 바람직한 일 실시예에 따른 다양한 제조 단계들에서 광학적 마이크로벤치(microbench)를 개략적으로 도시한 사시도.
도 2a 및 도 2b는 본 발명의 바람직한 일 실시예에 따른 라인 2a 및 2b를 따라 절단된 도 1a 및 도 1c의 마이크로벤치들을 개략적으로 도시한 측단면도.
도 3a 및 도 3c는 본 발명의 바람직한 일 실시예에 따른 도 1a 내지 도 1c에 도시된 것보다 리세스된 영역들의 다른 배치를 가지는 마이크로벤치들의 부가적인 배열들을 도시한 측단면도.
도 4a는 본 발명의 바람직한 일 실시예에 따른 복수의 광학 마이크로벤치들을 포함하는 베이스 웨이퍼를 개략적으로 도시한 평면도.
도 4b는 본 발명의 바람직한 일 실시예에 따른 복수의 리드들을 포함하는 웨이퍼를 개략적으로 도시한 평면도.
도 4c는 일련의 마이크로벤치들 중 하나를 따라 절단된 단면과 함께 도 4a의 베이스 웨이퍼 위로 밀봉된 도 4b의 리드 웨이퍼를 개략적으로 도시한 측단면도.
도 4d 내지 도 4f는 상기 리드들이 상기 리드 웨이퍼로부터 싱귤레이트된(singulated) 후 상기 조립된 리드 웨이퍼 및 도 4c의 베이스 웨이퍼를 각기 개략적으로 도시한 평면도 및 측단면도.
도 5b는 본 발명의 바람직한 일 실시예에 따른 도 5a에 도시된 구성 요소들로부터 조립된 마이크로 광학 장치 패키지를 개략적으로 도시한 사시도.
도 6a는 도 5a의 상기 마이크로 광학 장치 패키지의 광학축을 따라 절단된 측단면도를 개략적으로 도시한 도면.
도 6b는 도 6a에 도시된 것과 비슷한 마이크로 광학 장치 패키지의 광학축을 따라 절단된 측단면을 개략적으로 도시한 도면으로서, 레이저의 빛 발산 영역(light emitting region)을 섬유의 엔드페이스(endface) 위에 초점을 맞춘 싱글 렌즈들을 가지는 것을 도시함.
도 7a 내지 도 7c는 본 발명의 바람직한 일 실시예에 따른 다양한 냉각 구조들을 가지는 마이크로 광학 장치 패키지들을 개략적으로 도시한 측단면도.
도 8a 및 도 8b는 본 발명의 바람직한 일 실시예에 따른 마이크로 광학 장치 패키지들을 개략적으로 도시한 측단면도.
도 9a 및 도 9b는 본 발명의 바람직한 일 실시예에 따른 밀봉된 캐비티로부터 상기 패키지의 외부로 연장된 밀봉한 비아들(hermetic vias)을 가지는 마이크로 광학 장치 패키지들을 각기 개략적으로 도시한 측면도 및 평면도.
도 10a 및 도 10b는 본 발명의 바람직한 일 실시예에 따른 마이크로 광학 장치 패키지가 수용된(housed) 컨넥터를 각기 개략적으로 도시한 측단면도 및 사시도
도 11a 내지 도 11c는 본 발명의 바람직한 일 실시예에 따른 마이크로 광학 장치 패키지를 냉각시키는 히트 싱크들(heat sinks)을 가지는 마이크로 광학 장치 패키지를 수용하는 컨넥터들을 개략적으로 도시한 사시도.
도 12a 및 도 12b는 본 발명의 바람직한 일 실시예에 따른 전도성 리드 라인들(conductive lead lines)을 가지는 광학 마이크로벤치를 제조하는 과정을 도시한 순서도.
도 13a 내지 도 13c는 본 발명의 바람직한 일 실시예에 따른 도전성 밀봉 비아들(conductive hermetically sealed vias)을 가지는 광학 마이크로벤치를 제조하는 과정을 도시한 순서도.
도 14a 내지 도 14g는 본 발명의 바람직한 일 실시예에 따른 도전성 밀봉 비아들을 제조하는 과정을 개략적으로 도시한 도면.
도 15a 내지 도 15i는 본 발명의 바람직한 일 실시예에 따른 도전성 밀봉 비아를 제조하는 다른 과정을 개략적으로 도시한 도면.
도 16a 내지 도 16d는 본 발명의 바람직한 일 실시예에 따른 리드 웨이퍼 위에 캐비테이트된 리드들(cavitated lids)을 제조하는 과정을 개략적으로 도시한 평면도.
도 17a 및 도 17b는 본 발명의 바람직한 다른 실시예에 따른 리드 웨이퍼 위에 캐비테이트된 리드들을 제조하는 다른 과정을 각기 개략적으로 도시한 평면도.
도 18a 내지 도 18d는 유리질의 측벽 부분을 가지는 리드를 개략적으로 도시한 도면.
이하에서는 첨부된 도면을 참조하여 본 발명에 따른 장치 패키지 및 그를 제조하고 테스팅하는 방법들의 바람직한 실시예들을 자세히 설명하도록 한다.
도면들을 참조하면, 본 발명의 마이크로 광학 장치 패키지(micro-optical device package, 500)가 제공된다. 마이크로 광학 장치 패키지(500)는 리드(200)의 광학 패스쓰로우 오프닝(optical passthrough opening)을 위한 필요를 제거함에 의해 광학 마이크로벤치(100)로 리드(200)의 밀봉을 촉진하는 배열을 가진다. 리드(200)는 상기 리드 아래에 배치된 광전자 장치(12 및 18)에 의해 수신될 또는 광전자 장치(12 및 18)로부터 전송될 빛의 파장들에 대하여 충분하게 광학적으로 투명한 측벽(220)을 포함한다. 여기서, 빛은 가시 스펙트럼(visible spectrum)에 한정되지는 않으나, 가시 스펙트럼의 외부의 전자기 복사(electromagnetic radiation outside of the visible spectrum)를 포함한다.
측벽(220) 및 상기 광학 시스템의 나머지를 통한 빛의 전파가 방해받지 않도록 하기 위해, 리드(200)의 밀봉 표면(sealing surface, 226)은 광학 마이크로벤치(100)로 리쎄스되고(recessed), 그래서 상기 광학 시스템의 클리어 구멍(clear aperture)이 광학 마이크로벤치(100)의 어떤 표면들에 의해 잘라지지(clipped) 않는다. 이 견지에서, 리쎄스된 클리어런스 표면들(recessed clearance surfaces, 50, 52 및 54)이 제공될 수 있다. 상기 리쎄스된 클리어런스 표면들(50, 52 및 54) 및 상기 리쎄스된 리드 장착(recessed lid mounting)의 이용은 많은 마이크로 광학 시스템들에 유용하다. 이 관점에서, 광전자 장치(12)로서 기능할 수 있는 레이저 다이(laser die)와 같은 광 발생 소스들(light generating sources)은 일반적으로 마이크로벤치(100) 위에서 아래로 능동 스트립 측면(active stripe side)에 결합되고, 그래서 상기 능동 스트립은 마이크로벤치(100) 위의 구조들(features)에 대하여 정확하게 위치될 수 있다. 그러한 위치됨은 상기 광학축이 마이크로벤치(100)의 상부 표면(70)에 밀접하게 배치되도록 리드한다(lead). 리드(200)를 리쎄싱함 없이, 상기 광학 빔은 상기 리드 결합 라인(lid bond line)과 미아크로벤치(100)와 간섭할 수도 있다. 마이크로벤치(100; 또는 마이크로벤치 웨이퍼)로 상기 리드(또는 리드 웨이퍼)를 리쎄싱함에 의해, 빔의 전체 구멍은 빔의 흡수(occlusion)때문에 빛의 원치 않는 손실없이 리드 측벽(220) 및 마이크로벤치(100) 위의 상기 광학 시스템의 나머지를 통하여 통과할 수 있다. 예를 들어, 광 소스에 의해 발생된 빛의 절반까지는 리쎄스된 리드(200) 없이 손실될 수 있다. 그러나, 어떤 응용에서 리드(200)를 마이크로벤치(100)의 리쎄스되지 않은 부분에 장착될 수도 있다.
A. 마이크로벤치
1. 미세 가공된 마이크로벤치 구조들의 레이아웃( layout of micromachined microbench features )
도 1a 내지 도 1c, 도 2a 및 도 2b를 참조하면, 본 발명의 일 실시예에 따른 마이크로 광학 장치 패키지(500)의 베이스로서 이용하는 광학 마이크로벤치(100)가 도시되었다. 마이크로벤치(100)는 명백한 구성요소로서 도 1a 내지 도 1c에 도시된 동안에, 마이크로벤치(100)는 도 4a에 도시된 바와 같이 베이스 웨이퍼(110; 베이스 그리드)의 일부분이거나 완전한 베이스 웨이퍼(110) 위의 다수의 광학 마이크로벤치들(100)이 제공될 수 있다. 상기 마이크로벤치 기판 물질은 마이크로벤치(100)에서 제조되도록 특징들에 대하여 선택된다. 예를 들어, 마이크로벤치 물질은 열적 도전성 마이크로 주조 플라스틱들 및 마스터 미세 가공된 웨이퍼(master micromachined wafer)로부터 복제될 수 있는 슬립 캐스트 세라믹들(slip-cast ceramics)을 포함할 수 있다. 상세하게는, 마이크로벤치(100)의 하나의 적합한 물질은 마이크로벤치(100) 위의 구성 요소들의 정확한 방향(orientation)을 제공하도록 설정된 포토리소그래픽 기술들을 이용하여 이방성 공정에 의해 에칭될 수 있는 단결정 실리콘(single crystal silicon)이다. 제한없이 묘사 목적을 위해서, 이하에서는 비록 광학 마이크로벤치(100)의 상기 구조에 도달할 수 있는 다른 물질 및 공정들이 본 발명에 의해 생각되어질 수 있을 지라도 마이크로벤치(100)는 이방성으로 에칭될 수 있는 단결정 재료를 포함하는 것으로 상술된다.
도 1a 및 도 2a를 다시 참조하면, 하나 이상의 장착 구조들을 형성되는 상부 표면(70)을 포함하는 마이크로벤치(100)가 도시되었다. 광학 구성요소들을 유지하는 상기 장착 구조들의 일반적인 레이아웃은 그러한 광학 구성요소들의 상호작용의 광학적 모델을 포함한다. 예를 들어, 제 1 및 2 피트들(pits; 20 및 30), 모니터 홈(monitor groove, 11), 섬유 홈(fiber groove, 40), 및 전방 및 후방 리드 장착 채널들(front and rear lid mounting channels, 62 및 64)은 상부 표면(70)에 제공될 수 있다. 이하에서 충분하게 상술된 바와 같이, 이러한 구조들은 실리콘 기판(100)에서 이방성으로 에칭될 수 있다. 피트들(20 및 30), 홈들(11 및 40) 및 장착 채널들(62 및 64) 중에서 정확한 정렬을 제공하기 위하여, 그러한 구조들은 동일한 마스킹 및 에칭 공정동안 형성될 수 있다. 그 결과로 에칭된 구조들은 각기 결정면들(crystallographic planes)인 기울어진 측벽들을 포함한다. 상부 표면(70) 중 에칭되지 않은 부분은 장치 장착 영역(10)을 제공하고, 하나 이상의 광전자, 전자, 기계 또는 옵토메케니컬(optomechanical) 장치들이 장치 장착 영역(10) 위에 체결되고, 결합되며 전기적으로 상호 연결될 수도 있다.
예를 들어, 투명한 리드(200)가 광학 경로 및 임의적인 광학 절연체에 위치되는 경우, 두 렌즈들(22 및 32)이 이용될 수 있고, 상기 빔을 반-시준(semi-collimate)하는 제 1 렌즈(22)는 상기 빔을 상기 투명한 리드(200) 및 상기 임의적인 절연체를 통하여 통과시키고, 제 2 렌즈(32)는 상기 빛을 도 5a, 도 5b 및 도 6a에 도시된 바와 같이 광섬유(42)로 커플(couple)시킨다. 상기 장치가 상술되어 상기 광학 경로가 광전자 장치(12)로부터 멀어지는 방향에 있는 동안, 상기 광학 경로는 광전자 장치가 상기 빛을 수신하는 방향과 반대 방향에 있을 수 있다는 것은 명백하다. 광학 구성요소들의 간격(spacing) 및 그들의 기계적 정렬을 위해 이용되는 상기 상응하는 장착 구조들은 어떤 밀봉제들, 솔더 패드들(solder pads), 또는 궁극적으로 그들의 결합 위치들 또는 그들의 광학적 수행에 영향을 미칠 수 있는 다른 구조들을 고려해야 한다.
상기 장착 구조들은 전형적으로 상기 실리콘으로 에칭 깊이를 최소화하도록 가능한한 작게 만들어지고, 그래서 더 단단한 내성(tighter tolerance)이 유지되도록 한다. 예를 들어, 패브레이-페롯(Fabrey-Perot, FP) 또는 장착 영역(10) 위에서 아래도 능동 영역에 장착된 분배된 피드백(distributed feedback, DFB) 레이저 다이(12)를 위해, 상기 광학축은 수 마이크론 이상의 광학 마이크로벤치(100)의 상부 표면(70)일 수 있다. 이 경우, 상기 장착 요소 레이아웃은 도 6a 및 도 6b에 도시된 바와 같이 볼 렌즈들(22 및 32)의 중앙(즉, 등급 10을 가진 500 마이크론 직경 스피넬(500 microns diameter Spinel with Grade 10) 또는 더 단단한 내성들) 및 레이저 다이(12) 능동 영역의 높이에서 광섬유(42)의 중앙에 위치되도록 설계될 수 있다. 상기 시스템에서 다른 광학 표면들과 볼 렌즈들(22 및 32)은 반사방지막(antireflection coating)으로 코팅될 수 있다. 예를 들어, LPCVD를 통하여 증착된 실리콘 옥시나이트라이드 1/4 웨이브층(silicon oxynitride 1/4 wave layer)은 적절한 정각 코팅(conformal coating)을 제공할 수 있다. 예를 들어, 상기 반사방지막은 바람직한 코팅 두께 및 인덱스 일치에 도달되도록 흐르는 가스와 조절된 압력을 가지고 N2O, NH3 ALC SiCl2H2를 이용하여 만들어질 수 있다.
광전자 장치들이 열을 발생시키는 경향이 있기 때문에, 몇 가지 경우에 밀봉 캐비티로부터 열을 제거하는 냉각 구조를 제공하는 것이 바람직하다. 도 7a 내지 도 7c는 이용될 수 있는 냉각 구조들의 예를 도시하였다. 예를 들어, 도 7a는 레이저 다이(12) 위치의 아래의 마이크로벤치(100)에 있는 냉각 캐비티(cooling cavity, 74)를 도시하였다. 예를 들어, 상기 냉각 캐비티는 습식 또는 건식 식각(즉, RIE 에칭) 방법들을 이용하여 상기 베이스 웨이퍼를 에칭함에 의해 형성될 수 있고, 전형적으로 상기 베이스 웨이퍼 두께의 50 내지 90%의 깊이로 형성될 수 있다. 소형 열전 냉각기(miniature thermoelectric cooler, TEC 또는 Peltier effect cooler) 또는 TEC 냉각기에 연결될 수 있는 금속 스터드(metal stud)와 같은 냉각 구조(76)의 캐비티로의 공급은 상기 레이저 다이 영역을 위한 약간의 임의적인 냉각(또는 온도제어)을 효율적으로 생성할 수 있다. 이 것은 냉각을 위해 요구되는 전력을 줄이고 응축(condensation)을 제어할 수 있다. 도시된 바와 같이, 열싱크(heat sink, 77)는 열전달을 도울 수 있도록 상기 베이스 기판에 부착될 수 있다. 도 7b 및 도 7c는 냉각 배열들(cooling configuration)을 더 도시하였고, 상기 냉각 배열들에서 냉각 구조들은 베이스 웨이퍼(110)(도 7b)의 상부 또는 하부 표면(70, 72) 위에서 또는 마이크로가공 기술들(microfabrication techniques)을 이용한 리드(200)(도 7c)의 위 또는 안에서 직접적으로 밀봉 캐비티(230) 범위에서 산출될 수 있다. 냉각 구조(76)와 광전자 장치(12) 사이의 열적 접촉을 향상시키기 위하여, 열적 도전성 수지(thermally conductive grease)와 같은 열적 도전성 물질(thermally conductive material, 78)이 이용될 수 있다.
다시 도 1c를 참조하면, 2개의 길이 방향 리드 장착 채널들(66 및 68)은 광학 마이크로벤치(100)의 주변에서 제공되고, 광학 마이크로벤치(100)의 길이를 따라서 연장될 수 있다. 길이 방향 리드 장착 채널들(66 및 68)은 전방 및 후방 리드 장착 채널들(62 및 64)과 교차하고, 호(moat) 모양을 가지는 리드 장착 영역(60)을 제공한다. 그러므로, 리드 장착 영역(60)은 장치 장착 영역(10), 제 1 피트(20) 및 리드(200)가 안착될 수 있는 제 1 리쎄스된 클리어런스 표면(first recessed clearance surface, 50)을 둘러싸는 리쎄스된 주변(recessed perimeter)을 포함한다. 도시된 바와 같이, 상기 호는 사각형 모양을 가질 수 있다. 길이방향 채널들(66 및 68)의 깊이는 리드 장착 채널들(62 및 64)의 깊이와 동일할 수 있다. 리드(200)의 하부 표면 밀봉이 바람직하다면, 상기 호는 상기 마이크로 광학 시스템의 상기 광학 경로 아래의 깊이로 상부 표면(70) 아래의 광학 마이크로벤치(100) 안으로 리쎄스될 수 있다.
2. 마이크로벤치 도전성 통로( microbench conductive pathway )
광전자 장치들(12 및 18)에 전기적 연결을 제공하기 위해, 전기적 도전성 리드 라인들(electrical conductive lead lines, 14)의 형태에서 전기적 연결들은 도 1b에 도시된 바와 같이 마이크로벤치(100)의 상부 표면(70)의 선택된 영역들 위에 패턴될 수 있다. 전기적 도전성 리드 라인들은 도 5a 및 도 5b에 도시된 바와 같이 장치 장착 영역(10)과 장치 장착 영역(10)을 커버하고 밀폐하는 밀봉된 리드(200)의 외부에 있는 마이크로벤치(100)의 영역 사이에 전기적 통신을 허용하도록 지향될 수 있다. 예를 들어, 리드(200)의 일부분이 후방 리드 장착 채널(rear lid mounting channel, 64)에 안착되기 때문에, 전기적 도전성 리드 라인들(14)은 후방 리드 장착 채널(64)의 표면들(61 및 63)을 따라서 연장될 수 있다. 그러므로, 전기적 신호들은 후방 장착 채널(64)에 안착된 리드(200)의 모서리 아래의 전기적 도전성 리드 라인들(14)을 따라서 전송될 수 있다. 전기적 도전성 리드들(14)을 따라 선택된 위치들에서, 리드 패드들(lead pads, 16)은 장치 장착 영역(10)에 있는 전기적 도전성 리드 라인들(14)의 터미널 종단들(terminal ends)에서 제공될 수 있다.
또한, 장치 장착 영역(10)에 있는 장치들(12 및 18)과 밀봉된 리드(200)의 외부 사이에 전기적 연결을 제공하기 위해, 하나 이상의 밀봉된 도전성 비아들(90)이 예를 들어 도 9a 및 도 9b에 도시된 바와 같이 제공될 수 있다. 도전성 비아들(90)은 전형적으로 장치 장착 영역(10)의 상부 표면(70)으로부터 마이크로벤치(100)을 통하여 연장하여 마이크로벤치(100)의 하부 표면(72)과 전기적 통신을 제공한다. 상기 도전성 비아들은 전기적 도전성 리드 라인들(14)과 그러한 라인들에 대한 리드(200)의 밀봉이 필요치 않다는 점에서 유용하다. 이 것은 상기 리드 아래도 연장한 리드 라인들과 비교될 때 전송 속도들과 같은 전기적 전송 특성을 향상시키는 원인이다. 밀봉된 도전성 비아들(90)은 고속, 예를 들어 10 내지 60㎓에서 실시가능한 도전성 통로들을 제공할 수 있다. 또한, 도전성 비아들(90)의 이용은 Au-Sn 우텍틱(eutectic)(즉, 3 내지 8 마이크론 두께)과 같은 금속 솔더의 이용을 허락하여 솔더 유리 또는 도전성 라인들(14)에 대하여 밀봉할 때 전형적으로 이용되는 유전체 밀봉제층(dielectric encapsulant layer)과 같은 유전체 실(dielectric seal) 대신에 마이크로벤치(100)에 리드(200)를 밀봉한다. 도전성 비아들의 이용에 더 유용한 것으로, 리드 라인들을 위한 경사 측벽들(sloping sidewalls)은 사용될 필요가 없고, 사용된다면 케이스 리드 호들(case lid moats)이 절단(dicing)에 의해 간단하게 창출될 수 있다. 밀봉된 도전성 비아들(90)은 하기에서 상술되는 공정들에 의해 제공될 수 있다.
3. 마이크로벤치 광학 트레인( microbench optical train )
도 1a 내지 도 1c를 다시 참조하면, 부가적인 리쎄스된 특징들은 광학 마이크로벤치(100)에 제공되어 필요하다면 마이크로벤치(100) 위에 설치된 광학 시스템을 통하여 광학빔의 통로를 위한 클리어런스(clearance)를 제공한다. 리쎄스된 클리어런스 표면들(50, 52 및 54)은 그 영역들에서 마이크로벤치(100)를 때리는 빔없이 클리어런스 표면들(50, 52 및 54)에서 마이크로벤치(100)의 영역을 통하여 광학빔이 자유롭게 통과되도록 광학 경로에 따른 위치들에 제공된다. 예를 들어, 도 1c에 도시된 바와 같이, 제 1 리쎄스된 클리어런스 표면(50)은 제 1 피트(20)의 이웃에 제공되고, 제 2 리쎄스된 클리어런스 표면(52)은 제 2 피트(30)의 이웃에 제공되며, 제 3 리쎄스된 클리어런스 표면(54)은 제 2 피트(30)와 섬유 홈(40) 사이에 제공된다. 상기 리쎄스된 클리어런스 표면들은 상기 광학빔을 클리어하도록 요구되는 깊이에 의해 최소로 결정된 깊이, 및 에칭된 구조들 범위에서 상기 에칭된 구조들과 상기 결합된 광학 요소들 사이의 접촉점들의 깊이에 의해 최대로 결정된 깊이를 가진다.
도 4a 내지 도 4e, 도 5a 및 도 5b를 다시 참조하면, 광학적 마이크로벤치(100)에 광학적이고 밀봉된 구성요소들의 부가가 도시되었고, 최종 조립된 마이크로 광학 장치 패키지(500)가 도시되었다. 조립된 마이크로 광학 장치 패키지(500)은 광학 요소들 및 상기 장착 특징들, 예를 들어 제 1 및 2 피트들(20 및 30)에서 광학적 마이크로벤치(100) 위에 장착된 광전자 장치들을 포함한다. 여기서, "광전자 장치들"은 광학빔을 발산하고, 검출하며 또는 그렇지 않으면 변경하는 능동 장치들을 포함하며, 예를 들어 광학 소스들, 광학 검출기들 및 MEMS 장치들을 포함한다. 또한, "광학 요소들"은 렌즈들, 격자들(gratings), 프리즘들, 필터들 등과 같은 수동 광학 요소들뿐만 아니라 광전자 장치들을 포함한다.
도 5a를 참조하면, 본 발명의 일 실시예에 따른 마이크로 광학 장치 패키지(500)의 분해도가 도시되었다. 마이크로 광학 장치 패키지(500)는 광학 마이크로벤치(100) 및 리드(200)를 포함한다. 반도체 레이저 다이와 같은 광전자 장치(12)는 솔더 패드들(16)과 전기적 통신하는 장치 장착 영역(10) 위에 제공된다. 또한, 임의적 후면 모니터링 포토다이오드(optional back facet monitoring photodiode, 18)는 레이저 다이(12)를 모니터하도록 상기 장치 장착 영역 위에 제공된다. 레이저 다이(12)와 비슷하게, 모니터링 포토다이오드(18)은 각 도전성 리드 라인들(14)과 전기적 통신을 허용하도록 각 솔더 패드들과 전기적 접촉해서 장착된다. 부가적인 솔더 패드들은 기계적 결합을 제공하는 상기 표면 위에 배치될 수 있고, 전기적 연결을 위해 이용되는 것들과 동일한 또는 다른 구성들(compositions)일 수 있다. 다양한 구성들은 공용 결합 하이어러키(compatible bonding hierarchy)를 만들도록 이용될 수 있다. 이러한 구성들은 결합되는 부분들과 상기 마이크벤치 표면 사이에서 잘라질 수 있고, 그래서 상기 솔더 합금들은 두 부분들이 서로 접촉될 때까지 형성되지 않거나 완벽하게 형성되지 않는다. 이 것은 상기 결합 하이어러키 면에서 좀 더 자유로움을 줄 수 있고 주어진 우텍틱(eutectic) 주위에서 구성적 변화를 위한 몇 용융 온도와 결합 온도들을 산출할 수 있다.
마이크로벤치 위에 레이저 다이(12)와 같은 능동 장치들의 수동적 시각적 배열을 허용하기 위해, 본 발명의 능동 장치들은 적절한 기점들(suitable fiducials)을 조직할 수 있다. 상기 기점들은 리소그래픽 오프셋(lithographic offset)을 피하도록 레이저 다이(12)의 능동 영역으로 동시에 정의되어 순차적으로 결합 에러를 최소화한다. 포스트 결합 검사(post bond inspection)에서 돕기 위해, 상기 다이 기점들에 직접적으로 반대되는 다이(12)의 측면은 비금속으로 제공될 수 있다. 이 것은 마이크로벤치(100)와 상기 레이저 물질을 통하여 보여질 때 상기 레이저 다이 기점들에 대하여 상기 실리콘 벤치 기점들(silicon bench fiducials)의 정렬을 보여줌에 의해 상기 정렬의 IR 수동 검사를 허락한다. 상기 포스트 결합 정확성을 결정하도록 "가중치된 평균(weighted average)"에 기초한 쿼드런트당 강도를 전형적으로 이용하는 상기 수동 검사 방법들은 그들의 본딩 정확성을 향상시키도록 몇 정밀 본더들(precision bonders) 상에 이용될 수 있다. 또한, 그들은 레이저 다이(12)가 파워(power)되기 전에 성취되도록 연결 효율(coupling efficiency)의 지시를 제공할 수 있다.
DFB 스타일 다이 또는 예를 들어 약 1nm의 그래팅 일딩 스펙트럴 선폭(grating yielding spectral linewidth)을 가지는 FP 다이가 임의적으로 산출될 수 있다. 이 값은 전형적인 DFB 레이저들상에 사용되는 것보다 중요하게 더 넓다. 여기서, 설계는 FP에서 이용되는 것보다 더 좁은 선폭을 가지고 나타나는 몇 가지(즉, 2 내지 6) 모드들을 허용한다. 이 방법은 상기 FP 다이의 리치(reach)를 연장할 수 있고, 절연체들 없이 이용될 수 있는 DFB 스타일 다이를 허용할 수 있다. 이 것은 이득 커브의 dn/dt를 간단하게 하는 것보다 오히려 그래팅(grating)의 dn/dt에 의존하도록 만듦에 의해 상기 중앙 파장의 열적 흐름(thermal drift)을 줄이도록 함에 의해서뿐만 아니라, 등가의 FP 레이저에 일반적으로 나타나는 것보다 더 작은 스펙트럴 폭을 산출함에 의해 성취될 수 있다. 마지막으로, 싱글 모드에 대한 "몇가지 모드" 다이를 이용하는 이점들은 몇가지 연장된 리치(즉, 1 내지 20kM 응용들)를 위한 상기 시스템으로부터 절연체의 이동성(removability)을 포함한다. 이 견지에서, 후방 반사는 싱글 스펙트럴 모드 레이저(single spectral mode laser, DFB)에 있는 것과 같은 정도(degree)로 상기 모든 모드들을 차단하지는 않을 것이다. 이 것은 덜 비싼 FP 스타일 다이가 상기 DFB 및 절연체에 대항하여 생산 및 비용 견지로부터 바람직한 쿨되지 않은 동작(uncooled operation)에서 더 긴 링크 길이들(link length)을 위해 이용될 수 있도록 한다.
상기 임의적 후면 모니터링 포토다이오드(18)가 이용된다면, 반도체 레이저 다이(12)와 모니터링 포토다이오드(18) 사이에 배치된 임의적 클리어런스 홈(optional clearance groove, 11)을 제공하는 것이 바람직하다. 클리어런스 홈(11)은 상향 방향으로 반사되기 전 및 포토다이오드(18)에 도달하기 전에 클리어런스 홈(11)의 하향 방향으로 전파하도록 레이저 다이(12)에 의해 발산된 빛을 위한 클리어런스를 제공한다. 클리어런스 홈(11)은 레이저 다이(12)로부터 발산된 빛을 수신하고 상기 수신된 빛을 임의적 마이크로벤치(100)로부터/포토다이오드(18)에 상향방향으로 멀리 반사하는 경사진 엔드페이스(inclined endface, 13)를 포함할 수 있다. 모니터 포토다이오드(18)의 위치는 상기 편향된 광학빔을 수신하기 위하여 선택된다. 모니터 포토다이오드(18)의 위치는 거기에 빛의 전체 규모가 모니터 포토다이오드(18)의 동적 범위(dynamic range)에 있는 것 이상의 빛이 있다면 상기 레이저 후면과 최대 커플링의 견지에서 조정될 수 있다. 예를 들어, 엔드페이스(13)는 금속화되거나 또는 그렇지 않다면 그의 반사도를 향상시키도록 코팅될 수 있다. 임의적 클리어런스 홈(11)은 제 1 및 2 피트들(20 및 30)을 제공하는 이방성 에칭 단계 동안 형성될 수 있다. 이 경우, 각도가 있는 엔드페이스(13)는 이방적으로 에칭된 단결정 실리콘(100)에 평면(111)을 포함할 수 있다.
레이저 다이(12)는 전형적으로 마이크로벤치(100)에 직각이고 상기 광학축을 포함하는 평면내에 놓이는 상부 및 하부 한계 광선(upper and lower marginal rays)을 가지는 빛(80) 중 원뿔 모양 빔을 일반적으로 발산한다. 제 1 볼 렌즈(22)와 같은 광학 요소는 도 6a에 도시된 바와 같이 레이저 다이(12)에 의해 발산되는 원뿔형 빛(80)을 수신하는 제 1 피트(20)에 안착될 수 있다. 임의적으로, 제 1 피트(20)는 제 1 렌즈(22)가 그 안에 안착되도록 요구되는 것보다 더 클 수도 있다. 예를 들어, 제 1 피트(20)는 상기 광학축 방향을 따라서 더 길 수도 있고, 그래서 제 1 렌즈(22)는 피트(20)를 위한 4개의 측벽들 중 단지 3개를 접촉함에 의해 제 1 피트(20)에 안착한다. 비슷한 방법으로, 제 2 피트(30)는 제 2 렌즈(32)보다 더 클 수도 있다.
제 1 볼 렌즈(22)는 적절한 접착제, 또는 솔더글래스(solderglass) 또는 상기 렌즈를 적시는 솔더(solder)와 같은 결합제를 이용하여 피트(20)에 부착될 수 있다. 그러한 솔더들은 쉐도우 마스크를 통하여 증발 또는 스퍼터링(sputtering)함에 의해 피트(20) 안으로 직접 배치되거나, 솔더 볼들을 이용함에 의해 배치될 수도 있다. 레이저 다이(12)로부터 발산되는 하부 한계 광선이 희미하게 되는 것을 방지하기 위하여, 레이저 다이(12)의 광 발산 모서리(light emitting edge)는 바람직하게는 피트(20)에 이웃하게 위치될 수 있고, 그 결과 상기 하부 한계 광선은 광학 마이크로벤치(100)의 어떤 부분도 때리지 않고 제 1 렌즈(22)를 인터셉트(intercept)하도록 피트(20)의 아래 방향으로 전파한다. 대안적으로, 레이저 다이(12)는 볼 렌즈(22)로부터 별로도 공간을 가질 수 있고, 리쎄스된 클리어런스 표면(56)은 도 9a에 도시된 바와 같이 하부 한계 광선이 방해받지 않고 볼 렌즈(22)에 도달하도록 제공될 수 있다. 제 1 렌즈(22)의 광학 속성들은 레이저 다이(12)의 빛 발산 영역에 위치되는 상기 렌즈의 초점과 바람직하게 선택될 수 있다. 그 결과, 제 1 렌즈(22)는 도 6a에 도시된 바와 같이 원하는 정도로 레이저 다리(12)로부터 수신된 원뿔형 빛(80)을 조준한다. 대안적으로, 제 1 렌즈(22)의 광학 속성들은 도 6b에 도시된 바와 같이 섬유 홈(40)을 향한 상기 광학축을 따라서 전파하는 수렴 빔(converging beam)을 제공하도록 선택될 수 있다.
4. 밀봉 캐비티
또한, 마이크로 광학 장치 패키지(500)는 레이저 다이(12), 제 1 렌즈(22), 포토다이오드(18) 및 제 1 리쎄스된 클리어런스 표면(first recessed clearance surface, 50)에 대하여 밀폐를 제공하도록 예를 들어 리드 장착 채널들(62, 64, 66 및 68) 안의 리드 장착 영역에서 마이크로벤치(100) 상에 안착하는 리드(200)를 포함한다. 예를 들어, 리드(200)는 4개의 측벽들(220) 및 루프(roof, 250)을 포함하여 리드(200) 내에서 사각 모양의 캐비티(230)를 제공할 수 있다. 본 발명의 다른 실시예에서는, 리드(200)는 단일의 활 모양 측벽을 구비하거나 또는 광학 파워를 제공하도록 렌즈 모양을 가지는 리드 측벽(lid sidewall)을 포함할 수 있다. 리드(200)의 루프(250)는 전형적으로 10 내지 100 마이크론 범위의 두께를 가진다. 루프(250)의 두께 대 캐비티(230)의 가장 긴 디멘젼(스팬)의 비율은 전형적으로 1/10 내지 1/50이다. 그러한 비율은 루프(250)가 밀폐도의 변화 또는 손실에 반응하여 충분하게 구부러지도록 한다. 그러한 구부러짐은 상기 패키지의 밀봉성의 지표를 제공하도록 측정될 수 있다. 예를 들어, 1mm의 캐비티 스팬(cavity span)을 갖는 리드(200)를 위하여, 40 마이크론 리드 두께는 충분한 구부림과 내구성(deflection and durability)을 제공할 수 있다. 상기 리드 캐비티 깊이(lid cavity depth)는 전형적으로 상기 리쎄스된 트렌치(recessed trench)의 깊이 및 그것을 둘러싼(encase) 구성요소들의 높이에 의해 결정된다. 전형적인 리드 깊이는 예를 들어 100 내지 600 마이크론이다.
전방 및 후방 리드 장착 채널들(62 및 64)의 경사진 측벽들(63 및 65)은 리드 장착 채널들(62 및 64) 범위에서 원하는 위치에 안착하도록 리드(200)의 각 측벽들(220)을 가이딩(guiding)하도록 지지할 수 있다. 전방 및 후방 리드 장착 채널들(62 및 64)의 폭뿐만 아니라 리드 장착 채널들(62, 64, 66 및 68)의 깊이는 리드(200)의 하부 밀봉 표면(226)이 충분한 깊이에서 광학 마이크로벤치(100)에 부착되도록 허용하도록 바람직하게 선택될 수 있다. 그 결과, 밀봉 표면(226)은 상기 광학적 시스템의 광학 경로의 외부에 놓인다. 그래서, 전방 및 후방 리드 장착 채널들(62 및 64)의 폭은 하부 밀봉 표면(226)이 상기 광학 경로의 외부에 놓이도록 하기 위하여 그 안에 장착된 측벽들(220)의 깊이와 동일하거나, 더 크거나, 또는 더 적을 수 있다. 또한, 리드(200)는 광학 마이크로벤치(100)에 밀봉될 수 있고, 그 결과 상기 광학축과 교차하는 리드 측벽(221)은 레이저 다이(12)로의 후방 반사를 방지하도록 충분한 양에 의한 상기 광학축에 대하여 직각과 약간 벗어나도록, 예를 들어 1 또는 2도만큼 벗어나도록 배치된다. 레이저 다이(12)로 허용된 후방 반사들은 DFB 레이저들과 같은 몇 장치들의 광학적 수행과 손실적으로 간섭할 수 있다.
리드(200)는 전형적으로 상기 광학 경로 범위에 놓이는 측벽(221) 중 적어도 한 부분이 레이저 다이(12)에 의해 전송되는 빛의 파장에 광학적으로 투명하도록 구성된다. 이것은 광학 신호를 최소 손실을 가지고 레이저 다이(12)와 리드의 외부 사이에 진행할 수 있도록 한다. 몇 예들에서, 측벽 부분은 광학 도파관을 포함하는 것이 바람직하다. 상기 투명한 측벽 부분은 그 곳을 통과하는 빛의 빔의 전송과 양립할 수 있는 물리적 특성을 가져야 한다. 예를 들어, 상기 빔이 통과하는 상기 측벽 표면들의 두께, 평행도(parallelism) 및 평활도(smoothness)는 수용할만한 광학적 수행을 제공해야 하고 받아들여질 수 없을 만큼 상기 빔을 감쇠시켜서는 안된다. 예로써, 리드 측벽들(220)은 실리콘을 포함할 수 있고 10 내지 100 마이크론의 두께를 가질 수 있다. 두께 또는 표면 거칠기에서 변화들에 대한 상기 광학 빔의 민감도를 최소화하기 위해, 리드(200)의 측벽 부분을 통하여 조준된 빔을 통과시키는 것이 바람직하다. 이 근거를 위해, 위에서 언급된 제 1 렌즈(22)의 조준 기능을 제공하는 것이 바람직할 수도 있다. 또한, 상기 측벽 부분은 실리콘 나이트라이드와 같은, 즉 반사를 줄이기 위한 1/4 파장 두께인 반사방지막으로 코팅될 수 있다.
리드(200)는 솔더 글래스 또는 금속 솔더와 같은 어떤 적절한 결합 물질(240)에 의해 마이크로벤치(100)의 상기 리드 장착 영역에 밀봉될 수 있다. 편리하게도, 결합 물질(240)은 리드 밀봉 표면(226)에 처음 적용될 수 있다. 대안적으로, 리드(200)는 용접 또는 다른 기술들을 직접적으로 이용하여 마이크로벤치(100)에 직접적으로 밀봉될 수 있다. 예를 들어, 적절한 결합 물질들은 SnF2, SnO, PO2 .5, PbF2, NbO2 .5, ZnF2 및 BaF2 중 하나 이상을 포함하는 것과 같은 솔더 글래스들, DM2700PF 솔더 글래스와 같은 Diemat 주식회사, Byfield, MA, USA로부터 이용할 수 있는 것들, 및 기층, 예를 들어 Cr/Ni 상에 우텍틱 또는 우텍틱 Au-Sn에 가까운 금속 솔더들을 포함한다.
도전성 리드 라인들(14)이 마이크로벤치(100)의 상기 상부 표면을 따라서 제공된다면, 금속 솔더와 같은 도전성 결합 물질(240)은 리드 라인들(14)과 전기적으로 통신하도록 허용되어서는 않는다. 이 예에서, 유전체는 도전성 리드 라인들(14)와 도전성 결합 물질 사이에 위치될 수 있다. 그러나, 그러한 배열은 속도 면에서 감쇠의 원인일 수 있고, 신호들은 상기 속도에서 캐패시티브 효과들(capacitive effects) 때문에 도전성 리드 라인들(14)을 통하여 진행할 수 있다. 따라서, 에폭시 또는 글래스 솔더와 같은 유전체 결합 물질은 리드 라인들(14)에 대한 리드(200)를 밀봉하기 위하여 이용될 수 있다. 그러나, 글래스 솔더조차 고 RF 전송 응용기기들(high RF transmission applications)을 위하여는 적당하지 않고, 이 경우 밀봉된 도전성 비아들(90)은 우선시될 수 있다. 도전성 리드 라인들(14) 상에 상기 밀봉의 효과를 최소화하기 위해, 리드 라인들(14)에 대하여 배치된 적어도 리드 측벽(220) 부분은 얇게 만들어질 수 있다.
볼 렌즈(32)와 같은 제 2 광학 요소는 도 5b 및 도 6a에 도시된 바와 같이 리드(200)의 측벽(221)을 통하여 통과된 제 1 렌즈(22)로부터 조준된 빔을 수신하는 제 2 피트(30)에서 제공될 수 있다. 제 2 볼 렌즈(32)는 적절한 접착제 또는 결합제를 이용하여 피트(30)에 부착될 수 있다. 분리 후, 광섬유(42)는 섬유 홈(40)에 안착될 수 있고 적절한 접착제 또는 결합제를 가지고 부착될 수 있다. 섬유 홈(40)은 광섬유 세그먼트(optical fiber segment, 42)이 상기 광학축을 따라서 놓이는데 충분한 깊이를 가진다. 광섬유(42)는 섬유(42)의 기계적 지지를 제공하고 다른 광학 요소들에 광섬유(42)를 연결하는데 지지하도록 광학 마이크로벤치(100)의 길이를 연장하는 세라믹 페룰(ceramic ferrule, 44)에 배치될 수 있다. 대안적으로, 세라믹 스플리트 슬리브(ceramic split sleeve) 또는 플라스틱 용기(plastic receptacle)는 섬유 광학 용기 설계(fiber optic receptacle design)의 일부분으로서 섬유의 위치에 결합될 수 있다. 이 것은 장치 패키지(500)와 광학 연결을 재생하도록 상기 용기 안으로 플러그될 수 있는 외부 섬유 광학 컨넥터의 정확한 등록을 허여한다.
제 2 렌즈(32)의 광학 속성들은 섬유(42)의 가까운 엔드페이스(proximate endface, 43)에 위치된 상기 렌즈의 초점과 유용하게 선택될 수 있다. 이 방법에서, 제 2 렌즈(32)는 섬유 엔드페이스(43) 상에 조준된 빔을 포커스(focus)하여 레이저 다리(12)로부터 발산된 상기 빛을 섬유(42)로 효율적으로 커플링한다. 먼지와 같은 오염 물질들의 효과들로부터 상기 광학 시스템을 보호하기 위해, 밀봉제(34)는 섬유 엔드페이스(43) 및 제 2 렌즈(32)에 대하여 제공될 수 있고, 또한 도 5a 및 도 5b에 도시된 바와 같이 제 2 렌즈(32)와 리드(200)의 가까운 측벽(221) 사이의 광학 경로를 따른 공간(void)을 채울 수 있다. 밀봉제(34)와 제 2 렌즈(32)의 광학적 속성들은 선택되고, 그 결과 섬유 엔드페이스(43) 상의 상기 빔의 원하는 포커싱(desired focusing)이 발생한다. 상업적으로 이용할 수 있는 물질들은 이용되고, 예를 들어 실리콘 밀봉제들, 인덱스 매칭 에폭시들(index matching epoxies), Nye Optical Products, Fairhaven, MA, USA로부터 이용할 수 있는 SmartGelTM 밀봉제, 및 도우 코닝(Dow Corning)으로부터 이용할 수 있는 다른 밀봉제들을 포함한다.
또한, 도 1 내지 도 6에 도시된 마이크로 광학 장치 패키지들(500)에서, 본 발명에 따른 마이크로 광학 장치 패키지들의 다른 배열이 가능하다. 예를 들어, 마이크로 광학 장치 패키지(500)는 제 1 측벽(220)을 통하여 광학 빔을 수신할 수 있고, 장치 패키지(500)에 있는 광학 요소들에 광학적으로 변경되는 상기 빔을 가지며, 그런 후 동일한 측벽(220), 다른 측벽(200) 또는 리드 루프(250) 중 하나 이상을 통하여 패키지(500)로부터 전송된 상기 변경된 빔을 가진다. 게다가, 동일한 또는 다른 배열의 연속적인 장치 패키지들(500)은 함께 연쇄(daisy-chained)되어 장치 패키지들(500)의 광학 트레인(optical train)을 제공할 수 있다. 더욱이, 도 8a 및 도 8b를 참조하면, 마이크로 광학 장치 패키지들(500)은 하나 이상의 광 섬유 세그먼트(optical fiber segment, 42) 및 제 2 볼 렌즈(32)를 포함하지 않도록 제공될 수 있다. 대신에, 마이크로 광학 장치 패키지들(500)은 상기 페룰에서 구형 렌즈(32)를 임의적으로 포함할 수 있는 섬유 페룰(210a 및 210b)에의 부착을 위해 정렬될 수 있다. 임의적으로, 장치 패키지(500) 사이의 갭(gap, 67)은 페룰(210)을 장치 패키지(500)에 고정적으로 장착하는 결합 물질을 포함할 수 있다. 게다가, 인덱스 매칭 물질(index matching material)은 갭(67)에 배치될 수 있다.
B. 연결화( connectorization )
미세 광학 장치 패키지(micro-optical device package)는 인쇄 회로 기판(PWB) 또는 연결화된 장치의 일부와 같은 전자 장치 기판 상에 장착되는 것처럼 다양한 광전자공학 시스템 구성에서 사용될 수 있다. 예를 들어, 도 10A 및 10B에 도시된 것처럼 미세 광학 장치 패키지(500)가 다른 시스템 요소들에 광학적으로 연결되도록 하기 위해, 컨넥터(520)는 본 발명에 따른 미세 광학 장치 패키지(500)를 수용하도록 설치될 수 있다. 본 발명의 미세 광학 장치 패키지(500)에서 달성될 수 있는 작은 크기로 인하여, 미세 광학 장치 패키지(500)는 컨넥터(520)의 내부 공간(512) 내에 수용될 수 있다. 더욱이 본 발명의 미세 광학 장치 패키지는 현재 상업적으로 이용 가능한 컨넥터들에 대한 포함에 잘 적용된다. 예를 들어, 컨넥터(520)는 LC 컨넥터 또는 기타 적절한 컨넥터가 될 수 있다. 그러므로, 본 발명의 광학 마이크로벤치(microbench)를 포함하는 컨넥터는 광섬유 컨넥터(fiber optic connector)가 광 송신기, 수신기 또는 송수신기로서 기능을 수행하도록 한다.
미세 광학 장치 패키지(500)는 적절한 접착제를 사용하여 하우징 마운트(506; housing mount) 상에 장착될 수 있다. 하우징 마운트(506)는 기계적인 지지와 열 발산 모두를 제공하도록 설계될 수 있다. 광학적으로 미세 광학 장치 패키지(500)에 부착된 섬유 조각(42; fiber segment)을 함유하는 페룰(44; ferrule)을 수용하고 지지하기 위해 페룰 하우징(508; ferrule housing)이 컨넥터(520)에 설치될 수 있다. 페룰 하우징(508)은 적절한 접착제를 사용하여 하우징 마운트(506)에 부착된다. 대안적으로는 하우징 마운트(506) 및 페룰 하우징(508)은 단일 집적부(single monolithic part)로 형성될 수 있다. 바람직하게는 페룰 하우징(508) 및 하우징 마운트(506)는 금속을 포함할 수 있다. 미세 광학 장치 패키지(500)의 페룰(44)은 섬유 페룰(44)의 터미널 종단이 페룰 하우징(508)의 터미널 종단과 일치하도록 하는 길이를 알맞게 가질 수 있다. 페룰 하우징(508)은 섬유 페룰(44)에 스웨이징될 수 있다.
전기 신호 및 전원은 도전성 리드 라인(conductive lead line) 또는 비아(vias) 중 어느 것에 사용되느냐에 따라 전기적으로 도전성 리드 및/또는 비아에 연결된 플렉스 회로(510; flex circuit)에 의해 미세 광학 장치 패키지(500)로 공급될 수 있다. 플렉스 회로(510)는 예를 들어 3M에서 생산된 폴리마이드 상에 도금된 구리로 만들어 질 수 있다. 플렉스 회로(510)는 장치 패키지(500)가 CTE 차이들이 접촉 불량을 유발시키는 것을 방지하기에 바람직할 수 있는 PWB와 같은 전자 장치 기판과 기계적으로 연결 및 분리되는 것을 허용한다.
플렉스 회로(510)는 PWB와 장치 패키지 접촉 패드 사이의 피치 차이(pitch difference)를 조정할 수 있고, 그 종단에 솔더(solder)를 포함할 수 있고, 그 길이를 따라 최종 저항(terminating resistor)을 포함할 수 있고, RF신호를 PWB 및 장치 패키지(500) 사이로 적절히 전달하기 위해 제어된 임피던스 전달 라인(impedance transmission line)을 포함한다.
페룰 하우징(508)은 페룰 하우징(508)이 컨넥터(520) 내에서 피스턴(piston)하도록 하기 위해 컨넥터(520) 내에 슬라이딩 가능하게 장착될 수 있다. 이 점에 있어서는 컨넥터(520)는 컨넥터 공간(512; connector cavity)내에, 그리고 페룰 하우징(508)의 일부에 걸쳐 배치된 헬리컬 스프링과 같은 페룰 스프링(502)을 포함할 수 있다. 페룰 스프링(502)의 전단(503; front end)은 페룰 하우징(508)의 숄더(509; shoulder)를 지지하도록 위치하고, 페룰 스프링(502)의 후단(505; rear end)은 컨넥터 공간(512)의 숄더(507)를 지지하도록 위치한다. 대안적으로는 미세 광학 장치 패키지(500)는 피스톤 기능 없이 컨넥터(520) 내에 고정적으로 장착될 수 있다.
미세 광학 장치 패키지(500)의 냉각을 돕기 위해 도 11a 내지 11c에 도시된 바와 같이 컨넥터(520)는 히트싱크(540, 545; heat sink)와 함께 설치될 수 있다. 내부 구성요소를 포함하는 컨넥터(530)는 컨넥터(520)와 실질적으로 유사할 수 있다. 그러나, 대표적인 히트싱크(540, 545)를 장착하기 위해, 컨넥터 공간의 한정을 넘어 히트싱크(540, 545)가 연장되도록 하는 슬롯웨이(532; slotway)를 컨넥터의 하우징 내에 설치하도록 컨넥터(520)의 하우징을 수정하는 것이 바람직하다. 도 11B에 도시된 바와 같이, 히트싱크가 슬라이딩 가능하도록 금속 페룰 하우징의 인접 종단(541)에 장착되도록 하기 위해 히트싱크(540)는 금속 페룰 하우징의 인접 종단(541)의 단면 형상에 유사한 단면 형상을 갖는 통로(543; passageway)를 포함할 수 있고, 히트싱크는 미세 광학 장치 패키지(500)와 차례로 열교환을 하는 금속 페룰 컨넥터(508)과 열교환을 한다. 히트싱크(545)는 금속 페룰 컨넥터(508)과 유사하게 연결될 수 있다. 히트싱크(540, 545)는 히트싱크로부터의 열 발산을 돕기 위해 복수개의 핀들(542, 546)을 바람직하게 포함할 수 있다. 히트 싱크는 일반적으로 금속처럼 충분한 열 전도도를 갖는 재료를 포함한다. 추가적으로 컨넥터 하우징(519)의 뒷면(back)은 컨넥터 또는 리셉터클 하우징(receptacle housing)의 안팎으로의 열전달을 돕기 위해 열 전도 플라스틱으로 만들어 질 수 있다. 적절한 플라스틱은 상업적으로 이용 가능하며, 예를 들어 미국 로드아일랜드 워윅의 쿨 폴리머(Cool Polymers)로부터 이용 가능한 쿨 폴리(Cool Poly)이다. PWB에 장착되었을 때 장치 패키지(500)로부터 열을 발산시키기 위해 히트 싱크도 PWB에 설치될 수 있다.
C. 마이크로벤치 제조
1. 도전성 리드를 갖는 마이크로벤치
도 12a 및 12b를 참조하여, 본 발명에 따른 도전성 리드(14)를 갖는 광 마이크로벤치(100)를 제조하기 위한 대표적인 절차의 흐름도가 도시된다. 비록 흐름도 및 절차가 하나의 광 마이크로벤치(100)를 베이스 웨이퍼(110; base wafer) 상에서 생산하는 것에 관한 실시예에 의하여 기재되었으나, 실제로 복수개의 광 마이크로벤치(100)를 하나의 베이스 웨이퍼(110) 또는 웨이퍼로부터 제거된 그리드(grid) 상에 제조하는 것이 바람직하다는 것이 이해되어야 한다.
단계 S10에서 시작하며, 양면 폴리싱 실리콘 웨이퍼(100)와 같은 베이스 웨이퍼(110)가 제공된다. 전형적으로 베이스 웨이퍼(110)의 두께는 525 마이크론이고, 저항(resistivity)은 고주파 적용(application)을 위해 1000오옴-센티미터(ohm-cm)보다 큰 것이 바람직할 수 있다. 베이스 웨이퍼(110)는 실제적으로 마이크로머시닝 과정에서 결함을 최소화할 수 있는 최소 산소 농도(lowest oxygen concentration) 및 부족 농도(defect density)를 갖도록 바람직하게 선택될 수 있다.
단계 S20에서 제 1 하드마스크(first hard mask)는 베이스 웨이퍼(110) 상에 설치된다. 예를 들어 하드마스크는 저응력 실리콘 나이트라이드 층과 같은 실리콘 나이트라이드 층(silicon nitride layer)을 포함할 수 있다. 제 1 하드마스크 층의 적절한 두께는 예를 들어 200 내지 250nm. 선택적으로 결정 정렬 단계(crystal alignment step)는 결정학적 배열(crystallographic alignment)의 정확한 축을 결정하기 위해 수행될 수 있고, 에칭된 형상(feature)들은 요구되는 정확도로 결정축에 배열될 수 있다.
단계 S30에 제공된 바와 같이, 베이스 웨이퍼(110)는 금속 리프트 오프(metal lift-off)를 위해 패터닝된다. 선택적으로 금속 리프트 오프를 위한 패터닝은 더 두꺼운 패터닝된 저항의 아래에 배열된 리프트 오프 저항층(lift-off resist layer)을 이용할 수 있고, 연속적인 절차동안 얇은 리프트 오프 저항은 언더컷(undercut)될 수 있다. 선택적인 재진입 저항 윤곽(re-entrant resist profile)은 금속을 패터닝할 때 완벽한(clean) 리프트 오프를 달성하기 위해 사용될 수 있다.
절차는 단계 S40에서 패터닝된 하드마스크를 통해 노출된 베이스 웨이퍼(110)의 영역에 대한 하나 이상의 금속층의 증착(deposition)과 함께 계속된다. 이것은 예를 들어 스퍼터링 또는 증착과 같은 물리적 증기 증착(physical vapor deposition; PVD), 화학적 증기 증착(chemical vapor deposition; CVD) 또는 기타 적절한 절차에 의해 수행될 수 있다. 금속층은 예를 들어 하나 이상의 접착층(adhesion layer)(Ti, TiW, TiN, Cr, NiCr, W 또는 기타 적절한 재료), 발산 장벽층(diffusion barrier layer)(Ni, Pt 또는 기타 적절한 재료) 및 표면 금속(surface metal)(Au, Al 또는 기타 적절한 재료)을 포함할 수 있다. 접착층을 위한 전형적인 두께(존재하는 경우)는 5 내지 100nm, 예를 들면 50nm와 같은 40 내지 60nm이고, 발산 장벽층은 100 내지 300nm, 예를 들어 250nm와 같은 200 내지 275nm이고, 표면 금속은 200nm 내지 1마이크론, 예를 들어 500nm 내지 1마이크론이다. 금속들은 그것들이 습식 에칭(wet etching), 솔더링(soldering) 및 열 공정과 같은 연속적인 절차에 융화되도록(compatible) 선택되어야 한다. 예를 들어 Ti/Pt/Au, TiW/Au, TiN/Au, Cr/Ni/Au 또는 NiCr/Ni/Au의 적층된 층이 이용될 수 있다. 도전성 리드(14) 및 솔더 패드(16)가 저항들 및/또는 솔더 댐들(solder dams) 모두의 기능을 통합하도록 할 수 있는 발산 장벽층 또는 접착층 두께를 이용하되, 표면 금속은 도전성 리드(14)의 영역을 위해 선택적으로 벗겨내질 수 있는(stripped back) 것이 유용할 수 있다. 솔더 댐은 솔더가 리플로우(reflow)동안 도전성 (표면 금속) 리드(14)를 따라 위킹(wicking)되는 것을 방지하기 위해 솔더 패드의 외측에 직접적으로 형성될 수 있다. 저항들은 전달 라인 최종 저항(transmission line terminating resistor)이 레이저 다이(12; laser die)를 드라이버에 의해 요구되는 임피던스(즉, 25 또는 50오옴)에 연결(match)되도록 하는 것이 종종 바람직하다. 표면 장착 분리 요소(surface mount discrete element)의 금속화(metallization) 및 접착(bonding)에서의 틈(gap)은 원할 때에 통합된 요소들을 대신할 수 있다.
단계 S50에서, 패터닝된 리프트 오프 금속부분들은 표면 금속화의 제 1 세트, 즉 베이스 웨이퍼(110) 및 정렬 기준(alignment fiducial)의 상면(70) 상의 도전성 리드(14)를 생성하기 위해 리프트 오프될 수 있다. 연속적인 실리콘 마이크로머시닝을 위해 요구되는 형상을 갖는 금속 "링" 또는 트레이스(trace) 또한 예를 들어 그 내용이 참조문헌에 의해 통합된 "멀티 타입의 표면 형상을 패터닝하기 위한 단일 마스크 리쏘그래픽 절차"를 발명의 명칭으로 200년 3월 6일자로 출원된 미국특허출원 제09/519,165호에서 제공된 하드 마스크(실리콘 나이크라이드)를 통한 저항의 또다른 코팅 및 드라이 에칭 패터닝을 사용하여 베이스 웨이퍼(110)의 상면(70)상에 에칭된 장착 형상(mounting feature)의 자기 정렬(self alignment)을 위해 설치될 수 있다. 대안적으로는 도전성 리드(14) 및 금속 링을 위한 금속화는 분리된 단계로서 수행될 수 있다. 추가적으로, 도전성 리드(14)를 위한 금속화를 적용하는 더 많은 종래의 절차 및 일반적인 하드 마스크의 저항 패터닝 및 드라이 에칭이 이용될 수 있다.
단계 S50에서, 렌즈(22, 32)를 위한 구멍(20,30; pit)과 같이 장착 형상(mounting feature)을 정의하는 금속 마스크, 전후 리드 장착 채널(62,64; front and rear lid mounting channel), 모니터 홈(11; monitor groove), 섬유 그루브(40; fiber groove) 및 레이저 및 후면 모니터 정렬 기준 또한 패터닝될 수 있다. 마이크로 머시닝된 형상을 만드는 패터닝 절차는 마이크로머시닝된 영역을 둘러싸는, 전형적으로 5 내지 20 마이크론의 금속 링을 생성하는 것을 포함한다. 따라서, 전달 라인, 금속 정렬 기준 및 마이크로머시닝된 영역을 위한 금속화는 종종 하나의 리소그래픽(lithographic) 단계에서 달성될 수 있다. 이어 금속 링에 대해 저항층에 개구를 남기는, 덜 정확한 제 2 리소그래픽 단계가 수행될 수 있다. 이것은 금속 링의 내부 에지가 링 내부로부터 실리콘 나이트라이드 또는 실리콘 옥사이드를 제거할 플라즈마 에칭 단계를 위한 정확한 하드 마스크로서 기능하는 것을 허용한다. 저항을 벗겨낸 후에 웨이퍼들은 그들의 실리콘이 이방성으로 엣칭되도록 할 수 있다. 마스킹된 재료들 상의 변화는 수행된 에칭의 특정 에칭 화학 타입에 따라 이용될 수 있다. 마이크로머시닝 가공된 형상을 만들기 위한 패터닝 절차는 나이트라이드 하드 마스크를 금속 링의 내부로부터 제거하는 것을 포함한다. 내부는 에칭에 의해 마이크로머시닝되는 영역이며, CF4 또는 기타 플라즈마를 포함하는 플루오르에서의 드라이 에칭에 의해 수행될 수 있다. 이 기술의 세부 사항은 상기 참조된 미국특허출원 09/519.165에서 제공된다.
선택적으로, 만일 저항 또는 솔더 댐이 원한다면, 표면 금속층은 추가적인 리쏘그래픽 단계 및 용액, 예를 들어 요오드 또는 요오드 칼류 용액 속에서의 습식 에칭을 이용하여 선택적으로 벗겨내 질 수 있다. 더 높은 단위 면적당 저항이 요구되는 경우에는 발산 장벽층을 벗겨내고 Cr, NiCr, W, TiW, TiN 또는 Ti와 같은 접착층을 이용하는 것이 바람직할 수 있다. 예를 들어 저항은 스핀코팅되거나 일렉트로포레틱(electrophoretic)된 저항일 수 있다. 일렉트로포레틱된 저항은 미국 메사추세츠 말보로 쉬플리 컴파니 L.L.C.로부터 상업적으로 이용 가능하다. 리프트 오프 절차를 이용하는 대안으로서 금속을 처음 위치시키고, 저항을 적용하고, 패턴을 형성하기 위해 금속을 습식 에칭 또는 드라이 에칭함에 의해 금속단계 S40 내지 S60이 달성될 수 있다.
단계 S70에서는, 예를 들어 대략 80-20 Au-Sn 솔더 또는 기타 적절한 솔더로부터 형성될 수 있는 솔더 패드(16)는 위에서 개시된 동일한 리프트 오프 절차를 사용하여 증착될 수 있다. 더 긴 리플로우 시간을 허용하기 위해 솔더 패드(16)는 다소 주석(tin)이 풍부하도록 만들어 질 수 있다. 전형적인 두께는 예를 들어 2.5 내지 3.5 마이크론이다. 솔더 패드 성분은 전형적으로 베이스 금속화 및 접착되는 레이저 및 모니터 다이(12, 18)에서의 금속(즉, 금)성분에 있어서의 최대의 포함을 설명하기 위해 설계된다.
장착되는 형상을 제공하기 위하여, 이방성의 습식 에칭과 같은 마이크로머시닝 단계가 수행될 수 있다. 예를 들어 적절한 에찬트(etchant)는 에틸렌 디아민 파이로카테콜(ethylene diamine pyrocatechol, EDP), 테트라메틸 암모니움 하이드록사이드(tetramethyl ammonium hydroxide, TMAH), 하이드레이진(hydrazine) 또는 알카라인 금속의 하이드록사이드(hydroxide of alkaline metal), 예를 들어 소디움 하이드록사이드(sodium hydroxide), 포타시움 하이드록사이드(potassium hydroxide), 세슘 하이드록사이드(cesim hydroxide) 또는 루비듐 하이드록사이드(rubidium hydroxide) 등을 포함한다. 예를 들어 에찬트는 결정면에 대한 특정한 선택성(specific selectivity to crystal planes), 하드 마스크 재료의 선택, 균일성, 표면 거칠기, 표면상의 금속 보호 및 기타 설계/제조 고려 사항들에 의존할 것이다.
단계 S80에서는, 소잉(sawing)과 같은 기계적인 방법에 의한 요부 틈 표면(50, 52; recessed clearance surface)의 형성이 수행될 수 있다. 소잉은 어떠한 순간에 에칭에 대해 바람직할 수 있다. 에칭은 이방성으로 에칭된 장착 형상의 정확하게 위치한 경계를 잠재적으로 변경하는, 제 1 구멍(20)과 같은 인접한 이방성으로 에칭된 장착 형상을 공격할 수 있다. 이방성으로 에칭된 장착 형상의 그러한 연속적인 에칭은 이방성으로 에칭된 장착 형상에 배열된 광 상대(optical opponent)들 사이의 상대적인 위치를 변경할 수 있다. 기계적인 방법의 이용은 각 에칭된 장착 요소의 노출된 외부 모서리를 보호하도록 설계된 보정 특성에 대한 요구를 방지하고, 여전히 에칭된 장착 형상 상의 측벽(111)들을 제공한다. 또한 도 3B에 도시된 바와 같이 전후 리드 장착 채널(62, 64; lid mounting channel)은 에칭 대신에 다이싱(dicing)에 의해 설치된다. 이러한 점에서 전후 리드 장착 채널(62, 64)는 에칭 작동에 연속하여 만들어 질 수 있다.
만일 전기적인 절연이 전도성 리드(14)와 베이스 웨이퍼(110)의 저항 사이에 요구되어 진다면, 예를 들어 패시베이션(passivation) 단계인 단계 S90은 적절한 전기적 절연을 제공하지 않는다. 예를 들어 PECVD 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드는 후방 장착 채널(64; rear mounting channel)에서 패시베이션 코팅으로서 증착될 수 있다. 패시베이션 코팅은 예를 들어 건식 에칭 또는 습식 에칭, 예를 들면 접촉 및 솔더 패드 표면을 개방하기 위해 버퍼 옥사이드 에치(buffered oxide etch, BOE)가 뒤따르는, 스핀 코팅 또는 도금된 저항을 이용하는 전형적으로 또 다른 리소그래픽 단계를 요구한다. 핀홀 프리 커버리지(pin-hole free coverage)를 제공하도록 요구되는 것처럼 이 층의 두께는 전형적으로 50 내지 250 nm이다.
후방 장착 채널(64) 내에 배열된 도전성 리드부분을 제공하기 위하여, 추가적인 일련의 금속 패터닝 단계가 수행될 수 있고, 이는 단계 S30-S50과 유사할 수 있다. 단계 S100에서 시작하여, 금속은 리프트 오프를 위하여 후방 장착 채널(64)에서 정각 저항 기술(conformal resist technique)을 이용하여 패터닝될 수 있다. 위에서 언급된 동일한 금속화 구조물이 사용될 수 있다. 적절한 금속화를 달성하기 위하여, 경사진 표면, 즉 후방 장착 채널 표면(61, 63)은 증발각(evaporant angle)에 관하여 위치되어야 하고, 후방 장착 채널 표면(61, 63) 모두 적절한 범위를 수용한다. 대안적으로 새도우 마스크(shadow mask)는 후방 장착 채널 표면(61, 63)에 배열된 도전성 리드(14)를 패터닝하기 위하여 사용될 수 있다. 후방 장착 채널(64)과 일치시키기 위한 습식 에칭 및 후방 장착 채널(64)에서 도전성 리드와 전기 트레이스를 한정하기 위한 드라이 에칭을 이용하여 또 다른 에칭된 웨이퍼로부터 만들어진 정각 새도우 마스크(conformal shadow mask)가 사용될 수 있다.
단계 S100은 50 내지 250mTorr에서 플루오르를 이용하여 드라이 에칭, 예를 들면 반작용 이온 에칭(reactive ion etch)에 의해 선행되어 질 수 있다. 이것은 존재하고, 상판(70)으로부터 연장되고, 표면 상의 마스크 층의 완전한 벗겨냄없이 후방 리드 장착 채널(64)에 걸칠 수 있는 나이트라이드 층(nitride shelf)의 제거를 허용한다. 에칭동안의 상대적으로 고압력의 이용은 표면상에서 발생되는 것보다 더 높은 순 에칭율을 생성하는 능동적인 이온에 의해 층(shelf)이 양측으로부터 공격받는 것을 허용한다. 층의 제거는 후방 장착 채널(64)의 어느 일측상의 금속(1) 트레이스들 사이의 전기적 불연속과 같은, 층 아래의 금속상의 새도우 효과를 방지한다. 층의 크기는 예를 들면 결정면과 에찬트의 타입 사이의 에칭비(etch ratio)의 기능이다. 이런 점에서 EDP같은 어떤 에찬트는 다른 에찬트보다 상당히 큰 층을 유발시킬 수 있다. 만일 표면 패시베이션이 사용되지 않는다거나 연속적인 공정에서 추가될 것이라면, 도체를 이전에 기재된 바와 같이 내보내기 위하여 트렌치(trench)를 패시베이션할 때처럼 금속 또는 저항에 의해 마스킹되지 않은 모든 표면 패시베이션은 제거될 수 있다.
만일 렌즈 또는 섬유와 같은 광 요소(optical component)를 솔더 또는 기타 금속 본딩 표면(EP0895111A1 및 미국특허 제6,034,405호에 기재된 AlO 본딩)을 사용하여 부착하는 것이 바람직하다면, 솔더는 베이스 웨이퍼(110)와 접촉하는, 에칭된 금속 새도우 마스크를 이용하여 설치될 수 있다. 과도 액체 사태(transient liquid phase, TLP) 및 열 구동 발산(thermally-activated diffusion, TAD) 솔더들은 개별적으로 이러한 적용 목적에 유용할 수 있다. 만일 솔더에 의한 섬유 본딩의 공급이 계획된다면, 솔더는 사용된 본딩 체계에 따라 단계 S100에서 또는 연속하는 단계에서 설치될 수 있다. 본딩 체계는 예를 들면 설계의 복잡성(무기물 본딩을 사용하여 본딩되는 광 요소의 수)에 의존한다. 전형적으로 솔더는 3 내지 5 마이크론의 두께일 수 있다. 균일성 및 두께 조절은 시스템의 원하는 광 성능을 달성하기 위하여 충분히 엄격해야 하며, 예를 들면 수동 정렬(passive alignment)이 광 요소들 사이에서 레이저 다이(12)로부터 섬유(42)까지 사용될 때이다.
광 요소들을 갖는 광 마이크로벤치(100)의 포퓰레이션(population)은 단계 S130에서 수행될 수 있다. 광 요소 포퓰레이션의 순서는 변할 수 있다. 그러나, 취해진 하나의 전형적인 방법은 제 1 렌즈(22)를 처음에 솔더 또는 솔더 글라스와 같은 적절한 본딩 재료를 사용하여 본딩하는 것이다. 전체적인 웨이퍼 또는 웨이퍼로부터 제거된 부분들의 그리드는 절차의 최대 효율을 위해 동시에 포퓰레이션될 수 있다. 레이저 다이(12)는 그 다음에 본딩될 수 있다.
솔더 층의 반응이 다이 본딩 단계가 완료될 때까지 방지될 수 있기 때문에 압축 또는 열압축 본딩은 광 요소들의 본딩을 위한 적절한 기술이다. 솔더 층의 반발은 솔더가 성공적인 다이 본딩을 위해 적절하게 리플로우되는 것을 방지하는 구성상 이송(compositional shift)을 유발시킨다. 광섬유 부분(42) 렌즈(22, 32) 및 기타 광 요소는 본 발명에 의해 제공되는 열 구동 발산(thermally-activated diffusion, TAD) 본딩 기술을 이용하여 기판 상의 그 자리에서 유리하게 보호될 수 있다. 이 기술은 전형적으로 구멍(20,30), 홈(11, 40) 또는 광 섬유(42) 또는 광 요소가 고정된 기타 실리콘 기판의 표면에 대해 최소한 3개 층 본딩 구조를 이용한다. 구조물은 최소한 하나의 발산 방해/장벽층에 의해 분리된 최소한 제 1 및 제 2 구성층을 포함한다.
제 1 및 제 2 구성층을 형성하는 재료는 구성상 다르고, 전형적으로 금속 및 금속 합금으로부터 선택된다. 재료 선택은 제 1 및 제 2 구성층의 내부 발산(inter-diffuse)하는 원자(atom) 능력에 기초하고, 내부 발산의 결과는 원래 증착된 제 2 구성층의 녹는점보다 높은 녹는점을 갖는 구성이다. 제 1 및 제 2 구성층을 위한 적절한 재료는 예를 들어 금 및 인듐, 구리 및 인듐, 은 및 인듐, 구리 및 주석, 은 및 주석, 및 비스무스 및 주석, 금 및 비스무스를 각각 포함한다. 각 경우에 더 높은 녹는점의 금속은 전형적으로 더 낮은 녹는점의 금속의 아래에 배열된다. 또는, 만일 구성(1)의 얇은 필름 솔더 더미가 구성(2)의 얇은 필름 솔더 더미의 위에 위치하면, 구성(1)은 더 높은 녹는점을 갖는다. 2성분 시스템 외에 3성분, 4성분 및 고차시스템이 사용될 수 있다. 복합 요소 구성(multicomponent composition)은 공지의 효과를 달성하기 위하여 수정될 수 있다. 제 1 및 제 2 구성층을 위한 두께가 예를 들면 본드를 만들기 위해 원하는 녹는점 및, 열처리 및 내부발산 발생 후에 본드가 안정되어야 하는 연속적인 더 높은 온도에 기초하여 선택된다. 전형적으로 층들은 2 내지 10 마이크론의 결합된 두께를 갖는다. 구성층은 공지의 기술, 예를 들면 증착 또는 스퍼터링 기술과 같은 물리적 증기 증착(PVD) 또는 화학적 증기 증착(CVD)을 이용하여 증착될 수 있다. 사용되는 특정의 기술은 예를 들어 증착되는 재료 및 두께에 기초할 것이다.
제 1 및 제 2 구성층 사이의 하나 이상의 발산 장벽층은 제조동안 기판이 소정의 트래쉬홀드(threshold) 온도를 받을 때까지 구성층들 사이에서 원자의 내부 발산을 방지 또는 최소화한다. 기판이 트래쉬홀드온도 또는 그 이상의 온도를 받을 때, 발산 장벽은 그 효력을 상실하고, 트래쉬홀드 온도 및 제 2 구성층의 녹는점보다 높은 녹는점을 갖는 구성을 유발시키는 중요한 내부 발산을 구성층들 사이에서 허용한다. 발산 장벽층을 위한 적절한 재료는 예를 들어 플래티늄, 니켈, TiW, TiN, Ta 등을 포함한다.
발산 장벽층의 두께는 최소한 실제적인 작동 시간, 예를 들면 실내온도에서 막연히 최소한 수십 시간동안 및 부품들을 기판에 본딩하기 위해(또는 두 부품을 하나로 본딩하기 위해) 기판이 유지되는 온도에서 몇분/몇시간동안 구성층들 사이에서의 내부 발산을 억제하기에 효과적인 두께이다. 요구되는 시간은 예를 들면 동일한 기판에 본딩되는 부품의 수 및 부품들을 본딩하는데 요구되는 시간에 의존한다. 발산 장벽층 두께는 예를 들어 발산 장벽층 및 구성층 재료, 그리고 구조물이 겪은 열 히스토리에 의존할 것이다. 전형적으로 열처리 후 최종 구조물에서 층의 구성의 집중을 최소화하기 위하여 발산 장벽층의 두께를 최소화하는 것이 바람직하다. 그러나 발산 장벽층은 최종 구조물의 특징을 원하는 방식으로 수정, 예를 들어 최종 구조물을 경화 또는 연화, 접착력을 증가, 녹는점을 증가하기 위하여 이용될 수 있다. 발산 장벽층 두께는 전형적으로 5 내지 100nm이다. 구성층과 함께 발산 장벽층은 공지의 기술, 예를 들어 PVD 또는 CVD에 의하여 증착될 수 있다.
TAD 본딩층 구조물은 하나 이상의 추가적인 층, 예를 들어 기판과 제 1 구성층 사이의 발산을 방지하기 위한 장벽층 및/또는 기판 및 그 위의 층들 사이의 양호한 접착을 향상시키기 위한 제 1 구성층의 아래에 위치한 접착층을 선택적으로 포함할 수 있다. 예를 들면, 제 1 구성층이 금층, 크롬 장벽층 및 니켈 접착층인 것은 실리콘 기판상에 설치될 수 있다. 산화 방지막을 제 2 구성층 위에 또는 대기에 접하는 어떤 다른 층 위에 형성하는 것이 더욱 바람직할 것이다. 예를 들면 금과 같은 불활성 금속(noble metal)의 얇은 층 또는 얇은 폴리머 필름은, 주층이 이러한 층이 아니었다면 산화되고, 그것은 대기압 또는 플럭스(flux)을 낮추어 진공을 사용하는데 바람직하지 않을 때, 유용할 수 있다. 다른 재료들, 예를 들면 Lu와 같은 희귀 금속(rare earth metal)은 금속 및 산화물 사이의 접촉 효과의 향상을 돕기 위해 선택적으로 추가될 수 있다.
본딩층 구조물은 전형적으로 층별로 기판 표면 상에 형성된다. 대안적으로 하나 이상의 본딩층 구조물은 미리 형성될 수 있고, 그 후 기판에 본딩된다.
압축 또는 열 압축 본딩은 광 요소 및 제 2 구성층 사이에 형성될 수 있다. 이것은 예를 들어 인듐층 위의 얇은 금층(0.1 마이크론)에 의해 달성될 수 있고, 여기서 인듐층은 그 아래에 TAD 시스템의 다른 요소들을 갖는다. 금의 기계적인 변위는 상기 시스템으로 코팅된 구멍(pit)에 대한 렌즈의 압축 또는 열압축 본딩과 그에 이어 TAD 시스템의 원하는 리플로우 온도 상승을 발생시키기 위한 아닐링(annealing)에 의해 발생한다. 이 절차는 인듐 표면을 산화로부터 보호하고, 메탈 본딩에 대한 산화물을 생성하기 위해 여전히 렌즈 표면이 인듐과 기계적/화학적 접촉을 하는 것을 허용한다. 선택적으로 압축 또는 열압축 본딩은 제 2 구성층 위에 형성된 산화 표면의 존재하에 형성될 수 있다. 얇은 산화물은 본딩동안 파괴되고, 따라서 Si-0금속 본딩을 생성할 수 있는 제 2 구성층에 신금속(virgin metal)을 노출시킨다.
이 절차가 기계적으로 제 2 구성층에 존재하는 산화물 표면을 변형 및 분열시키고, 이에 따라 광 섬유(42) 또는 요소와 제 2 구성층 사이에 본딩을 형성하는 것은 어떠한 특정 이론에 구속되지 않고 믿어진다. 예를 들어 금(구성층(1)) 및 인듐(구성층(2)) 구조로부터 형성된 실리콘 산화 코팅 렌즈 및 구조물의 경우에 Si-O-In 본딩 인터페이스가 형성될 수 있다.
다음으로 구조물은 발산 장벽층이 제1 및 제 2 구성층 사이에서 원자들의 내부 발산을 방지하는 것이 더이상 효과적이지 않게 되는 온도까지 가열된다. 이 온도는 구성층들의 녹는점의 위 또는 아래일 수 있으나, 전형적으로 광 요소의 이동을 최소화하거나 제거하기 위하여 각 구성층의 녹는점의 아래이다. 온도는 예를 들어 구성층 및 발산 장벽층 재료에 의존한다. 전형적으로 열처리 온도는 리플로우 온도 아래의 어떤 온도도 될 수 있으며, 예를 들면 본딩에 사용되는 온도의 아래인 25 내지 100℃이다. 이 상승된 온도에서 충분한 시간을 갖고 발산 장벽층은 파괴되고 제 1 및 제 2 구성층 사이에서 원자 발산이 발생한다. 예를 들어 열처리 온도 및 시간, 그리고 구성층 및 발산 장벽층의 두께 및 구성에 따라 균질 구성(homogenous composition), 다양한 상태의 혼합 용액 또는 본딩 구조물을 위한 분류된 구성(graded composition)이 발생할 수 있다. 결과적인 구조물은 열처리 온도 및 제 2 구성층의 녹는점 모두보다 전체적인 녹는점이 높다(구조물 내의 녹는점은 구성적인 비균질성의 결과로서 변화할 수 있다). 결과적으로 광 요소는 자신의 위치에서 확실하게 본딩될 수 있고, 요소를 본딩시키는데 사용되는 온도보다 더 높은 온도에서조차 진행되는 열처리를 더 받을 수 있다.
이 기술에 따라 많은 광 섬유, 렌즈(22, 32) 및 기타 광 요소는 기판 표면상의 위치에 본딩 체계(bonding hierarchy)상 많은 유연성을 갖고 정확하게 본딩되고 고정(locking)될 수 있다. 광 요소를 본딩하는데 사용되는 상대적으로 낮은 온도 때문에 Au-Sn 공율물질(eutectic)과 같은 표준 솔더가 높은 온도에 의해 발생하는 부작용없이 사용될 수 있다. 본딩된 광 요소들의 고온 안정성 때문에 이 표준 솔더는 레이저 다이와 같은 고가 장치를 기판 표면에 연속적으로 본딩시키기 위해 사용될 수 있다.
Au-Sn 공융물질의 얇은 필름 및 준 공융물질 솔더(near eutectic solder)는 그들의 녹는점 바로 위에서 상대적으로 짧은 사용 수명(working life)(예를 들면, 10 내지 45초)을 갖는다. 따라서 레이저 다이를 기판에 부착하는 때에는 베이스 웨이퍼(110)온도를 그 녹는점 온도 아래에서 가능한 한 낮게 유지하는 것이 바람직하다. 이것은 자신의 위치에서 각 다이를 고정(tack)시키기 위해 압력 및 레이저 다이(12)를 통하여 열을 공급(pulsing)하는 것의 조합에 의해 달성될 수 있다. 만일 그 위에 증착된 솔더를 갖지 않는다면, 동일한 것이 후면 모니터 포토다이오드(18)를 위해 행해질 수 있다. 모든 광 요소가 본딩된 후에 솔더가 고체 상태 내부 발산하도록 하며, 어떠한 연속적인 열 공정(리드 본딩(lid bonding), 유연한 부착(flex attach), 보드부착(board attach) 등)동안 그것의 효과적인 녹는점을 (솔더 크립, 이완(relaxation), 표면 인장 재형성(surface tension reshaping) 등에 의해 유발되는) 어떠한 중요한 다이 운동을 방지할 수 있는 충분히 높은 온도까지 상승시키며, 베이스 웨이퍼(110)가 가열될 수 있다. 레이저 다이(12)는 예를 들어 일본의 토레이(Toray) 또는 독일의 칼 써스(Karl Suss)와 같은 회사로부터 상업적으로 이용 가능한 높은 정확성의 다이 본딩 장치를 가지고 본딩될 수 있다. 이러한 장비들은 다이 정렬을 비파괴적으로 결정하는 레이저 다이(12) 및 광 마이크로벤치(100) 모두의 기준점을 보고 높은 정확도의 본딩을 확실하게 하는 이러한 정보를 사용하기 위해 기계가 레이저 다이(12) 및/또는 베이스 웨이퍼(110)를 통해 형상화하도록 하는 적외선 비젼 포스트 검사(infra-red vision post inspection)와 함께 장착될 수 있다. 레이저 다이(12)의 광 축정렬의 능동적인 피드백의 이익없이 높은 정확성의 본딩 장치를 사용하여 다이를 본딩하기 위한 요구 때문에 마이크로벤치 부품 및 레이저 다이(12)가 IR 카메라를 사용하여 비파괴 정렬 검사를 위한 기준 부품들 및 그들 각각의 정렬을 통해 비젼을 방해하는 금속을 갖지 않는 것이 바람직하다. 추가적으로 레이저 다이(12)는, 만일 존재한다면, 동일한 리쏘그래픽 단계에서 정의된 능동 영역 및 정렬 기준을 갖고 최상으로 제작된다. 이것은 레이저, 웨이브 가이드 및 마이크로벤치의 정확한 정렬을 허용한다.
일단 레이저 다이(12), 후면 모니터 포토다이오드(18), 제 1 렌즈(22) 및 기타 리드(200)에 수용되는 광, 전기적, 기계적 요소들(예를 들어, 내부에서 요구된다면, 파장 고정기(wavelength locker), 파장 선택기, 분리기 등)이 자신의 위치에서 본딩되면, 아래에서 상세히 설명될 리드 본딩 단계인 단계 S140이 수행될 수 있다. 대안적으로는 리드(200)를 본딩하기에 앞서 단계 S160에서 잔존 광 요소는 베이스 웨이퍼(110)에 부착될 수 있다.
리드 부착과 관련하여 단계 S140에서 솔더 글라스와 같은 리드 본딩 재료(240)는 리드 씰링 표면(226)에 페이스트 형태로 적용될 수 있다. 대안적으로 솔더 글라스는 예를 들어 스크린, 패드 프린팅 또는 디스펜스 작업에 의해 적용될 수 있다. 본딩 재료(240)는 큐어링(curing)동안 형성된 생성 가스를 제거하기 위하여 리드(200) 상에서 큐어링될 수 있다. 이어서 도 4C에 도시된 바와 같이 리드 웨이퍼(210)는 베이스 웨이퍼(110)(또는 베이스 웨이퍼 부분에 적용되는 리드 웨이퍼 부분)에 적용될 수 있다. 이어서 웨이퍼(110, 210)를 건조시키기 위하여 리드 웨이퍼(210) 및 베이스 웨이퍼(110)는, 예를 들어 부분 진공, 건조 니트로겐(dry nitrogen) 또는 기타 불활성 가스 하에서 100℃ 위의 온도에서 베이킹(baking)될 수 있다. 이어서 웨이퍼들은 솔더 글라스의 본딩 온도까지 가열되고, 밀봉 씰을 각 리드(200) 및 각각의 광 마이크로벤치(100) 사이에 형성하기 위하여 압력이 리드 웨이퍼(210) 및 베이스 웨이퍼(110) 사이에 가해진다. 만일 요구된다면, 리드 웨이퍼(210) 및 베이스 웨이퍼(110)는 헬륨 분위기속의 리드(200)가 부풀어지도록(bulge) 하고, 부풀림 정도를 검사하여 통합된 리크(leak) 체크를 허용하는 몇몇 분위기에서 씰링될 수 있다.
리드 웨이퍼(210)는 비반사 코팅으로서 작용할 수 있는 리드 측벽(220)을 포함하는, 리드 웨이퍼(210) 아래에 배열된 실리콘 옥시나이트라이드층과 같은 절연층을 가질 수 있다. 추가적으로 리드 웨이퍼(210)는 리드 웨이퍼(210)의 상면에 미리 패터닝된 하드 마스크를 가질 수 있다. 이것은 도 4B 및 4E에 도시된 바와 같이 미세 광학 장치 패키지의 싱귤레이션(singulation) 전에 미세 광학 장치 패키지 상에서 리드 웨이퍼(210)의 상면의 위로부터 전기적 및/또는 광 검사(probing)가 수행될 수 있는 개구(232)를 제공하기 위하여 본딩된 어셈블리가 분리 채널(228)에서 습식 에칭 또는 건식 에칭되는 것을 허용한다. 대안적으로는 개구(232)를 제공하고, 상부 베이스 웨이퍼 표면(70) 상에서 금속화를 검사하도록 리드(200)를 분리하기 위하여 상면을 통해 부분적으로 다이스(dice)될 수 있다. 이것은 단계 155에서, 레이저 다이 번인(laser die burn-in) 및 고주파 검사가 웨이퍼 단계에서 이루어지는 것을 허용한다.
제 2 렌즈(32)가 각각의 마이크로벤치(100)에 위치되기 전에 리드(200)가 베이스 웨이퍼(110)에 대하여 씰링되는 경우에 대해서, 베이스 웨이퍼(110) 내의 각각의 경사진 표면에 의해 리드 웨이퍼(210) 내에서 빔(beam) 부분이 에칭되거나 다이스된 개구(232)를 통하여 위쪽으로 편향될 수 있다. 웨이퍼 단계에서 광 마이크로벤치(100)를 시험하는 능력은 빔 편향기에 의해 제공될 수 있으며, 이는 시험을 위한 마이크로벤치(100)의 표면으로부터 광 빔을 향한다. 광 빔은 광 마이크로벤치(100)으로부터 떨어져서 위쪽으로 향하므로, 광 마이크로벤치(100)는 병렬로 시험될 수 있고, 마이크로벤치(100)는 웨이퍼 형태로 상호간에 연결된다.
이방성으로 에칭(100)된 실리콘 베이스 웨이퍼(110)에 대해서, 경사진 표면은 평면(111)을 포함할 수 있다. 제 3 요부 간극 표면(54)이 추가되기 전에, 경사진 표면은 제 2 렌즈 구멍(pit)(30)의 경사진 측벽(33)이 될 수 있다. 경사진 측벽(33)은 도 1A 및 2A에 도시된 바와 같이, 레이저 다이(12)의 광 축 및 표면 방향을 따라 배열될 수 있다. 대안적으로는 경사진 표면은 도 2B에 도시된 바와 같이, 섬유 홈(40)의 경사진 측벽(41)이 될 수 있다. 경사된 표면의 반사도를 향상시키기 위하여 그것은 금속화 또는 다른 처리가 행해질 수 있다. 어느 한 경우에 리드 웨이퍼(210)의 다이스되거나 에칭된 개구(232)는 경사된 측벽(33, 41)으로부터 변향된 빛이 개구(232)를 통하여 향하는 것을 허용하는 지점에 위치될 수 있다. 번인과 같은 공정에 대하여 광 신호는 많은 장치가 효과적으로 한번에 모니터링되는 것을 허용하기 위해 형상화되고 분석될 수 있다. 만일 패키지가 빛이 표면에 수직으로 방출되는 것을 요구한다면 이 표면들은 손상되지 않고 남겨질 수 있고, 이 경우에 요구된다면 실리콘(100) 컷 오프 축은 45도 반사 표면을 제공할 수 있다.
리드(200) 외부의 제 2 볼 렌즈(32)는 예를 들어 솔더 글라스, 에폭시 또는 기타 적절한 재료 및 방법을 사용하여 본딩될 수 있다. 이어서 마이크로벤치(100)는 섬유 부분(42)을 받아들일 준비가 된 일측에 개방 섬유 v-홈을 남기도록 다이스되어 분리될 수 있다. 대안적으로는 도 8B에 도시된 바와 같이, 베이스 웨이퍼(110)은 제 2 렌즈(32)에 인접하게 다이스되고, 능동적으로 페룰 또는 슬리브 어셈블리와 정렬될 수 있다.
2. 밀봉적으로 씰링된 도전성 비아를 갖는 마이크로벤치
a. 이방성 에칭
도 13a 내지 도 13c를 참조하면, 본 발명에 따른 밀봉적으로 씰링된 도전성 비아(90)를 갖는 광 마이크로벤치(100)의 제조를 위한 대표적인 절차의 흐름도가 도시된다. 절차는 도 14a 내지 도 14g에 도시된다. 도 12a 및 12b에 도시된 바와 같이 절차는 몇몇 점에서는 도전성 리드(14)를 갖는 미세 광학 장치 패키지(500)를 제조하기 위한 절차와 유사할 수 있다. 따라서 유사한 절차 단계가 포함되는 곳에는 도 12a 및 12b에 사용된 동일한 참조번호는 도 13a 내지 13c에서도 사용된다. 그러나 동일한 참조번호가 특정 단계에 사용되는 경우에도 그 단계는 비아 절차와 리드선 절차 모두에서 동일한 것과 동일할 필요는 없고, 가능한 몇몇 구분은 다음과 같이 본문에 기재된다. 리드선 절차에서처럼 비아 흐름도 및 절차는 단일의 광 마이크로벤치(100)를 베이스 웨이퍼(110) 상에 만드는 것에 관해 실시예를 통하여 기재된다. 그러나 실질적으로 단일의 베이스 웨이퍼(110) 상에 복수의 광 마이크로벤치(100)를 제조, 조립 및 시험하는 것이 바람직하는 것이 이해되어야 한다.
이제 도 13a로 돌아와, 단계 S10에서 시작되며, 단계 S10에서 시작하며, 양면 폴리싱 실리콘 웨이퍼(100)와 같은 베이스 웨이퍼(110)가 제공된다. 베이스 웨이퍼(110)의 두께는 편의상 525±25 마이크론의 두께일 수 있고, 비록 낮은 저항을 사용될 수 있지만 저항은 고주파수 전형적으로 고주파 적용을 위해 1000 ohm-cm보다 크다. 단계 S20에서 도 14a에서처럼 저응력 실리콘 나이트라이드의 제 1 하드 마스크(301)가 베이스 웨이퍼(110) 상에 제공된다.
단계 S21에서 베이스 웨이퍼(110)의 후면(72) 상에 배열된 하드 마스크(301)는 예를 들면 도 14b에 도시된 바와 같이 각 비아를 위한 개구(304)를 설치하기 위해 표준 리쏘그래피 및 드라이 에칭 기술을 사용하여 패터닝될 수 있다. 이어서 단계 S22에서, 개구(304)로부터 교차하는 비아 개구(307)를 스패닝(spanning)하는 실리콘 나이트라이드 하드 마스크 막(302)을 남기기 위해 베이스 웨이퍼(110)를 통하여 피라미드형 핏(pit)(303)이 형성될 때까지 하드 마스크(301)의 개구(304)를 통하여 이방성으로 에칭될 수 있다. 피라미드형 핏(303)의 측벽(305)은 결정면 표면(111)을 포함한다. 목표 비아 개구(307)를 형성하기 위하여 공지의 베이스 웨이퍼 두께, 측벽 각도 및 원하는 막 간격(307)에 기초하여 후면(72)에 설치되는 목표 개구(304)의 크기가 계산될 수 있다.
단계 S22의 핏(303)을 에칭하는 단계 동안 개구(304)의 하드 마스크(301)는 나이트라이드층(306)을 개구(304)의 측면에 형성하기 위하여 언더컷될 수 있다. 핏 측벽(305)의 금속화 이전에 나이트라이드층(306)을 제거하는 것이 바람직하고, 나이트라이드층(306)은 층(306) 아래에서 핏 측벽(305)의 금속화를 방지하는 새도우를 형성하지 않는다. 층(306) 아래의 금속화 방지는 후에 증착되는 금속층과의 전기적인 연결을 방지할 수 있다.
단계 S23에서, 나이트라이드층(306)은 예를 들어 전형적으로 50 내지 200mT의 압력에서 CF4를 사용하여 드라이 에칭 단계에 의해 제거될 수 있다. 압력은 전형적으로 일반적으로 막 제거없이 핏 측벽(305)에 걸치는 웨이퍼의 에칭된 측 위에서 나이트라이드층(306)을 에칭하기에 충분한 값이다. 실리콘 나이트라이드는 에칭 절차에서 화학적으로 플루오르 이온 및 기타 플루오르 함유종에 의해 공격받을 수 있고, 압력은 짧은 거리에 걸쳐서 분자의 상당한 산란을 허용하기에 충분히 높기 때문에, 나이트라이드층(306)의 양측은 에칭되되, 여기서, 그것들은 실리콘 웨이퍼에 일측에서 본딩되거나 그렇지 않았다면 (반응기를 향하여) 쉴딩(shield)되었을 표면을 갖기 때문에 다른 모든 표면 상에서 실리콘 나이트라이드의 단지 한면이 공격받는다. 따라서, 나이트라이드층(306)은 개구 막(302) 또는 베이스 웨이퍼(110)의 나머지 부분의 나이트라이드를 완전히 제거하지 않고도 제거될 수있다. 이 단계는, 만일 코팅이 사용된다면, 다음의 나이트라이드 코팅후와 같이 다른 단계에서 수행될 수 있으나, 측벽(305) 및 표면 상의 금속화의 연속성을 확실히 하기 위해서 금속화 전에 수행되어야 한다. 단계는 생략될 수 있으며, 예를 들면, 증착 공정동안 상당히 산란되는 경우, 나이트라이드층이 작은 경우, 또는 균일한 전도체 증착이 사용되는 경우이다. 나이트라이드 대신에 자기 부식되지 않는, 예를 들어 실리콘 옥사이드 또는 티타늄 디옥사이드인 기타 다른 하드 마스크가 사용될 수 있다.
다음으로 S24 단계에서, 도 14C에 도시된 바와 같이 저응력 나이트라이드(308)의 제 2 층이 설치된다. 제 2 나이트라이드층(308)은 제 1 나이트라이드층(301)과 유사한 두께(예를 들어 200-500nm)를 갖는 선택적인 절연층이고, 비아(90)를 전기적으로 차단하기 위하여 사용된다.
절차의 이러한 상태에서 하나의 평평한 평면(310)은 나이트라이드 막(302)에 의해 덮어지고, 하나의 에칭된 표면(72)은 제 2 나이트라이드층(308)의 두께와 제 1 실리콘나이트라이드층(301)의 두께의 합의 두배인 실리콘 나이트라이드 막(302)을 종료시키는 평면을 포함하는 하나 이상의 에칭된 핏-비아에 의해 덮어진다. 본 비아 방법은 습식 에칭 또는 드라이 에칭 중 어느 것이 수행되건 고도의 평평도를 갖는 최소한 하나의 베이스 웨이퍼(110)의 표면을 제공하며, 저항의 정확한 스핀코팅 및 실리콘 벤치 위에서 광 요소의 정렬을 위해 사용될 연속적인 장착 형상을 패터닝하기 위한 선택적인 접촉 리쏘그래피를 허용한다. 추가적으로, 본 방법은 어떠한 금속 또는 솔더가 적용되기 전에 제 2 나이트라이드 코팅을 수행하는 것을 허용하고, 결정된 응력의 균일한 코팅을 갖는 LPCVD 실리콘 나이트라이드 및 옥사이드의 사용을 허용한다.
이제 도 13 및 14에 도시된 절차로 돌아와서, 다음으로 베이스 웨이퍼(110)는 도 14D에 도시된 바와 같이, 단계 S25에서 금속층(309)으로 금속화된 그 후면(72)을 가질 수 있다. 선택적으로 이 금속화 단계는 새도우 마스크, 균일한 리프트오프 저항, 박판 패터닝된 저항 등을 통해 수행될 수 있다.
측벽(305)에 패터닝 기술을 사용하는 이점은 하나 이상의 종속 도전성 리드(14)가 단일의 트렌치(trench) 및 막을 통해 패터닝될 수 있다는 것이다. 예를 들어 단일 막을 갖는 사각형 트렌치에서 후면 금속, 측벽 금속을 패터닝하고, 실리콘 나이트라이드 막을 적절히 패터닝 및 에칭하고, 가장 위의 표면 금속을 패터닝함으로서 일련의 피드스루(feedthrough)는 만들어 질 수 있다.
이러한 구조물은 측벽 아래에서 비아를 통해 향상된 임피던스를 유지하는 라인을 벗겨내는 능력 및 전기 전도체의 더 높은 패키징 밀도를 허용한다. 이러한 방법으로 단일의 트렌치가 복수의, 유리하게는 많은 전도체를 위한 전선관이 될 수 있다.
대안적으로는 블랭킷 필름(blanket film)이 예를 들면 회전 플래너타리(plaetary)내에서 증착에 의해 증착될 수 있다. 금속층(309)은 후에 예를 들어 상기 언급된 저항 방법을 사용하여 습식 에칭에 의해 패터닝될 수 있다. 이 금속화는 금속층(309)(예를 들어 Cr/Ni/Au, TiW/Au 또는 Ti/Pt/Au)를 제공하고, 이는 실리콘 나이트라이드막(302)를 후면(72)으로부터 덮으며, 후면(72)까지 핏 측벽(305) 아래로 전도성을 제공한다. 금속은 실리콘 나이트라이드막(302)이 베이스 웨이퍼(110)의 평평한 평면측으로부터 제거 또는 부분 제거된 후에 자유로운 서있기에 충분한 기계적 강도를 갖도록 선택된다. 이것은 비아 개구(307)를 가로지르는 도전성의 밀봉씰을 제공한다. 예를 들어 20nm 두께의 Cr층, 그에 이어 200nm 두께의 Ni층, 그에 이어 500nm 두께의 Au층의 적층된 층은 예를 들어 비아 개구(307)를 가로질러 20 내지 35 마이크론으로 스패닝되기 위해서 충분한 기계적 강도를 갖는다. 더 얇거나 더 두꺼운 금속층이 사용될 수 있다. 추가적으로, 만일 더 큰 강도, 더 큰 막 또는 더 높은 전류가 요구된다면 상기 금속들은 더 두껍게 경제적으로 일렉트로플레이팅될 수 있다.
만일 블랭킷 금속화가 후면(72)에 사용된다면 패터닝은 다중 전기 접촉이 분리되도록 해야만 한다. 이 단계는 편의상 어느 단계에서도 수행될 수 있다. 패터닝은 상업적으로 이용 가능한 저항, 예를 들어 쉬플리 컴패니 L.L.C.의 전기증착저항 또는 박판저항을 사용하여 수행될 수 있다. 필름을 분리된 비아(90)들로 전기적으로 분리하기 위해 실리콘을 소량 관통하여, 기계적으로 금속을 다이스하는 것은 더욱 가능하다. 또다른 변화로서 비아를 베이스 웨이퍼(110)의 양측으로부터 습식 에칭 또는 드라이 에칭하는 것이 가능하고, 이는 동일한 공간에서 비아의 더 큰 패킹 밀도를 허용한다. 예를 들어, 만일 양면 습식 에칭이 사용된다면 각 경사 평면(111)은 또 다른 것에 근접하게 위치할 수 있다. 이것은 접지된 스트립 라인 또는 접지된 동일 평면 구성을 형성하기 위하여 정확한 실리콘 두께가 요구될 때 유용할 수 있다. 웨이퍼의 후측 상에 또는 비아가 리드 측에 사용되지 않는 경우에 어떠한 실리콘 위상(topology)을 제거하기에 적절한 높이의 솔더 볼 또는 솔더 기둥이 실리콘 패키지에 적용될 수 있다. 이것은 예를 들어 솔더 볼 슈터, 마스크 템플레이트, 플레이팅 등에 의해 수행될 수 있다. 패키지는 요구된다면 PWB에 직접적으로 장착된 표면이 될 수 있다. 더 나아가 패키지의 전기적 본드 패드는 분리 후에 그것들이 리드 프레임 어셈블리에 직접적으로 부착될 수 있는 방법으로 배열될 수 있다.
도 14d로 돌아와서, 베이스 웨이퍼(110)는 일반적으로 금으로 덮인 밀봉 금속층(309)을 갖고, 이는 후면(72)으로부터 하나 이상의 측벽 아래로 전기적인 연속성을 제공하고 실리콘 나이트라이드막(302)을 덮는다. 단계 S26에서 베이스 웨이퍼(110)는, 도 14e에 도시된 바와 같이 비아 개구에 배열된 금속층(309)을 에칭함 없이 실리콘 나이트라이드를 막으로부터 제거하기 위하여 평평한 표면 측으로부터 저항으로 코팅되고, 패터닝되고, 드라이 에칭된다. 어떠한 패턴도 평평한 표면(310)으로부터 개방될 수 있다. 예를 들어 금속층(309) 위의 나이트라이드층(301, 308)에는 일련의 홀, 그리드 또는 기타 형상이, 요구된다면 추가된 기계적 안정성을 나이트라이드가 제공하도록 하며 개방될 수 있다. 금속층(309)은 플라즈마 에칭을 위해 적절한 에칭 스톱으로서 작용할 수 있다. 게다가 비아(90)는 절연 재료(311)로 채워지거나 절차의 어떠한 적절한 단계에서 도 14g에 도시된 바와 같이 더 두껍게 플레이팅될 수 있다. 만일 양 측 및 측벽 상의 금속이 적절하게 패터닝될 수 있다면, 위에서 언급한 것처럼 나이트라이드는 다중 전도체를 허용하는 방법으로 패터닝될 수 있다. 일반적으로 사각형 형상이 패터닝 및 스페이싱하기 위해 사용된다.
절차의 이 단계로부터 공정은 도 12의 흐름도에 도시된 도전성 라인 방법에 관하여 위에서 제공된 유사한 방식으로 단계 S30 내지 S80 및 S110 내지 S160으로 진행될 수 있다. 본 비아 방법의 도 13을 참조하면 단계 S30 내지 S50은 도 14f에 도시된 바와 같이 비아(90)의 금속층(309)과 전기적으로 연결되는 도전성 라인(14)을 제공하기 위하여 평평한 표면(310)을 제공할 수 있다. 도전성 리드(14)는 후방 리드 장착 채널(64)에서는 요구되지 않기 때문에 단계 S90은 본 비아 방법에서 생략될 수 있다. 그러나 단계 S100은 도전성 리드를 후방 리드장착 채널(64)에 제공하기보다는, 리드(200)를 베이스 웨이퍼(110)에 씰링하기 위하여 금속층을 리드 장착 영역(60)(또는 만일, 못(moat)이 사용되지 않는다면 씰링 링으로서)의 베이스에 제공하기 위하여 수정될 수 있다.
다시, 위에서 언급된 것과 같은 표준화된 금속화는 리드(200)의 씰링 표면(226)의 형상 및 크기를 갖는 씰링 링을 제공하기 위하여 사용될 수 있다 금속 또는 글라스는 베이스 웨이퍼(110), 리드 웨이퍼(210) 또는 양자 모두의 위에 증착될 수 있다. 만일 실리콘 베이스 웨이퍼(110) 또는 베이스 웨이퍼(110) 위에 위치할 수 있는 다른 절연 필름에 습식될 솔더 글라스 또는 기타 접착제가 리드(200)를 씰링하기 위하여 사용된다면, 금속화 단계인 S100은 요구되지 않는다. 금속층이 적용될 수 있으며, 예를 들면 미세전자공학 분야에서 알려진 방법 중 새도우 마스킹, 리프트오프 또는 금속의 화학적 에칭에 의해서이다. 증착 또는 스퍼터링이 특정된 대부분의 단계는 또한 하나 이상의 금속에 대한 전기-화학적, 비전기적(electroless) 화학적 금속 증착 방법(플레이팅)을 이용할 수 있고, 요구된다면 예를 들어 씨드 공정 및 마스크의 패터닝 공정을 사용하는 것은 당해 기술 분야의 당업자에게는 명백할 것이다. 이것은 특히 상대적으로 두꺼운 층, 예를 들면 두꺼운 Au-Sn층 및 RF전달 라인과 같은 두꺼운 금함유층에 유용할 것이다. 화학적 플레이팅, CVD 및 PVD 방법의 어떠한 조합이 사용될 수 있다.
더욱이, 리드(lid) 부착과 관련하여, 단계 S140에서, 리드 접합 재료(240)는 이전 방법을 위하여 설명한 바와 같이 솔더 글라스를 포함할 수 있다. 대안적으로, 리드 라인들(14; lead lines)이 본 비아 방법의 후방 장착 채널(64) 내에 존재하지 않기 때문에 리드 접합 재료는 금속 솔더, 예를 들어 리드 밀봉 표면(226), 베이스 웨이퍼(100) 또는 양 부분들 상의 3 내지 8 마이크론 두께의 80:20 Au-Sn을 포함할 수 있다. 다른 금속들이 사용될 수 있을지라도 금속 솔더를 갖지 않는 표면은 전형적으로 Cr-Au와 같은 적셔지기 쉽고 접착성의 금속으로 금속화된다. 예를 들어, Pt가 적소에 또는 Ni와 함께 사용될 수 있다. 임의적으로, 금속 솔더를 주어진 영역으로 선택적으로 흐르게 하는 방법으로 Au층이 패터닝될 수 있으며, 또는 전체 밀봉 링이 패터닝될 수 있어 리드 부착 단계 S140 동안 원하는 곳인 솔더를 다소 위킹(wicking)시킨다. 전이 영역들이 있고 또는 보다 큰 표면 거칠기를 갖고 보다 두꺼운 금속 솔더 층이 그 영역 내의 밀봉을 위하여 요구된다면 이러한 배열은 유용할 수 있다. Au로 코팅된 금속 필름과 비교하여 금속 솔더가 실리콘 나이트라이드 또는 실리콘 표면을 쉽게 적시지 않을 것이기 때문에 이 기술은 Au-Sn 층 하에서 사용될 수 있을 뿐 아니라 하부 Cr-Ni 접착제/베리어층을 패터닝함으로서 사용될 수 있다.
실링(sealing) 공정은 전형적으로 예를 들어 He, Ar 또는 N2와 같은 불활성 가스를 갖는 제어된 환경 내에서 접합된 레이저 다이(12)로 리드 웨이퍼 및 베이스 웨이퍼(110)를 베이킹(baking)하는 것을 포함하여 존재하는 어떠한 수증기를 제거한다. 그 후, 리드(200)는 어떤 압력으로 부착되며, 일부는 금속 솔더의 리플로우 (reflow) 온도까지 가열된다. 임의적으로, 냉각될 때 밀봉된 영역이 대기압보다 현저하게 큰 압력을 갖도록 He의 압력 하에서 밀봉하는 것이 유리할 수 있다. 밀폐 밀봉을 형성하기 위하여 이 기술은 어떠한 시간 결과(time sequent)에서 패키지 내의 밀봉도(hermeticity) 또는 누설 속도의 수준을 모니터링하도록 할 것이다. 이는 자동화된 간섭계를 사용한 어떤 포인트에서 리드(200)의 휘어짐 또는 뒤틀림이 검사되도록 할 것이다. 이러한 측정 결과들은 패키지의 밀봉 수준의 표시를 제공할 수 있으며, 측정 가능한 누설을 손상시키는지 여부를 제공할 수 있다. 예를 들어, 패키지 체적이 1000 nl보다 작을 때, 10-8 torr 리터/초보다 큰 누설을 위한 드웰 (dwell) 시간은 비교적 짧으며, 리드(200)의 곡률을 실질적으로 변화시킨다. 도전성 리드 라인(lead line) 방법을 위하여 위에서 주어진 바와 같이 공정 단계들의 균형이 진행될 수 있다.
비아들(vias; 90)을 형성하기 위하여 위에서 설명한 공정에 대하여, 다수의 변화들이 가능하다. 예를 들어, 위에서 설명한 방법에서, 금속층(309)은 나이트라이드 멤브레인(302)의 에칭된 부분 상에 형성되며, 나이트라이드 멤브레인(302)은 평면 표면(310)으로부터 절단된다. 먼저 나이트라이드 멤브레인(302)의 평면 표면(310) 상에 금속층을 위치시키고 배면(72)으로부터 나이트라이드 멤브레인(302)를 절단하는 공정을 역으로 하는 것도 가능하다. 이 공정은 순응 레지스트(conformal resist)의 사용에 의하여 도움을 받을 것이다. 또한, 예를 들어, 전기적인 설계가 이 수준의 절연을 요구하지 않는다면, 제 2 나이트라이드 층(308)이 생략될 수 있다. 만일, 더 높은 수준의 전기적인 절연이 요구된다면, 제 2 나이트라이드 층(308)을 형성하는 공정 대신 또는 전에 핀홀(pinhole) 방지에 도움을 줄 수 있는 열 산화 단계가 수행될 수 있다. 비아들(90)이 건식 에칭에 의하여 형성될 때 이 공정이 유용할 수 있으며, 이는 그의 에칭된 표면 상에 높은 토폴로지(topology)를 갖게 할 수 있다.
동일한 또는 유사한 결과를 얻기 위하여 다른 재료들과 방법들이 대체될 수 있다는 것이 웨이퍼 처리 및 미세 가공 기술 분야의 지식을 가진 자에게 명백할 것이다. 예를 들어, 레이저 가공이 에칭 단계를 대체할 수 있으며, LPCVD 대신 사용되도록 충분한 품질을 가질 수 있는 특정 형태의 플라즈마 화학 기상 증착(PECVD)이 필름을 생산할 수 있다. 열 예산(thermal budget)을 감소시키고 금속화 단계 전보다는 웨이퍼 처리 후에 절연된 비아들을 제조하는 것과 같이 단계들의 순서를 현저하게 변화시키기 위하여 화학적 유기 금속 기상 증착(organometallic chemical vapor deposition; OMCVD)이 사용될 수 있다. 기상 증착 방법 대신 니켈과 금의 무전해 시딩(seeding) 또는 무전해 도금이 수행될 수 있다. 부품들이 담겨지고 교반되는 팔라디윰 클로라이드/제 1 주석 클로라이드 용액의 사용과 같은, 다른 방법들의 균일 전착성(throwing power)이 너무 제한될 때, 이는 높은 단차 비 바이어스에 유용하게 적용될 수 있다. 표면 상에서의 Pd 및/또는 Sn의 시드에 제공된 산 딥(acid dip) 내에서 클로라이드 염들은 감소된다. 그후 부품들은 무전해 Ni 용액 내로 담겨지며 도금이 일어난다. 인장강도를 갖고 Ni이 도금되면, 비아의 내부 상에 밀폐와 강건한 밀봉부를 만들기 위한 가장 우수한 결과들이 발견될 것이며, 따라서 니켈은 강제적으로 비아 피트(303; via pit)와 밀접하게 접촉하게 된다. 이는 무전해 금 내의 담금(immersion)에 뒤이을 수 있다.
b. 뚫려진 비아( apertured via )
도 15a 내지 도 15i는 내부에 형성된 다수의 구멍들을 갖는 밀봉적으로 밀봉된 도전성 비아들을 구성하는 본 발명에 따른 다른 공정을 개략적으로 도시한다. 도 15a를 참고하면, 에칭 멈춤층(602)이 웨이퍼(610) 상에 형성된다. 에칭 멈춤층(602)는 후속 비아 형성 에칭에서 에칭 멈춤부로서 효과적으로 작용할 수 있는 재료이다. 예를 들어, 에칭 멈춤층은 열 산화에 의하여 형성된 실리콘 디옥사이드와 같은 실리콘 옥사이드 또는 다른 공지된 재료이다. 에칭 멈춤층은 후속 에칭 공정 동안에 완전하게 침식되지 않을 두께로 증착된다. 전형적으로 두께는 0.5 내지 2 마이크론, 예를 들어 1 내지 2 마이크론이다.
도 15b를 참고하면, 에칭 멈춤층은 포토리소그래핑 및 건식 에칭 공정에 의하여 패터닝되어 에칭 멈춤 패턴(603)을 형성한다. 에칭 후에 남아있는 패턴은 형성될 비아 홀과 동일한 또는 다른 형상일 수 있으며 형성될 비아 홀의 주변을 넘어 연장된다.
적절한 재료, 두께 및 스트레스의 구조적 코팅막(604)은 웨이퍼(610)의 한 표면 상의 앞으로의 프리 스탠딩(future free standing) 멤브레인을 위하여 제공될 수 있다. 구조적 코팅막(604)은 하나 이상의 층들, 예를 들어 낮은 스트레스의 실리콘 나이트라이드 층, 폴리실리콘 층 또는 그 조합의 층을 포함할 수 있다. 구조적 코팅막(604)은 전형적으로 0.2 내지 1 마이크론의 두께이다. 에칭 멈춤 패턴(603)과 구조적 코팅막(604)을 형성하는데 사용된 재료들은, 예를 들어 에칭액에 따라 동일하거나 또는 다를 수 있다. 예를 들어, 형성될 멤브레인의 재료가 비아 에칭 공정에서 적절한 에칭 멈춤부로서 작용할 수 있거나 멤브레인을 형성하기 위하여 비아 에칭 공정 내에 충분하게 높은 균일성이 있다면 동일한 재료가 사용될 수 있다. 포토리소그래피 및 에칭 공정들을 이용하여 구조적인 코팅막이 패터닝된다. 이 목적을 위하여 금속 마스크가 임의적으로 사용될 수 있다.
도 15c 및 도 15d(도 16c의 부분적인 확대 도면)를 참고하면, 비아 홀들(606)이 나이트라이드 층(604)과 웨이퍼(610) 내에서 에칭 멈춤부와 반대편의 제 1 부분으로부터 에칭 멈춤부로 아래로 에칭된다. 에칭은 전형적으로 건식 에칭, 예를 들어 깊은 반응성 이온 에칭에 의하여 이방성으로 수행된다. 나이트라이드와 실리콘의 에칭은 동일한 단계 또는 다른 단계 내에서 수행될 수 있다. 비아 규격은 다양한 요소들에 의하여 좌우될 것이다. 예를 들어, 규격은 직경이 150 내지 250 마이크론, 깊이가 약 500 마이크론일 수 있다. 비아 홀은 다양한 형상을 가질 수 있으며, 전형적으로 원통형 형상이다.
도 15e 및 도 15f(도 15e의 평면도)를 참고하면, 이전에 형성된 멤브레인의 패터닝은 다음과 같이 수행된다. 포토리소그래피 및 하나 이상의 에칭 공정들, 예를 들어 반응성 이온 에칭과 같은 건식 에칭을 통하여 에칭 멈춤 패턴과 구조적 코팅막 내에 다수의 개구들(609)이 형성된다. 구조 상에 남아 있는 마스크가 제거된다. 개구들은 다양한 형상들, 예를 들어 원형, 정방형, 장방형, 아치형 등 그리고 그 조합의 형상을 가질 수 있다. 예를 들어, 개구들(609)은 설명한 바와 같이 모두 원형일 수 있으며, 또는 대안적으로 다양한 개구 형태들, 예를 들어 동축적인 것과 같은 형태로 중앙 원 주변에 원들의 일련의 아치 형태를 포함할 수 있다. 개구들의 규격은 후에 개구들이 금속 또는 다른 도전성 재료, 예를 들어 도프된 폴리실리콘으로 채워지게 할 것이다. 전형적으로, 개구들(609)은 적어도 하나의 1 내지 3 마이크론의 횡축을 갖는다. 고주파수 신호가 포함될 때, 이용된 설계는 최소한의 왜곡 및 손실을 갖고 신호들이 웨이퍼의 일 측으로부터 다른 측으로 전달되도록 해야 한다. 또한, 개구들(609)의 패턴은 기계적인 강도, 도전성, 충진의 용이함 등과 같은 하나 이상의 변수들을 위하여 최적화될 수 있다. 비아 홀 반대쪽의 멤브레인의 표면은 일반적으로 예를 들어, 수 마이크론 내의 평면이며, 이는 스핀 코팅 및 정밀 리소그래피 공정에 적합하게 한다.
도 15g를 참고하면, 다음으로 웨이퍼 상에 레지스트 마스크가 형성되며, 비아들의 측벽들이 하나 이상의 유전체층(605), 예를 들어 저응력 실리콘 나이트라이드와 같은 실리콘 나이트라이드 또는 열 실리콘 디옥사이드 또는 저온 실리콘 옥사이드와 같은 옥사이드 및 그 조합체로 부동태화된다. 유전체층(605)은 후속 공정 동안 비아 측벽의 노출된 영역을 보호한다. 유리하게는, 핀-홀의 존재를 최소화 또는 제거하기 위하여 유전체층은 제 1 층으로서 열 실리콘 디옥사이드층을 포함할 수 있다. 유전체층(605)은 전형적으로 0.1 내지 0.25 마이크론 두께에 순응적으로 증착되며, 개구들(609)을 밀폐해서는 안된다. 임의적으로, 멤브레인(608) 내의 개구들(609)의 형성 전에 유전체층이 형성될 수 있다.
도 15h 및 도 15i를 참고하면, 다음에 하나 이상의 금속층(612)으로 비아 홀들(606)이 기밀적으로 밀봉된다. 코팅재가 웨이퍼의 일측 또는 양측에 그리고 개구들(609)에 도포될 수 있어 내부에 플러그(plug)를 형성한다. 하나 이상의 금속층들은 예를 들어, 하나 이상의 접착제층, 확산 베리어 및 상단 도전체층을 포함할 수 있다. 금속층(612)은 예를 들어, 텅스텐, 티타늄, 플래티늄, 팔라듐, 크롬, 구리, 크롬, 니켈, 금 및 합금 그리고 예를 들어 Cr/Ni/Au, Cr/Au, Cr/Cu, Ti/Pt/Au 등의 그들의 조합체들을 포함할 수 있다. 기술적으로는 금속아 아닌 반면에, 구조는 또한 폴리실리콘층, 예를 들어 도프된 폴리실리콘을 포함할 수 있다. 금속층은 예를 들어, 스퍼터링 또는 기화와 같은 PVD, CVD 또는 무전해 또는 전해 도금 공정들에 의하여 증착될 수 있다. 금속층은 예를 들어, CVD 또는 도금 공정들에 의하여 선택적으로 증착될 수 있다. 예시적인 비아 밀봉 공정들이 설명될 것이다.
제 1의 예시적인 공정은 텅스텐과 같은 선택적 CVD-증착된 금속을 이용한다. 선택적 금속층을 위한 시드층(seed layer)은 먼저 금속이 형성될 표면 상에 형성될 수 있다. 텅스텐의 경우, 예를 들어, 높게 도프된 폴리실리콘과 같은 폴리-실리콘층은 시드/접착제 층으로 증착될 수 있다. 임의적으로, 폴리실리콘층은 웨이퍼(610)의 상부 표면 및 하부 표면 그리고 비아 측벽 상에서 패터닝된다. 텅스텐은 예를 들어, WF6을 이용한 CVD에 의하여 폴리실리콘 상에 선택적으로 그리고 순응적으로 증착되며, 멤브레인의 개구들(609)을 채울 수 있다. 상단 표면 내의 개구들(609)은 대안적으로 도전성 플러그에 관련된 기술 분야의 숙련된 자들에게 알려진 다른 기술을 통하여 폐쇄될 수 있다.
다른 예시적인 공정은 무전해 도금을 이용한다. 웨이퍼들은 먼저 다양한 화학제, 예를 들어 PdCl/SnCl를 이용하여 시드화되며(seeded) 감소되고 금속, 예를 들어 니켈로 무전해적으로 도금되어 개구들(609)을 채우고 비아 측벽 위에 층을 형성한다. 이는 담금 도금 공정, 예를 들어 담금 금(immersion gold) 공정에 뒤이을 수 있다. 다른 재료 및 도금 공정들이 대안적으로 이용될 수 있다. 증착된 필름의 두께는 가장 넓은 개구(609)의 폭의 1/2보다 커야만 한다. 표면으로의 접착을 보장하는 것을 돕기 위하여 금속은 압축 응력을 갖고 형성될 수 있다.
비아들을 금속화하기 위한 다른 예시적인 공정은 예를 들어 로타리 플래너터리(rotary planetary) 기화 시스템을 이용한 기화를 사용한다.
비아들이 밀봉적으로 막혀지면, 마이크로벤치(microbench) 요소들을 생성하기 위하여 추가적인 표면 금속 패터닝 및/또는 미세 가공 단차들(steps)이 형성될 수 있다. 본 출원의 다른 부분에서 설명된 일반적인 공정들은 상단(평면) 표면을 처리하기 위한 방법들을 포함한다. 비아 홀들(609; 막혀지지 않았다면)을 포함한 후면측은 레지스트, 예를 들어 쉬플리 컴패니, 엘.엘.씨. 사의 유용한 EAGLETM과 일렉트릭포릭 레지스트(electrophoric resist), 라미네이트 레지스트 또는 샤도우 마스크를 이용하여 패터닝될 수 있다. 비아는 임의적으로 PVD, CVD 또는 도금 공정에 의하여 금속, 예를 들어 구리 또는 니켈로 채워질 수 있다.
위의 리드 라인 및 비아 형성 공정 단계들이 예시적인 순서로 나타난 반면에, 다양한 단계들의 순서가 변화될 수 있다는 것을 본 기술 분야의 숙련된 자는 이해할 것이다. 버전(version0에 따라 숙련된 자는 이들 단계들, 그들의 순서, 다수의 보다 많은 단계들의 삽입, 층 두께를 변화시킬 수 있으며 그리/또는 그들의 목표 응력 등을 변화시킬 수 있다. 또한, 실리콘 미세 가공의 기술 분야에 정통한 자는 이 목적을 위하여 언급되지 않은 세정 단계들의 적절한 삽입, 적절한 리소그래피, 스트리핑(stripping), 코팅 기술 등을 인식할 것이다. 또한, 도전성 스퀘어(square) 주변의 도전성 슬롯을 생성함으로서 동일 평면 및 스트립 라인 형태의 전송 요소들의 효과를 모의 실험하는 것이 가능하다. 더욱이, 바닥측 상의 비아 패터닝은 핀 및 소켓과 같은 상호 연결을 형성하는 것을 돕는 방법으로 그리고 범프를 만들기 위하여 후에 리플로우(reflow)되는 솔더 볼을 수용하는 방법으로 수행될 수 있다.
3. 리드 제조
도 4b에 도시된 바와 같이, 리드(200)의 그리드를 제공하기 위하여 리드들(200)은 실리콘 리드 웨이퍼(210; silicon lid wafer)로부터 에칭될 수 있다. 그리드는 캐비티들(230)의 그리드(grid)를 포함하며, 각 캐비티(230)는 측벽으로 둘러싸여져 있다. 예시적인 실시예에서, 4개의 측벽들(220)이 각 측벽 종단에서 가로질러 각 캐비티(230)를 한정하는 사각형 주변을 형성한다. 도 4b의 평면도에서 도시된 바와 같이, 측벽들(220)은 종이의 평면 밖으로 연장된다. 각 리드(200) 사이의 공간은 임의적으로 리드 캐비티(230)와 동일한 깊이로 에칭 제거 또는 절단(dice) 제거될 수 있으며, 또는 양 방법으로 제거될 수 있어 리드들9200) 사이에 개별 채널들(228)을 형성한다.
본 발명에 따라, 도 4b에 도시된 리드(200)의 에칭된 그리드를 생성하기 위하여 다양한 방법들이 제공된다. 방법들 내의 주요 변화는 에칭 기술 및 이용된 리드 웨이퍼(210)에 있다. 에칭 기술 및 리드 웨이퍼(210)의 선택은 예를 들어, 설계, 측벽(220)의 원하는 광학 마무리를 얻기 위한 공정의 능력 및 리드(200)의 최종 규격에 좌우된다. 리드 측벽에 더하여 또는 리드 측벽에 대한 대안으로서 광이 표면에 부착된 리드 루프(250; lid roof)를 통하여 또는 베이스를 통하여 비추어질 수 있는 경우, 이들 표면들의 광학적인 마무리는 리드 웨이퍼(210)를 만들기 위하여 선택된 에칭의 종류 및 웨이퍼의 종류(예를 들어, SOI)에 영향을 준다.
두께를 최소화하는 것은 패킹 밀도를 최대화하고 그리고 도전성 리드 라인들(14)이 리드(200) 아래를 통과할 때 또는 예를 들어, 밀봉 글라스가 사용될 때의 리드(200) 아래의 유전체층 아래를 통과할 때 RF 성능 상의 영향을 최소화하기 때문에 측벽 두께는 적절한 기계적인 성능을 부여할 만큼 얇게 되도록 선택될 수 있다. RF 전력 또는 신호를 전송시키기 위한 도전성 리드 라인들(14)의 경우, RF 불연속성의 최소화가 필요하다. 따라서, 리드 라인(14)을 따라 전송될 가장 높은 주파수에서의 RF 파장의 작은 부분(fraction)으로 벽 폭을 유지하는 것이 바람직할 수 있으며 또는 그렇지 않으면 경계 조건에서의 이러한 변화에서 필드 상호 작용을 최소화 또는 제어하는 것이 바람직할 수 있다. 설계 주파수에서의 유전 상수(dielectric constant) 또는 흡수율 내의 단위 변화를 위한 보상을 위하여 보다 넓은 리드 벽 두께는 리드 라인 규격 내의 변화를 이용할 수 있다. 그러나, 보다 작은 리드들(200)을 위하여 불연속성 크기 자체를 최소화하는 것이 유리할 수 있다. 이들 고려 사항들이 구조체들(features)의 크기 및 파장에 관한 것이며 RF 전송을 어떻게 가장 잘 취급하는 가에 대한 다른 결정들은 다양한 주파수에서 이루어질 것이라는 점은 RF 설계의 기술 분야의 숙달된 자에게 명백할 것이다. 예를 들어, 보다 높은 주파수에서, 신호들이 실리콘 표면(70) 상으로 또는 리드(200) 아래로 전파될 것이라면, 기판 상의 두꺼운 옥사이드 및 매우 얇은 측벽들(즉, 10 내지 50 마이크론)이 사용될 수 있다. 이 방법에서, 보다 높은 유전 상수 재료(예를 들어, 실리콘 및 솔더 글라스)와의 필드 상호 작용은 최소화될 수 있다.
일반적으로, 얇은 측벽(220)의 그리드를 구성하기 위하여 실리콘 웨이퍼의 매우 낮은 거칠기 이방성 딥(deep) 실리콘 건식 또는 습식 에칭 또는 이들 두 공정들의 조합이 사용될 수 있어 리드 장착 채널들(62, 64, 66, 68) 내로의 밀봉을 위하여 또는 대안적으로는 베이스 웨이퍼(110)의 표면에 대한 밀봉을 위하여 개방 캐비티들(230)의 그리드를 제공한다. 수직 딥(deep) 에칭은 예를 들어, 보쉬(Bosch) 또는 사이로제닉(Cyrogenic) 공정 또는 다른 적합한 이방성 건식 에칭 공정을 사용하여 수행될 수 있다. 알카텔, 서페이스 테크놀로지 시스템 사 및 프라즈마섬 사에 의하여 제조된 것과 같은 상업적 플라즈마 에처(etcher)가 이용될 수 있다.
에칭된 측벽(220)은 전형적으로 고도로 매끄러운 표면들을 가지며, 적어도 그 영역에서 빛은 측벽들(220) 통과한다. 예를 들어, 1250 내지 1650 nm 파장에서 10& 이하의 스캐터링 손실(scattering loss)을 유지하기 위하여 25 nm보다 적은 거칠기가 필요할 수 있다. 주어진 거칠기와 주어진 파장을 위한 스캐터링의 정도가, 예를 들어 존재하는 표면 거칠기 형태, 광의 파장 및 입사각의 함수임을 명확하게 해야만 한다. 원하는 측벽 높이 및 리드 두께는 예를 들어 캐비티 내에 수용될 장치의 높이 및 그들이 필요로 하는 표면의 함수이다.
a. 실리콘 내의 건식 에칭
본 발명에 따른 리드 그리드를 제조하기 위한 제 1 방법은 실리콘 리드 웨이퍼(210)의 건식 에칭, 예를 들어 이방성 건식 에칭을 포함한다. 실리콘 웨이퍼(210)는 (100), (110) 또는 (111) 실리콘과 같은 어떤 표준 방향을 포함한다. 건식 에칭은 예를 들어, 보쉬(Bosch) 공정을 이용한 교호적인 패시베이션(passivation)과 에칭 사이클로 수행될 수 있다. 전형적으로, 사이클들은 짧은 간격과 비교적 느린 속도를 갖는다. 원하는 표면 거칠기 수준을 얻는 것을 돕기 위하여 후 연마 공정이 임의적으로 사용될 수 있다. 이러한 연마 공정은 예를 들어, 산화 및 스트립핑 공정 또는 등방성 화학 연마 공정을 포함한다.
b. 실리콘 내의 SOI 건식 에칭
위에서 설명한 방법과 유사한 방법에서, 리드(200)의 그리드는 건식 에칭, 예를 들어 SOI 리드 웨이퍼(210)의 이방성 건식 에칭에 의하여 제조된다. SOI 리드 웨이퍼(210)는 예를 들어, 핸들 층(예를 들어, 400 마이크론 두께)과 장치 층(예를 들어, 40 마이크론 두께) 사이에 배치된 두꺼운 옥사이드 층(예를 들어, 1 마이크론 두께)을 포함할 수 있다. 리드 루프(250)는 장치 층으로부터 형성되며, 리드 측벽(220)은 핸들 층으로부터 형성된다.
SOI 리드 웨이퍼(210)가 일반적으로 동일한 품질의 실리콘 웨이퍼보다 고가인 반면에, 에칭 멈춤부로서 작용할 수 있는 옥사이드층과 같이 SOI 웨이퍼의 건식 에칭은 에칭 깊이의 보다 우수한 제어를 제공할 수 있다. 웨이퍼를 가로지르는 에칭 깊이 면에서의 변화가 최소화될 수 있으며, 따라서 균일한 구조 및 부드럽고 균일한 장치 층(또는 리드) 표면의 결과를 낳는다.
위의 양 건식 에칭 방법들을 위하여, 박스의 4 평면 평행 측표면과는 다른 형상들을 갖는 광학 측벽들(220)을 한정하기 위하여 건식 에칭이 사용될 수 있다. 예를 들어, 격자, 프리즘 또는 원통형 렌즈와 같은 형상이 측벽들(220) 상과 같은, 하나 이상의 실리콘 표면 상에 한정될 수 있다. 따라서 부가된 광학 기능은 리드(200) 내로 추가되어 보다 복잡한 광학 및 기계적인 설계를 가능하게 한다.
c. 실리콘 내의 습식 결정학적 에칭
본 발명에 따른 리드를 제조하는 제 3 방법은 (110) 실리콘의 결정학적 에칭을 포함하며, 여기서 마스크 개구(들)의 선택된 엣지의 정렬은 [110] 축을 따라서 이루어진다. 결정학적 에칭은 그 후 예를 들어, KOH 및 EDP를 기반으로 하는 에치들과 같은 공지된 결정학적 에치들(etches)을 이용한 마스크 개구들을 통한 에칭에 의하여 수행될 수 있다. (110) 웨이퍼의 표면에 대하여 적절하게 정렬될 때, 에칭은 다수의 리드 캐비티들을 생성하며, 각 캐비티는 2개의 평면의 평행한 마주보는 격벽들을 갖되, 측벽들은 실질적으로 리드 웨이퍼의 평면에 수직이고 매우 부드러운 측벽 표면을 갖는다.
이 방법에서, {111} 평면들이 광학 IR 전송을 위하여 사용된 평면형 수직 측벽들을 생성하기 대문에 <111> 에칭 속도를 억누르는 것이 유리할 수 있다. 예를 들어, {100} 평면에 대한 에칭 속도보다 {111} 평면에 대한 에칭 속도가 약 60 내지 150배 느리다. {100} 평면에 관련한 {111} 평면의 에칭 속도는 다른 에천트(etchant)에 대해서 달라진다. 에칭 속도 면에서의 차이는 {111} 평면을 편탄화시키는 것을 도와주기 위하여 사용될 수 있다. 예를 들어, 마스크와 실리콘의 미스얼라이먼트가 스텝 불량을 생성할 때, 시작 표면 거칠기가 너무 클 때 그리고/또는 보다 큰 정도의 측벽 평탄화가 필요할 때, 이러한 것이 요구될 수 있다. 캐비티의 다른 2개의 측벽들은 제 1 측벽들에 대하여 예를 들어, 70.5°각이진 수직 측벽들로 형상화될 수 있다. 대안적으로, 90°각도를 갖는 박스가 에칭될 수 있다.
습식 결정학적 에칭이 단독을 사용될 때, 에칭 동안 작은 정도의 웨징(wedging)이 형성될 수 있다는 것 또한 주목해야만 한다. 웨징은 벽을 통과한 조준된 빔의 일부 편향을 야기하는 것으로 믿어진다. 어떤 특정 이론에 구속될 의도 없이, 웨이징(wedging)은 느린 {111} 어택 속도(attack rate)에 의하여 야기되며 에칭된 측벽(220)의 상단은 에칭의 종단에서 또는 종단 근처에서만 노출되는 측벽 바닥에서의 {111} 평면 영역보다 더 상당히 오랜 기간 동안 어택에 노출된다는 것으로 믿어진다. 교반 방법을 변화시킴에 따라 에칭 속도가 느려지며, 또는 결정 평면의 상대적 에칭 속도를 변화시키는 것은 이 효과를 감소시킬 수 있거나 거의 제거할 수 있다.
d. SOI 내의 습식 결정학적 에칭
다른 리드 제조 방법으로서, 위에서 설명된 습식 결정학적 에칭 방법에 (위에서 설명한 형태의) SOI 웨이퍼가 사용될 수 있다. SOI로서, 절연체 층이 에칭 멈춤부로 사용될 수 있다. SOI 웨이퍼의 결정학적 에칭은 실리콘 웨이퍼 에칭 기술보다 더욱 균일한 리드 루프 두께 및 리드 루프(250)를 통한 광학 빔의 통과를 위한 적절한 평탄함(smoothness)의 결과를 낳을 수 있다.
e. 건식과 습식이 결합된 에칭
본 발명에 따른 또다른 리드 제조 방법으로서, 후속 습식 에칭 단계와 함께 SOI 웨이퍼 내의 딥 반응성 이온 에칭과 같은 건식 에칭이 수행될 수 있다. 이 방법은 "실리콘 내의 SOI 건식 에칭"을 참고로 하여 위에서 설명한 것과 같은 제 1의 건식 에칭 단계를 포함할 수 있다. 임의적으로 부드럽고 리드 웨이퍼(210)의 평면에 수직인 측벽(220, 221)을 제공하는 방법으로 건식 에칭 단계가 수행될 수 있다. 건식 에칭은 크리스탈 축에 정렬된 마스크로 세밀하게 수행될 수 있으며, 따라서 후속 습식 에칭 단계에 의하여 최소한의 표면 불규칙성 제거가 요구된다.
어떠한 최종 거칠기와 수직으로부터의 각 변형을 교정하기 위하여 그 후 결정학적 습식 에칭이 수행될 수 있다. 요구된 표면 평탄의 정도에 따라 습식 에칭은 전형적으로 최고 30분까지 수 분 동안 진행된다. 보다 짧은 에칭 시간을 이용하여 평탄을 이루는 것이 바람직할 수 있다. 따라서, 초기 건식 에칭 단계에서 낮은 표면 거칠기(예를 들어, 50내지 10 nm 이하)를 이루는 것이 바람직하다.
결정학적 후 에칭 동안에 어택당한 부가적인 결정 평면을 노출시킴으로서 노칭(notching)은 {111} 경계 측벽 표면의 질을 줄일 수 있다. 에칭 공정 동안, 옥사이드 멈춤부 상에서 늦은 영역이 평탄화될 때까지 에칭은 계속된다. 이 "과도 에칭" 주기 동안, 플라즈마 에천트와의 상호 작용에 의하여 옥사이드에 먼저 노출된 영역들은 옥사이드 표면 상에서 표면 전하 구축(surface charge build-up)을 겪을 수 있다. 이는 하부 주변 측벽 상의 국부 패시베이션을 파괴할 수 있고, 실리콘이 바깥쪽으로 에칭되는 것을 야기할 수 있으며, 또는 옥사이드 표면을 따라서 언더컷(undercut)할 수 있다. 건식 에칭만이 사용된다면, 이 효과는 후 습식 에칭이 사용되면 나타나는 효과만큼 중요하지는 않다. 언더커팅은 결정 평면을 노출시킬 수 있어 측벽의 세정된 이방성 습식 에칭 표면을 더욱 주목하게 한다(challenging). 따라서, 에칭 엔드포인트(endpoint)를 감지할 수 있는 기계 또는 노칭 효과를 최소화시키기 위하여 과정 중에 에칭 공정을 경고할 수 있는 장치를 사용하는 것이 바람직하다. 엔드포인트 감지 시스템은 예를 들어, 미국 뉴햄프셔 포츠마우스의 STS 시스템 사에서 제조한 상업적으로 유용한 것이다.
위의 건식 및 습식 에칭 공정이 SOI 웨이퍼 상에서 수행될 수 있는 반면에, SOI 웨이퍼보다는 실리콘 웨이퍼를 사용하는 것이 가능하다.
f. " 베네티안 블라인드( Venetian blind )" 접근
도 16a 내지 도 16d를 참고하면, 본 발명에 따른 다른 리드 제조 방법은 베네티안 블라인드(Venetian blind)" 접근을 이용한다. 이 접근은 습식 에칭(습식 이방성 결정학적 에칭)을 포함하며, 이 에칭에서 일련의 얇은 장방형 피트들(231; pits)이 서로에 대하여 인접하게 리드 웨이퍼(210) 내로 에칭된다. 리드 웨이퍼(210)은, 예를 들어 (110)을 포함할 수 있다. 피트들(231; pits)은 리드 웨이퍼(210)의 표면 내로 패터닝되어 도 16a에 도시된 바와 같이 각 캐비티(230)가 형성될 실리콘 리드 웨이퍼(210)의 영역을 덮는다. 얇은 분리 벽들(233)은 도 16a에 도시된 바와 같이 각 슬롯 쌍들 사이에 배치된다. 전형적으로 분리 벽들(233)은, 예를 들어 0 내지 50 마이크론의 두께를 갖는다. 마스크 상의 각 피트 개구들 사이의 공간은 분리 벽들(233)이 에칭 공정의 최종 단계에 임박해서 붕괴 및/또는 에칭 제거되도록 선택될 수 있다. 대안적으로, 광학 신호 전송을 위하여 사용될 2개의 측벽(221) 상의 표면 거칠기를 증가시킬 수 있기 때문에 비록 덜 바람직할 수 있을지라도 이방성 에칭이 분리벽(233)을 제거하기 위하여 사용될 수 있다.
베네티안 블라인드 접근은 각 캐비티(230)를 위한 단일 개구를 갖는 마스크를 통한 에칭에 의하여 다른 가능한 것보다 작은 캐비티가 형성되는 것을 허용할 수 있다. 예를 들어, 도 16c 및 도 16d를 참고로 하여 단일 캐비티(241)로서의 캐비티 형성과 베네티안 블라인드 접근 사이의 차이점들이 설명된다. 예를 들어, 도 16c는 동일한 전체 폭(폭은 피트(231)의 장축을 따르는 규격을 언급함)과 쇄선들 (222) 사이의 동일한 빈(cleared) 폭을 갖는 캐비티들을 만들기 위한 두 가지 접근을 도시한다. 도 16d는 결합된 피트들(231)의 길이와 일치하는 길이를 갖는 단일 피트(242)를 나타낸다 (길이는 선들(222)을 따르는 방향을 언급함). 쇄선들(222) 사이의 영역은 피트들(231)로 둘러 쌓여진 전체 캐비티(237) 내의 완전하게 빈 영역을 나타낸다. 다시 말해, 쇄선들(222)과 평행한 측부들을 갖는 큐브(cube)를 캐비티(237) 내로 삽입할 수 있으며, 큐브는 일반적으로 캐비티(237) 내에서 보여지는 어떠한 면들(facets ; 235)에 간섭하지 않는다. 큐브는 실질적으로 피트(231)의 바닥 상의 평평한 부분에 실질적으로 놓여질 것이다. 물론, 큐브의 길이는 캐비티(237)의 길이에 좌우될 것이다.
넓은 개방부를 패터닝하고 깊이(D)까지 에칭함으로서 대표적인 캐비티(241)가 만들어질 수 있다. D에서, 이 캐비티(241, 242)는 쇄선들(222) 사이에 배치된 폭을 포함한다. 대응하는 명확한(cleared) 길이는 캐비티(241)의 길이에 의하여 설정된다. 반대로, 캐비티 형성을 위하여 베네티안 블라인드 접근을 이용하여 에칭된 깊이(D)를 갖는 일련의 피트들(231)이 제공된다.
도 16c에 도시된 2개의 캐비티들(237, 241)은 일반적으로 동일한 폭, 동일한 명확한 영역 및 다른 길이를 갖는다. 단일의 연속적인 마스크 개구로부터 에칭된 피트(231)의 명확한 폭은, 예를 들어 에칭 깊이를 감소시키고 마스크 패턴을 넓힘으로서 또는 그 조합에 의하여 연장될 수 있다. 만일 깊이와 폭이 고정되면, 또는 보다 작은 리드를 제공하기 위하여 깊이와 폭이 최소한으로 유지되는 것을 바란다면, 피트(231)의 길이는 깊이 및 폭에 의하여 억제된다. 도 16d는 폭과 관계없이 단일 캐비티(242)가 길이 면에서 연장된다면 그 결과를 나타낸다. 이 경우, 캐비티(241)는 주어진 폭 및 깊이를 위한 캐비티 길이(또는 "단위 길이")의 제한을 나타낸다. 그러나, 도 16c에 도시된 바와 같이, 캐비티(241)와는 반대로 캐비티(237)를 다수의 피트들(231)로 분리함으로서 캐비티(237)의 길이는 폭의 증가 또는 깊이의 감소 없이 연장될 수 있다. 다시 말해, 이용된 피트(231)의 수를 변화시킴으로서 캐비티(237)의 최종 길이는 자유롭게 선택될 수 있다. 주어진 길이, 폭 및 깊이를 위하여 캐비티(237)를 형성하기 위한 피트들(231)의 수는 다음의 전체 수까지의 비율 근처의, 개별 피트 길이에 대한 원하는 전체 길이의 비율에 의하여 주어진다. 캐비티(237)는 최소 2개의 피트들(231)에 의하여 형성될 수 있다.
도 16a 내지 도 16d에 도시된 리드들의 형성에서, 공정은 바람직한 캐비티(237)의 원하는 규격에 기초한 적절한 방향 및 크기의 리드 웨이퍼(210)를 선택하면서 시작될 수 있다. 그 후, 원하는 실리콘 에천트에 기초한 습식 실리콘 에칭을 위하여 적절한 하드(hard) 마스킹 재료가 증착될 수 있다.(예를 들어, KOH 에천트를 갖는 실리콘 나이트라이드 마스크) 다음으로, 간단한 포토리소그래피 및 실리콘 나이트라이드의 건식 또는 습식 에칭으로 하드 마스크가 정렬되고 패턴닝된다. 그 후에, 에천트 내에서 리드 웨이퍼(219)가 선택된 깊이까지 에칭된다.
이 점에서, 피트들(231)이 형성되고 마무리된다. (110)-에칭 경우에서 캐비티(237)를 형성하기 위하여 다수의 피트들(231)이 사용된다면, 피트들(231) 사이의 분리 벽들(233)을 제거하기 위하여 임의적으로 부가적인 단계들이 사용될 수 있다. 이러한 부가적인 단계들은, 예를 들어 벽들을 기계적으로 파괴하는 단계, 등방성 에천트로 에칭하는 단계 등을 포함한다. 마스크 언더컷에 의하여 분리 벽들(231)이 이방성 습식 에칭의 마무리 단계에서 사라지도록 원래의 마스크 패턴이 설계될 수 있다. 이 공정을 위해서도 필요하다면, SOI 리드 웨이퍼가 에칭 멈춤부로서 사용될 수 있다.
e. 45°에서의 결정학적 에칭
본 발명에 따른 다른 예시적인 리드 제조 방법으로서, 도 17a 및 도 17b에 도시된 바와 같이, <100> 방향에 대하여 45° 각도로 향한 마스크를 갖는 (100) 실리콘의 결정학적 에칭이 사용될 수 있다
리드 웨이퍼(210) 위에 사각형 마스크 개구(351)가 제공될 수 있다. 리드(300)를 생성하는 과정에서, 넓은 전체 리드 크기는 빔이 통과될 수 있는 적절하게 치수화된 측벽(321)을 생성하기 위하여 요구될 수 있다. 리드(300)는 접착제가 도포될 수 있는 평평한 리드 밀봉 표면(326)을 가질 수 있다. 예를 들어, 리드 밀봉표면(326)의 규격이 고정되었다는 것을 가정하면, 본 발명에 의하여 형성된 리드(300)의 규격은, 예를 들어 측벽(321)의 원하는 크기에 의하여 지시를 받는다. 측벽(321)이 날카로운 정점 B를 갖는 삼각형을 형성하는 점에서 임계 깊이 B에 도달하기 전에, 측벽(321)은 꼭대기가 잘려진 삼각형을 포함한다. 에칭이 진행됨에 따라 더욱 깊어지고 좁아짐으로서 측벽(321)의 형상은 동시에 일반적인 삼각형 형상으로 접근한다. 측벽 엣지의 각도는 일반적으로 일정하다. 임계 깊이에서, 측벽들(321)은 일반적인 삼각형 측벽(321)을 제공하는 것을 만족시킨다. 에칭이 계속됨에 따라 각 측벽(321)의 엣지는 서로 계속 접근하며 바닥 정점은 에칭이 수행되는 곳으로부터 상부 웨이퍼를 향하여 이동한다. 충분한 시간 동안 에칭이 계속된다면, 삼각형의 수직 측벽(321)은 없어지고 단지 수직 표면을 갖지 않는 피라미드형 피트가 남는다. 이는 마치 리드 웨이퍼(310)가 보다 넓은 개구로 패터닝되고 전형적인 방법으로 {111} 평면들에 평행하게 정렬된 것과 동일한 피트이다.
예를 들어, 마스크 패턴의 폭을 변화시키고 피트의 원하는 깊이를 변화시킴에 의하여 또는 이 모두에 의하여 측벽(321)의 완성된 크기는 조절될 수 있다. 만일 마스크 패턴의 폭이 고정된다면, 측벽(321)의 크기는 피트의 폭과 함께 감소된다. 만일 피트의 깊이가 고정된다면, 측벽(321)의 크기는 마스크 패턴 폭의 증가와 함께 감소된다. 또한, 피트(그리고 따라서 측벽(321))의 전체 폭은 마스크 개구 폭의 감소와 함께 감소한다. 도 17b의 설명은 빔(B)이 측벽(321)을 통과하는 것을 허용하기 위한 최소한의 깊이 및 폭(그리고 따라서 전체 리드 규격)을 묘사하는 반면에 설계를 위한 리드 표면과 빔 사이의 적절한 간격을 남겨두었다.
앞서의 공정에서, 공지된 에천트, 예를 들어 KOH 및 TMAH와 같은 알카리성 하이드록사이드 또는 EDP뿐만 아니라 다른 공지된, 더욱 옥사이드 선택적 이방성 에천트들이 사용될 수 있다. 예를 들어, EDP는 <111> 결정 평면 표면 상에 낮은 표면 거칠기를 생성할 수 있다. 또한, 이방성 에칭에서 원하는 마지막 형상 및 표면 마무리를 달성하기 위하여 본 기술 분야에서 알려진 것과 같이 표면 거칠기를 향상시키기 위하여 계면 활성제를 첨가하는 것과 같은 기술들이 이용될 수 있다. 예를 들어, 습식 에칭을 위한 마스크 재료로서 낮은 응력층, 낮은 응력 나이트라이드가 이용될 수 있다. SiO2와 같은 실리콘 옥사이드, 금속(예를 들어, Cr, Al, Ni), 레지스트 또는 그 조합이 건식 에칭을 위한 마스크 재료로서 이용될 수 있다. 공지된 기술을 이용하여 마스크들이 패터닝될 수 있다.
또한, 습식 에칭 기술을 사용할 때, 측벽들(220)의 상부 상에 패터닝된 얇은 필름 솔더(예를 들어, 공융 혼합물(eutectic) 또는 거의 공융(near eutectic)의 Au-Sn 혼합물, In, Sn-Pb, In-Cu, 과도 액체 위상(TLP) 재료, TAD 재료 및 그와 유사한 것들)와 함께 실리콘 나이트라이드의 하드 마스크를 이용하여 피트들을 한정하는 것도 가능하다. 솔더는 적절한 결과로 다수의 이방성 에치들(etches)까지 유지할 수 있으며, 한 에치가 베이스 웨이퍼(110)에 리드들(200)을 밀봉하도록 준비가 된 표면 상에 솔더 링을 갖도록 한다. 두께, 혼합물, 용융점 및 패턴은, 예를 들어 거칠기, 리드 장착 영역(60), 접합 계층(bonding hierarchy) 등에 좌우될 수 있다. 솔더를 위한 전형적인 두께는, 예를 들어 3 내지 8 마이크론이다. 또한, 위에서 설명한 것들과 같은 접착층과 확산 베리어, 예를 들어 Cr/Ni, Cr/Pt, Ti/W 및 그와 유사한 것들이 솔더 아래에 사용될 수 있다. 솔더는 리드(200), 베이스 웨이퍼(110) 또는 그 모두 위에 존재할 수 있다. 솔더는 2개의 사이에서 성분적으로 분리될 수 있어 열 처리의 다른 단계들 동안에 솔더가 반응하거나 유독하게 확산되는 것을 방지한다. (일부의 경우들에서, 리드(200)가 에폭시 본딩, 양극(anodic) 본딩, HF 또는 다른 낮은 온도 공유 결합, Au-Au 결합 등에 의하여 밀봉될 때, 솔더는 필요하지 않다)
리드 웨이퍼의 밀봉 표면 상에서 솔더 또는 금속과 양립할 수 있는 보다 높은 온도에서 다양한 코팅들, 예를 들어 비반사(AR) 코팅을 증착하는 것이 바람직할 수 있다. 리드의 에칭 후, 마스크는 임의적으로 제거(strip)된다 - 금속 마스크들은 전형적으로 제거된다. 웨이퍼는 그 후 예를 들어, 유기 금속 화합물, 실레인(silane), 디클로로실레인, 산소 또는 질소와 같은 반응체를 이용한 순응 CVD에 의하여 AR 코팅될 수 있다. 증착은 전형적으로 400℃ 이상의 온도에서 수행되며, 이는 대부분의 솔더 및 금속과 양립할 수 없다. AR 코팅후, 부품의 웨이퍼 또는 그리드는 원하는 솔더 패턴을 따라가는(trace) 일련의 회전적으로 비대칭의 개구들을 함유하는 샤도우 마스크(shadow mask) 상에서 정렬될 수 있다. 예를 들어, 리드의 그리드가 두께가 100 마이크론인 캐비티들(100)을 포함한다면, 샤도우 마스크가 사용될 수 있다. 샤도우 마스크는 전형적으로 다수의 개구들 갖는 에칭된 얇은 금속이며, 기화된 금속 흐름(stream)이 이 개구를 통하여 증착될 수 있다.
리드가 광 전송을 위하여 또는 도파관을 포함하기 위하여 사용될 때, 내부 또는 외부 벽 표면 상에 금속 증착 또는 "오버스프레이(overspray)"를 방지하는 반면에 밀봉 표면의 연속적인 커버리지(coverage)가 바람직하다. 샤도우 마스크는, 예를 들어 리드의 밀봉 표면을 따라가고 웨이퍼 또는 그리드 상에서 각 장치를 위하여 반복된 개방된 링으로 구성될 수 있다. 샤도우 마스크는 원하는 정확도를 갖고 부분에 대하여 정렬될 수 있으며, 이는 리드 밀봉 표면 상의 샤도우 마스크의 오버랩(overlap) 및 소형화(undersizing)의 기능이다. 예를 들어, 100 마이크론의 벽은 샤도우 마스크의 75 마이크론 개구를 이용할 수 있으며, 측벽 상의 금속성 오버스프레이를 방지하기 위하여 전형적으로 둘 사이에 적어도 ±12.5 마이크론의 정렬을 필요로 한다. 실제로, 샤도우 마스크와 고려되어야만 하는 부분 사이의 간격이 가끔 존재하기 때문에 정렬은 전형적으로 이 최소치보다는 우수하다. 정렬은 예를 들어, 정렬 기술, 증착된 금속 및 기화원 및 기판의 분리 및 정렬을 기초로 하여 쉽게 결정될 수 있다.
마스크 설계는 금속 센터를 갖는 개방된 링을 포함할 수 있으며, 이는 리드 캐비티의 내부 체적을 보호한다. 금속 중심을 갖는 샤도우 마스크 금속의 본체를 부착하는 랜드(land)에 의하여 개방된 링은 하나 이상의 위치에서 끊어질 수 있다. 리드가 180° 회전할 때, 제 2 금속화(metallization)가 밀봉 표면 모든 주변에 연속적인 커버리지를 생성하는 방식으로 중심과 마스크의 본체를 부착하는 랜드는 배치될 수 있다. 밀봉 마스크의 금속 중심들이 하나 이상의 랜드에 의하여 부착되면, 그들은 비대칭적으로 위치될 수 있으며, 따라서 연속된 금속화 공정이 달성될 수 있다. 예를 들어, 마스크는 각각 2 내지 3 mil인 2개의 랜드들을 갖는 3 mil 두께의 스테인레스 스틸로 만들어질 수 있으며, 랜드들은 마스크 금속 중심의 내부를 4 mil 링에 부착한다. 랜드들은 비대칭적으로 위치할 수 있으며, 따라서 웨이퍼 또는 그리드가 180° 회전할 때 밀봉 표면의 완전한 금속화가 달성될 수 있다.
마스크는 제 1 습식 가능한 금속, 예를 들어 Cr(50 nm)/Ni(200 nm)/Au(200 nm)을 증착함으로서 형성될 수 있다. 부분은 원하는 값, 예를 들어 90 또는 180°까지 회전한다. 제 1 금속 구조와 동일한 또는 다른 구조 및 두께를 이용하여 제 2 금속화가 수행될 수 있다. 솔더, 예를 들어 Au-Sn(2 내지 10 마이크론)이 다음에 증착된다. 따라서 솔더-습식 가능한 금속 링은 두 증착 단계들로부터 제조될 수 있다. 솔더는 본딩 표면 상에서 연속적으로 증착될 수 있으며 금속 랜드의 위치 내의 작은 간격을 제외한 동일한 표면을 덮는다. 리드가 베이스 웨이퍼에 도포되고 솔더가 리플로우될 때, 작은 간격은 위킹(wicking) 작용에 의하여 솔더로 채워진다. 이 간격은 또한 베이스에 대한 리드의 밀페적인 밀봉 전에 수분과 응축 가능한 증기를 제거하기 위하여 사용될 수 있는 후속 베이크-아웃(bake-out) 단계 동안에 증기 밀봉이 형성되는 것을 방지하는데 도움을 줄 수 있다.
실리콘이 1100 nm보다 큰 파장을 전송하는데 효과적인 반면에 특정 응용에서는 리드(200)를 통하여 가시 스펙트럼 내의 파장 및 IR에 가까운 파장을 전송하는 능력을 갖도록 하는 것이 바람직할 수 있다. 이 능력은, 예를 들어 패키징 펌프 레이저, VCSELS, 많은 센서 및 그와 유사한 분야에 유용할 수 있다. 예를 들어, 도 18a 내지 도 18d를 참고하면, 위에서 설명한 방법에 의하여 제 2 리드(200)가 (100) 단결정 실리콘 내에 구성될 수 있다. 그 후, 도 18a 및 도 18b에 도시된 바와 같이, 측벽(222)의 일부가 5 내지 30 마이크론의 두께로 얇아질 수 있다. 그 후 얇아진 측벽(222)을 갖는 리드는 충분한 시간 동안 열적으로 산화될 수 있어 측벽(222)의 전체 깊이를 실리콘 디옥사이드로 산화시킨다. 도 18c 및 도 18d에 도시된 바와 같이, 이는 선택적으로 가시 스펙트럼에 대하여 투명하다. 고체 체적 내의 결과적인 변화로부터 기인할 수 있는 기계적인 응력을 줄이기 위하여 고체 벽을 생성하기 위하여 산화 동안에 서로의 내로 성장하는 에칭된 포스트들(posts) 또는 사각형들의 사용이 이용될 수 있다. 이러한 포스트들은 건식 에칭, 습식 에칭 또는 건식 및 습식 에칭에 의하여 생성될 수 있다.
SOI 웨이퍼가 사용될 수 있는 경우, 리드 웨이퍼(210)는 후에 접합되는 2개의 분리 웨이퍼들을 포함할 수 있으며, 이 웨이퍼중 하나는 측벽들(220)을 위한 것이며, 다른 하나는 루프(250)를 위하여 후에 밀봉된다. 또한, 건식 에칭이 사용될 때, 정렬 핀들을 위한 홀들과 같은 추가된 정렬 구조 또는 페룰 슬리브들(ferrule sleeves)에 대한 정렬에 도움을 주기 위한 전방 노즐이 설계 내에 쉽게 통합될 수 있다.
D. 밀폐도 테스트( Hermeticity Testing )
본 발병의 다른 태양에 따르면, 장치 패키지의 밀폐도는 편리하게 테스트될 수 있다. 루프(250)가 밀폐도 내의 변화 또는 손실에 반응하여 측정 가능한 정도까지 구부러지도록 리드 규격이 선택된다. 측정 기구, 예를 들어 간섭계가 이 목적을 위하여 사용될 수 있다. 리드 내에 벌지(bulge)를 생성하기 위하여 제조 과정 동안에 패키지는 불활성 가스, 예를 들어 헬륨 또는 산소로 채워진다. 캐비티(230)의 가장 긴 규격(스팬)에 대한 루프의 두께의 비율은 전형적으로 1/10 내지 1/50이다. 예를 들어, 1mm의 캐비티 스팬을 갖는 리드(200)를 위하여, 40 마이크론 리드 두께는 충분한 구부림과 내구성을 제공할 수 있다. 리드 두께는 전형적으로 20 내지 100 마이크론이다. 리드 벌지의 정도가 패키지 내의 압력에 관련되기 때문에 리드 벌지 내의 변화의 정도는 밀폐도와 누설 속도의 표시이다.
본 발명의 이들 이점과 다른 이점들은 앞서의 명세서로부터 본 기술 분야의 숙련된 자들에게는 명백할 것이다. 즉, 본 발명의 넓은 발명 개념으로부터 벗어남이 없이 상술한 실시예들에 대하여 변화와 변형이 이루어질 수 있다는 것이 숙련된 자들에 의하여 인식될 것이다. 따라서, 본 발명은 본 명세서 내에서 설명된 특정 실시예들에 한정되지 않으며, 청구범위에 규정된 바와 같이 본 발명의 범위와 사상 내에 있는 모든 변화와 변형이 포함되도록 의도되었다는 것을 이해하여야 한다.
밀폐도를 테스트하기 위한 위에서 설명된 기술에 더하여, 감지 가능한 가스, 예를 들어, 헬륨으로 패키지를 채울 수 있으며, 패키지로부터의 누설 속도를 측정하기 위하여 표준 누설 감지 장비를 이용할 수 있다.
90 비아,
100 마이크로 벤치,
110 베이스 웨이퍼,
200 리드,
220 측벽,
230 캐비티,
300 리드,
500 마이크로 광학 장치 패키지.

Claims (5)

  1. 밀봉된 소자 패키지에서 리키지(leakage)를 검출하는 방법으로서,
    (a) 소자가 들어있는 밀봉된 패키지를 제공하는 단계로서, 상기 패키지는 루프(roof) 또는 측벽(sidewall)이 측정 가능할 정도로 구부러지도록 하는 조건 하에서 밀봉되고, 구부러짐의 정도는 상기 패키지 내부의 압력에 의존하는 것인 밀봉된 패키지 제공 단계, 및
    (b) 상기 패키지를 밀봉한 후 상기 루프(roof) 또는 측벽(sidewall)의 구부러짐을 측정하는 단계를 포함하는 것을 특징으로 하는 밀봉된 소자 패키지에서 리키지를 검출하는 방법.
  2. 기판;
    상기 기판 위에 반도체 물질을 포함하는 리드로서, 상기 기판과 상기 리드 사이에 밀봉된 캐비티를 형성하는 리드; 및
    상기 밀봉된 캐비티 내에 있는 소자를 포함하되,
    상기 밀봉된 캐비티는 상기 리드의 루프(roof) 또는 측벽(sidewall)이 측정 가능할 정도로 구부러지도록 하는 압력 하에 있으며, 구부러짐의 정도는 상기 밀봉된 캐비티 압력에 의존하는 것을 특징으로 하는 밀봉된 소자 패키지.
  3. 제 1 표면을 가지는 기판;
    상기 기판의 제 1 표면 위에 장착된 소자;
    상기 기판에 있는 캐비티; 및
    상기 소자에 의해 발생되는 열을 제거하기 위한, 상기 캐비티 내에 있는 냉각 구조를 포함하는 것을 특징으로 하는 소자 패키지.
  4. 리드 장착 영역과 소자 장착 영역을 포함하는 기판;
    상기 리드 장착 영역 위에 있는 리드로서, 상기 기판과 상기 리드 사이에 밀폐된 캐비티를 형성하는 리드;
    상기 밀폐된 캐비티 내에서 상기 소자 장착 영역 위에 있는 소자; 및
    상기 캐비티 내에 있는 냉각 구조를 포함하는 것을 특징으로 하는 소자 패키지.
  5. (a) 제 1 및 제 2 구성 요소들 사이에 제 1 구성층, 상기 제 1 구성층과 다른 성분의 제 2 구성층 및 확산 베리어 층을 포함하는 복수의 층들을 제공하되, 상기 확산 베리어 층은 제 1 및 2 구성층들 사이에 배치되고 상기 제 2 구성층은 상기 제 1 구성 요소와 상기 확산 베리어 층 사이에 배치되는 단계;
    (b) 상기 제 1 구성 요소와 상기 제 2 구성층 사이에 결합을 형성하도록 상기 제 1 구성 요소에 압력을 제공하는 단계; 및
    (c) 상기 제 1 및 2 구성층들 사이에 원자들의 동반 확산(inter-diffusion)을 효과적으로 일으키도록 소정 시간동안 소정 온도로 상기 결합된 구조를 가열하는 단계를 포함하되, 그 결과의 구조는 가열 온도보다 더 큰 용융점을 가지는 것을 특징으로 하는, 제 1 구성 요소를 제 2 구성 요소에 결합시키는 방법.
KR1020110095176A 2003-09-15 2011-09-21 장치 패키지 및, 그 제조 방법 및 테스트 방법 KR101194532B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50286803P 2003-09-15 2003-09-15
US60/502,868 2003-09-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020040073928A Division KR101131654B1 (ko) 2003-09-15 2004-09-15 장치 패키지 및, 그 제조 방법 및 테스트 방법

Publications (2)

Publication Number Publication Date
KR20110119594A KR20110119594A (ko) 2011-11-02
KR101194532B1 true KR101194532B1 (ko) 2012-10-24

Family

ID=34135393

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020040073927A KR101146019B1 (ko) 2003-09-15 2004-09-15 장치 패키지 및, 그 제조 방법 및 테스트 방법
KR1020040073928A KR101131654B1 (ko) 2003-09-15 2004-09-15 장치 패키지 및, 그 제조 방법 및 테스트 방법
KR1020110095176A KR101194532B1 (ko) 2003-09-15 2011-09-21 장치 패키지 및, 그 제조 방법 및 테스트 방법
KR1020120030590A KR101264048B1 (ko) 2003-09-15 2012-03-26 장치 패키지, 그 제조 방법 및 테스트 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020040073927A KR101146019B1 (ko) 2003-09-15 2004-09-15 장치 패키지 및, 그 제조 방법 및 테스트 방법
KR1020040073928A KR101131654B1 (ko) 2003-09-15 2004-09-15 장치 패키지 및, 그 제조 방법 및 테스트 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020120030590A KR101264048B1 (ko) 2003-09-15 2012-03-26 장치 패키지, 그 제조 방법 및 테스트 방법

Country Status (7)

Country Link
US (11) US7129163B2 (ko)
EP (3) EP1517166B1 (ko)
JP (3) JP4911885B2 (ko)
KR (4) KR101146019B1 (ko)
CN (4) CN100378938C (ko)
CA (5) CA2481637C (ko)
TW (2) TWI241722B (ko)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE390712T1 (de) * 2001-04-30 2008-04-15 Mergeoptics Gmbh Anordnung mit mindestens zwei unterschiedlichen elektronischen halbleiterschaltungen
US7073954B1 (en) * 2001-09-17 2006-07-11 Stratos International, Inc. Transceiver assembly for use in fiber optics communications
WO2003049514A2 (en) 2001-12-03 2003-06-12 Memgen Corporation Miniature rf and microwave components and methods for fabricating such components
US9614266B2 (en) 2001-12-03 2017-04-04 Microfabrica Inc. Miniature RF and microwave components and methods for fabricating such components
US8971679B2 (en) * 2002-08-28 2015-03-03 Optonet Inc. Apparatus and method for passive alignment of optical devices
CN1784807B (zh) 2003-03-04 2013-03-20 诺福特罗尼有限公司 同轴波导微结构及其形成方法
US10297421B1 (en) 2003-05-07 2019-05-21 Microfabrica Inc. Plasma etching of dielectric sacrificial material from reentrant multi-layer metal structures
EP1517166B1 (en) 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US6985645B2 (en) * 2003-09-24 2006-01-10 International Business Machines Corporation Apparatus and methods for integrally packaging optoelectronic devices, IC chips and optical transmission lines
EP1680843A4 (en) * 2003-10-20 2009-05-06 Binoptics Corp PHOTONIC EQUIPMENT WITH SURFACE EMISSION AND RECEPTION
US7333521B1 (en) * 2003-12-04 2008-02-19 National Semiconductor Corporation Method of sensing VCSEL light output power by monitoring electrical characteristics of the VCSEL
US7045827B2 (en) * 2004-06-24 2006-05-16 Gallup Kendra J Lids for wafer-scale optoelectronic packages
DE102004031878B3 (de) * 2004-07-01 2005-10-06 Epcos Ag Elektrisches Mehrschichtbauelement mit zuverlässigem Lötkontakt
KR100594716B1 (ko) * 2004-07-27 2006-06-30 삼성전자주식회사 공동부를 구비한 캡 웨이퍼, 이를 이용한 반도체 칩, 및그 제조방법
US20060046501A1 (en) * 2004-08-27 2006-03-02 Texas Instruments Incorporated Screening of electroless nickel/immersion gold-plated substrates with black pad defect
US7833834B2 (en) * 2004-09-30 2010-11-16 Sharp Kabushiki Kaisha Method for producing nitride semiconductor laser light source and apparatus for producing nitride semiconductor laser light source
JP2006119024A (ja) * 2004-10-22 2006-05-11 Tokyo Electron Ltd プローブおよびその製造方法
JP4451371B2 (ja) * 2004-12-20 2010-04-14 シャープ株式会社 窒化物半導体レーザ素子
US20060132544A1 (en) * 2004-12-21 2006-06-22 Corley Richard E Jr Laser tacking and singulating method and system
US7630422B1 (en) 2005-01-14 2009-12-08 National Semiconductor Corporation Driver for vertical-cavity surface-emitting laser and method
US8050526B2 (en) * 2005-02-08 2011-11-01 Samsung Electronics Co., Ltd. Micro-optical device and method of making same
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
US7750356B2 (en) 2005-05-04 2010-07-06 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Silicon optical package with 45 degree turning mirror
KR101217630B1 (ko) * 2005-06-01 2013-01-02 삼성전자주식회사 광 어셈블리
US7790484B2 (en) * 2005-06-08 2010-09-07 Sharp Kabushiki Kaisha Method for manufacturing laser devices
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
TW200714949A (en) * 2005-08-15 2007-04-16 Rohm & Haas Elect Mat Bonding methods and optical assemblies
US7772116B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100713121B1 (ko) * 2005-09-27 2007-05-02 한국전자통신연구원 칩과 이를 이용한 칩 스택 및 그 제조방법
US7619312B2 (en) * 2005-10-03 2009-11-17 Sun Microsystems, Inc. Method and apparatus for precisely aligning integrated circuit chips
EP1780565B1 (en) * 2005-10-11 2014-01-01 Samsung Electronics Co., Ltd. Micro-optical device with a U-shaped type alignment waveguide
US7913719B2 (en) * 2006-01-30 2011-03-29 Cooligy Inc. Tape-wrapped multilayer tubing and methods for making the same
US7807547B2 (en) * 2006-03-28 2010-10-05 Innovative Micro Technology Wafer bonding material with embedded rigid particles
JP2007294523A (ja) * 2006-04-21 2007-11-08 Oki Electric Ind Co Ltd 表面実装型光結合器、その実装方法、及び、その製造方法
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
US20070279885A1 (en) * 2006-05-31 2007-12-06 Basavanhally Nagesh R Backages with buried electrical feedthroughs
JP2008010659A (ja) * 2006-06-29 2008-01-17 Disco Abrasive Syst Ltd ビアホールの加工方法
JP5359272B2 (ja) * 2006-07-19 2013-12-04 株式会社ニコン 光ファイバ増幅器用カセット、光ファイバ増幅器及び光源装置
JP2008028143A (ja) * 2006-07-21 2008-02-07 Sumitomo Electric Ind Ltd 光モジュール
US7589009B1 (en) * 2006-10-02 2009-09-15 Newport Fab, Llc Method for fabricating a top conductive layer in a semiconductor die and related structure
US20080083611A1 (en) * 2006-10-06 2008-04-10 Tegal Corporation High-adhesive backside metallization
US20080157353A1 (en) * 2006-12-29 2008-07-03 Texas Instruments Incorporated Control of Standoff Height Between Packages with a Solder-Embedded Tape
TWI364399B (en) 2006-12-30 2012-05-21 Rohm & Haas Elect Mat Three-dimensional microstructures and methods of formation thereof
US20080181558A1 (en) * 2007-01-31 2008-07-31 Hartwell Peter G Electronic and optical circuit integration through wafer bonding
JP4919984B2 (ja) 2007-02-25 2012-04-18 サムスン エレクトロニクス カンパニー リミテッド 電子デバイスパッケージとその形成方法
JP5010948B2 (ja) * 2007-03-06 2012-08-29 オリンパス株式会社 半導体装置
US7994594B2 (en) 2007-03-15 2011-08-09 Seiko Epson Corporation Electronic device, resonator, oscillator and method for manufacturing electronic device
US7887243B2 (en) 2007-03-16 2011-02-15 Honeywell Federal Manufacturing & Technologies, Llc Miniature mechanical transfer optical coupler
KR101472134B1 (ko) 2007-03-20 2014-12-15 누보트로닉스, 엘.엘.씨 동축 전송선 마이크로구조물 및 그의 형성방법
EP1973190A1 (en) 2007-03-20 2008-09-24 Rohm and Haas Electronic Materials LLC Integrated electronic components and methods of formation thereof
EP1986028A3 (en) * 2007-03-27 2008-11-05 Rohm and Haas Electronic Materials LLC Optical assemblies and their methods of formation
US20090043211A1 (en) * 2007-03-28 2009-02-12 Albert Ting Mems based optical coherence tomography probe
SG148054A1 (en) * 2007-05-17 2008-12-31 Micron Technology Inc Semiconductor packages and method for fabricating semiconductor packages with discrete components
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7919973B2 (en) * 2007-06-22 2011-04-05 Microchip Technology Incorporated Method and apparatus for monitoring via's in a semiconductor fab
US20090026619A1 (en) * 2007-07-24 2009-01-29 Northrop Grumman Space & Mission Systems Corp. Method for Backside Metallization for Semiconductor Substrate
US8217482B2 (en) * 2007-12-21 2012-07-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with reduced crosstalk
JP2009259595A (ja) * 2008-04-16 2009-11-05 Hitachi Cable Ltd 電気接点層付金属材およびその製造方法
US20090279172A1 (en) * 2008-05-12 2009-11-12 Higashi Robert E Microelectromechanical lamellar grating
US8490678B2 (en) * 2008-06-02 2013-07-23 Gerald Ho Kim Silicon-based thermal energy transfer device and apparatus
JP2010010447A (ja) * 2008-06-27 2010-01-14 Disco Abrasive Syst Ltd 半導体デバイスの電極形成方法
WO2010009358A1 (en) * 2008-07-17 2010-01-21 Lawrence Pumps, Inc. Apparatus for simultaneous support of pressurized and unpressurized mechanical shaft sealing barrier fluid systems
US8238401B2 (en) * 2008-08-25 2012-08-07 Gerald Ho Kim Silicon-based lens support structure for diode laser
US7981765B2 (en) * 2008-09-10 2011-07-19 Analog Devices, Inc. Substrate bonding with bonding material having rare earth metal
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
DE102008058003B4 (de) * 2008-11-19 2012-04-05 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleitermoduls und Halbleitermodul
US20100127407A1 (en) * 2008-11-25 2010-05-27 Leblanc John Two-sided substrateless multichip module and method of manufacturing same
JP5509216B2 (ja) * 2008-12-12 2014-06-04 フレゼニウス メディカル ケアー ドイチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング 医療液体の処理装置及びその漏れ密封の試験方法
JP5532611B2 (ja) * 2009-01-23 2014-06-25 富士通セミコンダクター株式会社 半導体装置の製造方法及び設計支援装置
JP5302020B2 (ja) * 2009-01-26 2013-10-02 浜松ホトニクス株式会社 光モジュール
JP5389464B2 (ja) * 2009-02-10 2014-01-15 フリースケール セミコンダクター インコーポレイテッド 半導体装置の製造方法
US8659371B2 (en) * 2009-03-03 2014-02-25 Bae Systems Information And Electronic Systems Integration Inc. Three-dimensional matrix structure for defining a coaxial transmission line channel
US8580596B2 (en) * 2009-04-10 2013-11-12 Nxp, B.V. Front end micro cavity
US20100283138A1 (en) * 2009-05-06 2010-11-11 Analog Devices, Inc. Nickel-Based Bonding of Semiconductor Wafers
US8420999B2 (en) * 2009-05-08 2013-04-16 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Metal shield and housing for optical proximity sensor with increased resistance to mechanical deformation
US8779361B2 (en) * 2009-06-30 2014-07-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Optical proximity sensor package with molded infrared light rejection barrier and infrared pass components
US8957380B2 (en) * 2009-06-30 2015-02-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US9525093B2 (en) 2009-06-30 2016-12-20 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8143608B2 (en) * 2009-09-10 2012-03-27 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Package-on-package (POP) optical proximity sensor
US8350216B2 (en) * 2009-09-10 2013-01-08 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Miniaturized optical proximity sensor
US8716665B2 (en) * 2009-09-10 2014-05-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Compact optical proximity sensor with ball grid array and windowed substrate
US20110123783A1 (en) 2009-11-23 2011-05-26 David Sherrer Multilayer build processses and devices thereof
US9733357B2 (en) * 2009-11-23 2017-08-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with improved crosstalk isolation
US8917150B2 (en) * 2010-01-22 2014-12-23 Nuvotronics, Llc Waveguide balun having waveguide structures disposed over a ground plane and having probes located in channels
EP2524413B1 (en) 2010-01-22 2018-12-26 Nuvotronics LLC Thermal management
US8669569B2 (en) * 2010-02-04 2014-03-11 Yu-Nung Shen Light emitting diode package and method for fabricating the same
TWI546925B (zh) * 2010-02-09 2016-08-21 精材科技股份有限公司 晶片封裝體及其形成方法
JP2013519917A (ja) * 2010-02-12 2013-05-30 エーゲンライト・コーポレーション 一列に並んだ光ファイバーデバイス用のリード線付き貫通接続部を有する気密性パッケージおよび作製方法
TWI419383B (zh) * 2010-03-08 2013-12-11 Harvatek Corp 具有高效率散熱效果之發光二極體結構及其製作方法
KR101637581B1 (ko) * 2010-03-09 2016-07-07 엘지이노텍 주식회사 발광 소자 패키지 및 그 제조방법
CN102237632A (zh) * 2010-04-26 2011-11-09 无锡亮源激光技术有限公司 户外用半导体激光模块
US20110285840A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Solder bonding and inspection method and apparatus
DE102010029760B4 (de) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
US9341792B2 (en) 2010-06-29 2016-05-17 Cisco Technology, Inc. Vent structures for encapsulated components on an SOI-based photonics platform
US9098931B2 (en) 2010-08-11 2015-08-04 Apple Inc. Scanning projectors and image capture modules for 3D mapping
US10739460B2 (en) 2010-08-11 2020-08-11 Apple Inc. Time-of-flight detector with single-axis scan
US8528397B2 (en) * 2010-08-18 2013-09-10 International Business Machines Corporation Hermeticity sensor and related method
CN101997269B (zh) * 2010-09-15 2012-02-08 山东华光光电子有限公司 一种半导体激光器巴条制作方法
GB2484486A (en) * 2010-10-12 2012-04-18 Oclaro Technology Ltd Component Temperature Control
KR101430634B1 (ko) * 2010-11-19 2014-08-18 한국전자통신연구원 광 모듈
CN102055132A (zh) * 2010-11-26 2011-05-11 中国科学院物理研究所 可深度冷却的半导体激光器装置及其密封装置
JP2012137597A (ja) * 2010-12-27 2012-07-19 Fujitsu Ltd 光デバイス、光送受信ユニットおよび光通信システム
US8841597B2 (en) 2010-12-27 2014-09-23 Avago Technologies Ip (Singapore) Pte. Ltd. Housing for optical proximity sensor
US8582618B2 (en) 2011-01-18 2013-11-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive or refractive lens on the semiconductor laser device
EP2690443B1 (en) 2011-03-24 2016-11-16 Sysmex Corporation Specimen analysis device, specimen information processing device, and specimen analysis method
US8315287B1 (en) 2011-05-03 2012-11-20 Avago Technologies Fiber Ip (Singapore) Pte. Ltd Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive lens, and a method for making the device
JP5583632B2 (ja) * 2011-05-13 2014-09-03 Nttエレクトロニクス株式会社 光モジュール
US8866300B1 (en) 2011-06-05 2014-10-21 Nuvotronics, Llc Devices and methods for solder flow control in three-dimensional microstructures
US8814601B1 (en) 2011-06-06 2014-08-26 Nuvotronics, Llc Batch fabricated microconnectors
US20120319710A1 (en) * 2011-06-15 2012-12-20 Probelogic, Inc. Method and apparatus for implementing probes for electronic circuit testing
KR101982887B1 (ko) 2011-07-13 2019-05-27 누보트로닉스, 인크. 전자 및 기계 구조체들을 제조하는 방법들
GB2494640A (en) * 2011-09-13 2013-03-20 Zinir Ltd Optical substrate with recesses for passive alignment of optical components
US9052445B2 (en) 2011-10-19 2015-06-09 Cisco Technology, Inc. Molded glass lid for wafer level packaging of opto-electronic assemblies
JP6040253B2 (ja) * 2011-10-20 2016-12-07 シーウェア システムズSi−Ware Systems 3d湾曲光素子を含む集積化されたモノリシック光ベンチ、及びその作製方法
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8426227B1 (en) 2011-11-18 2013-04-23 LuxVue Technology Corporation Method of forming a micro light emitting diode array
CN103163361B (zh) * 2011-12-13 2015-10-21 英业达股份有限公司 电子元件与检测系统的组合与电子元件的检测方法
US9773750B2 (en) * 2012-02-09 2017-09-26 Apple Inc. Method of transferring and bonding an array of micro devices
EP2817586B1 (en) * 2012-02-15 2020-03-25 Apple Inc. Scanning depth engine
US9981844B2 (en) * 2012-03-08 2018-05-29 Infineon Technologies Ag Method of manufacturing semiconductor device with glass pieces
KR102129146B1 (ko) * 2012-03-30 2020-07-02 루미리즈 홀딩 비.브이. 밀봉된 반도체 발광 장치
CN107579428B (zh) * 2012-05-08 2020-03-03 镁可微波技术有限公司 具有光束形状修改的激光器
US9500808B2 (en) 2012-05-09 2016-11-22 The Boeing Company Ruggedized photonic crystal sensor packaging
CN104350406B (zh) * 2012-06-05 2016-04-27 恩普乐股份有限公司 光插座及具备该光插座的光学模块
US9165723B2 (en) 2012-08-23 2015-10-20 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053874B2 (en) 2012-09-20 2015-06-09 Harris Corporation MEMS switches and other miniaturized devices having encapsulating enclosures, and processes for fabricating same
US9053873B2 (en) 2012-09-20 2015-06-09 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US20140082935A1 (en) * 2012-09-27 2014-03-27 Volex Plc Method for passive alignment of optical components to a substrate
KR102094995B1 (ko) * 2012-10-08 2020-03-31 삼성전자주식회사 열전모듈, 이를 구비한 열전장치, 및 열전모듈의 제조방법
JP6247495B2 (ja) * 2012-11-26 2017-12-13 キヤノン株式会社 半導体装置、及びその製造方法
US9325044B2 (en) 2013-01-26 2016-04-26 Nuvotronics, Inc. Multi-layer digital elliptic filter and method
TW201430430A (zh) * 2013-01-31 2014-08-01 Hon Hai Prec Ind Co Ltd 光通訊模組
US9306254B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Substrate-free mechanical interconnection of electronic sub-systems using a spring configuration
US9267787B2 (en) 2013-03-15 2016-02-23 Apple Inc. Depth scanning with multiple emitters
US9306255B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Microstructure including microstructural waveguide elements and/or IC chips that are mechanically interconnected to each other
DE102013205594A1 (de) * 2013-03-28 2014-10-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
US9090461B2 (en) 2013-04-30 2015-07-28 Hewlett-Packard Development Company, L.P. Temporary optical wave diffusion-promoting film adhered to lidded MEMS wafer for testing using interferometer
US9040386B2 (en) * 2013-07-23 2015-05-26 Maxim Integrated Products, Inc. Method for varied topographic MEMS cap process
US9246592B2 (en) * 2013-08-19 2016-01-26 International Business Machines Corporation Structured substrate for optical fiber alignment
CN103454052B (zh) * 2013-08-29 2017-03-01 上海华虹宏力半导体制造有限公司 Mems器件及晶圆级密封性的测量方法
US9810641B2 (en) * 2013-09-03 2017-11-07 Kulicke & Soffa Industries, Inc. Systems and methods for measuring physical characteristics of semiconductor device elements using structured light
CN103647004B (zh) * 2013-11-28 2016-05-11 苏州百奥丽光电科技有限公司 Led灯管的烘烤装置
US10056294B2 (en) * 2013-12-02 2018-08-21 Maxim Integrated Products, Inc. Techniques for adhesive control between a substrate and a die
WO2015104715A1 (en) * 2014-01-13 2015-07-16 Corning Optical Communications Wireless Ltd. Dissipating heat from electronic devices
US9308596B2 (en) * 2014-01-17 2016-04-12 Alcatel Lucent Method and assembly including a connection between metal layers and a fusible material
US10310009B2 (en) 2014-01-17 2019-06-04 Nuvotronics, Inc Wafer scale test interface unit and contactors
US9389378B2 (en) * 2014-02-03 2016-07-12 Luxtera, Inc. Method and system for optical power monitoring of a light source assembly coupled to a silicon photonically-enabled integrated circuit
US9563021B2 (en) 2014-03-17 2017-02-07 Lumentum Operations Llc Optical switching device
US9930793B2 (en) * 2014-03-27 2018-03-27 Intel Corporation Electric circuit on flexible substrate
CN104948476B (zh) * 2014-03-31 2020-06-02 台达电子工业股份有限公司 薄型风扇、电子系统及薄型风扇的制造方法
US8999816B1 (en) * 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US10847469B2 (en) 2016-04-26 2020-11-24 Cubic Corporation CTE compensation for wafer-level and chip-scale packages and assemblies
US10511073B2 (en) 2014-12-03 2019-12-17 Cubic Corporation Systems and methods for manufacturing stacked circuits and transmission lines
US20160302335A1 (en) * 2015-04-10 2016-10-13 Mettler-Toledo Autochem, Inc. Precision mounting systems and methods
US9525863B2 (en) 2015-04-29 2016-12-20 Apple Inc. Time-of-flight depth mapping with flexible scan pattern
US9478494B1 (en) 2015-05-12 2016-10-25 Harris Corporation Digital data device interconnects
US9551831B1 (en) * 2015-05-18 2017-01-24 Alliance Fiber Optic Products, Inc. Pluggable LC adaptor having optical passive element
US9437911B1 (en) 2015-05-21 2016-09-06 Harris Corporation Compliant high speed interconnects
JP6661901B2 (ja) * 2015-06-22 2020-03-11 住友電気工業株式会社 光モジュール、光モジュールを作製する方法
US10315915B2 (en) * 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
JP6551008B2 (ja) * 2015-07-27 2019-07-31 住友電気工業株式会社 光モジュール、光学装置
JP6354704B2 (ja) 2015-08-25 2018-07-11 日亜化学工業株式会社 光学部材の製造方法、半導体レーザ装置の製造方法及び半導体レーザ装置
DE102015114292A1 (de) 2015-08-27 2017-03-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
WO2017068766A1 (ja) * 2015-10-20 2017-04-27 パナソニックIpマネジメント株式会社 光源装置
CN105304586A (zh) * 2015-11-20 2016-02-03 江阴长电先进封装有限公司 一种带有加强结构的芯片嵌入式封装结构及其封装方法
JP6489001B2 (ja) * 2015-12-09 2019-03-27 住友電気工業株式会社 光モジュール、光モジュールを作製する方法、及び光学装置
US10120133B2 (en) * 2015-12-18 2018-11-06 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US10324171B2 (en) 2015-12-20 2019-06-18 Apple Inc. Light detection and ranging sensor
US9773764B2 (en) * 2015-12-22 2017-09-26 Intel Corporation Solid state device miniaturization
US9638859B1 (en) * 2016-01-12 2017-05-02 Elenion Technologies, Llc Optical fiber alignment device
US10234626B2 (en) * 2016-02-08 2019-03-19 Skorpios Technologies, Inc. Stepped optical bridge for connecting semiconductor waveguides
FR3047842B1 (fr) * 2016-02-12 2018-05-18 Commissariat A L'energie Atomique Et Aux Energies Alternatives Composant electronique a resistance metallique suspendue dans une cavite fermee
JP6636377B2 (ja) * 2016-04-08 2020-01-29 株式会社ディスコ パッケージウェーハの製造方法及びデバイスチップの製造方法
US10453760B2 (en) * 2016-04-08 2019-10-22 Advanced Semiconductor Engineering, Inc. Lid array panel, package with lid and method of making the same
JP6298225B1 (ja) * 2016-04-18 2018-03-20 京セラ株式会社 発光素子収納用部材、アレイ部材および発光装置
KR101843469B1 (ko) * 2016-04-19 2018-03-30 옵티시스 주식회사 광 커넥터
US9684141B1 (en) * 2016-04-25 2017-06-20 Applied Optoelectronics, Inc. Techniques for reducing ingress of foreign matter into an optical subassembly
CN109154704A (zh) 2016-05-23 2019-01-04 奥林巴斯株式会社 光信号发送组件
JP6465449B2 (ja) * 2016-05-23 2019-02-06 オリンパス株式会社 光信号送信モジュール
US10082271B2 (en) 2016-06-29 2018-09-25 Nichia Corporation Laser light optical module utilizing reflective films for improved efficiency
US10168555B1 (en) 2016-06-30 2019-01-01 Google Llc Wiring in a head-mountable device
US10298913B2 (en) 2016-08-18 2019-05-21 Apple Inc. Standalone depth camera
US10539815B2 (en) 2016-09-22 2020-01-21 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US9835801B1 (en) 2016-09-22 2017-12-05 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
KR101929465B1 (ko) * 2016-10-18 2019-03-14 주식회사 옵텔라 광학모듈
US10254483B2 (en) * 2016-12-22 2019-04-09 Magic Leap, Inc. Shaped fiber elements for scanning fiber displays
AU2018223177B2 (en) 2017-02-24 2024-05-02 Xeniopro GmbH Aromatic compounds which enhance notch signaling, for use in therapy
US10782315B2 (en) 2017-04-17 2020-09-22 Rosemount Aerospace Inc. Apparatus and method for packaging, handling or testing of sensors
US10193302B2 (en) * 2017-05-10 2019-01-29 Applied Optoelectronics, Inc. Light engine with integrated turning mirror for direct coupling to photonically-enabled complementary metal-oxide semiconductor (CMOS) die
US10209477B1 (en) * 2017-05-25 2019-02-19 Lockheed Martin Coherent Technologies, Inc. Systems and methods for reconfigurable micro-optic assemblies
WO2019025858A1 (en) * 2017-08-01 2019-02-07 Rockley Photonics Limited TRANSMISSION OPTICAL SUBASSEMBLY MODULE AND RECEPTION OPTICAL SUBASSEMBLY
JP6958098B2 (ja) * 2017-08-10 2021-11-02 住友電気工業株式会社 光モジュール
CN107422433A (zh) * 2017-09-08 2017-12-01 中航海信光电技术有限公司 一种集成多光口的psm光电收发器件
RU181835U1 (ru) * 2017-09-14 2018-07-26 Российская Федерация, от имени которой выступает ФОНД ПЕРСПЕКТИВНЫХ ИССЛЕДОВАНИЙ Защитные покрытия различного назначения места установки датчиков на поверхности конструкции
US10634717B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Testing apparatus and testing method
US10514511B2 (en) * 2017-11-02 2019-12-24 Panduit Corp. Optical fiber termination using a reference source
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages
CN108323151B (zh) * 2018-01-29 2023-12-19 广东利元亨智能装备有限公司 一种烟雾报警器自动组装生产线
US10921447B2 (en) * 2018-01-29 2021-02-16 Rohm Co., Ltd. Control circuit of light emitting and receiving device
US20190278036A1 (en) * 2018-03-07 2019-09-12 Lightwave Logic Inc. Embedded hermetic capsule and method
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
GB201808912D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808936D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808949D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
WO2019229469A1 (en) 2018-05-31 2019-12-05 Micromass Uk Limited Mass spectrometer
GB201808890D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
WO2019229463A1 (en) 2018-05-31 2019-12-05 Micromass Uk Limited Mass spectrometer having fragmentation region
GB201808892D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB201808894D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
US11378762B2 (en) 2018-08-06 2022-07-05 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11036005B2 (en) * 2018-08-06 2021-06-15 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11953728B2 (en) 2018-08-06 2024-04-09 Rockley Photonics Limited Method for III-v/silicon hybrid integration
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
CN108957649B (zh) * 2018-10-17 2023-08-22 四川光恒通信技术有限公司 一种平行光结构双收双发盒型密封封装光器件
US11075173B2 (en) * 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
RU2698561C1 (ru) * 2018-12-03 2019-08-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" СВЧ фотонный кристалл
KR102052737B1 (ko) * 2018-12-15 2020-01-08 김현철 번인 공정용 포켓캐리어 플레이트 제조방법 및 이를 이용한 포켓캐리어 플레이트와 지그 조립체
RU187926U1 (ru) * 2019-01-10 2019-03-25 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" Выводная рамка полупроводникового прибора
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
WO2020231171A1 (ko) * 2019-05-13 2020-11-19 주식회사 라이팩 커넥터 플러그 및 이를 이용한 액티브 광 케이블 조립체
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN112114207B (zh) * 2019-06-19 2024-05-10 泰克元有限公司 测试板及测试腔室
US20220329041A1 (en) * 2019-07-02 2022-10-13 Aayuna Inc. Laser Engine Supporting Multiple Laser Sources
US11258229B2 (en) * 2019-08-16 2022-02-22 Cisco Technology, Inc. Thermal gel application on electronic and optical components
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
JP7470517B2 (ja) 2020-02-05 2024-04-18 古河電気工業株式会社 光学装置
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
CN113410747B (zh) * 2020-03-17 2022-07-12 潍坊华光光电子有限公司 一种半导体激光器管脚快速修复装置及修复方法
JP2023521798A (ja) * 2020-04-15 2023-05-25 エクセリタス カナダ,インコーポレイテッド 半導体側面放射レーザー用の密閉表面実装パッケージ、及びその形成方法
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11747396B2 (en) * 2020-07-30 2023-09-05 Openlight Photonics, Inc. Optical interconnections for hybrid testing using automated testing equipment
CN114077016B (zh) 2020-08-11 2023-09-01 美国莫列斯有限公司 具有光子集成电路的封装结构
TWI725908B (zh) * 2020-08-18 2021-04-21 合晶科技股份有限公司 半極性氮化鎵的製作方法
US11686906B1 (en) * 2020-10-12 2023-06-27 Poet Technologies, Inc. Self-aligned structure and method on interposer-based PIC
CN112504574A (zh) * 2020-10-28 2021-03-16 北京中科科仪股份有限公司 一种光阑调节装置的检测工装及检测系统
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
JP2022094172A (ja) * 2020-12-14 2022-06-24 パナソニックIpマネジメント株式会社 発光装置、製造方法、及び導波構造体
US11474301B2 (en) * 2021-01-07 2022-10-18 Advanced Semiconductor Engineering, Inc. Device for communication
CN113295382B (zh) * 2021-04-29 2022-06-10 成都新锐鑫光通信技术有限公司 一种能够进行自适应检测的光纤连接器
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11502757B1 (en) * 2021-07-28 2022-11-15 QuantumZ Inc. Method of manufacturing device with optical component disposed thereon and transmission device manufactured by the same
CN113834527A (zh) * 2021-09-18 2021-12-24 重庆大学 一种压接型功率半导体结构及其内部压力在线测量方法
CN114705229A (zh) * 2022-03-29 2022-07-05 深圳市联创杰科技有限公司 一种基于敏感材料的基底可调光纤fp复合温湿度传感器芯片
CN115032741A (zh) * 2022-05-22 2022-09-09 上海图灵智算量子科技有限公司 波导及包含其的光芯片
CN115767948B (zh) * 2022-11-14 2024-04-02 北京自动化控制设备研究所 Mems惯性系统高密度低应力集成方法
CN117103697A (zh) * 2023-10-20 2023-11-24 成都光创联科技有限公司 一种气密光器件的生产方法

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US73145A (en) 1868-01-07 wellman
US731445A (en) 1902-09-16 1903-06-23 Willis M Esterly Laundry clothes-drier.
GB2136203B (en) 1983-03-02 1986-10-15 Standard Telephones Cables Ltd Through-wafer integrated circuit connections
US4771630A (en) 1985-12-20 1988-09-20 Warner-Lambert Company Method and apparatus for testing hermetic seal integrity of sealed packages and containers
JPH0620705Y2 (ja) 1987-09-29 1994-06-01 油谷重工株式会社 内装材の取付構造
US4897711A (en) * 1988-03-03 1990-01-30 American Telephone And Telegraph Company Subassembly for optoelectronic devices
US5082339A (en) * 1988-07-11 1992-01-21 Telefunken Electronic Gmbh Optical read-write head with diffraction grating structure
EP0448713B1 (en) 1988-07-22 1993-10-27 Nippondenso Co., Ltd. Semiconductor device
JPH0253007A (ja) * 1988-08-18 1990-02-22 Matsushita Electric Ind Co Ltd 半導体レーザと光ファイバとの結合方法
US4893499A (en) * 1988-12-05 1990-01-16 Unisys Corporation Method and apparatus for detecting leaks in IC packages by sensing package deflections
JPH0620930Y2 (ja) 1989-01-17 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH0766982B2 (ja) * 1989-03-29 1995-07-19 シャープ株式会社 波長選択性受光素子
JPH04505370A (ja) 1989-05-19 1992-09-17 ヒューレット・パッカード・カンパニー オプト・エレクトロニックス・デバイスのハウジング
JPH0620766Y2 (ja) 1989-06-16 1994-06-01 忠 庄司 吸着具
US4961821A (en) 1989-11-22 1990-10-09 Xerox Corporation Ode through holes and butt edges without edge dicing
US5032897A (en) 1990-02-28 1991-07-16 International Business Machines Corp. Integrated thermoelectric cooling
DE4010193C3 (de) * 1990-03-30 1998-03-26 Rheinmetall Ind Ag Leiterkarte für eine Leistungs-Elektronikschaltung
JPH04782A (ja) * 1990-04-18 1992-01-06 Nec Corp 半導体レーザ用パッケージ
DE4012396A1 (de) * 1990-04-19 1991-10-31 Roland Man Druckmasch Druckmaschinenanlage
US5201987A (en) 1990-06-04 1993-04-13 Xerox Corporation Fabricating method for silicon structures
JPH0448674A (ja) 1990-06-14 1992-02-18 Rohm Co Ltd 半導体レーザ
US5113404A (en) 1990-07-05 1992-05-12 At&T Bell Laboratories Silicon-based optical subassembly
US5082366A (en) 1990-08-30 1992-01-21 Laser Technology, Inc. Apparatus and method for detecting leaks in packages
US5080353A (en) * 1990-11-08 1992-01-14 Lee Tench Aerobic exercise chair
EP0512186A1 (en) * 1991-05-03 1992-11-11 International Business Machines Corporation Cooling structures and package modules for semiconductors
JP3143493B2 (ja) 1991-06-21 2001-03-07 キヤノン株式会社 表示制御装置
US5327443A (en) * 1991-10-30 1994-07-05 Rohm Co., Ltd. Package-type semiconductor laser device
US5259054A (en) 1992-01-10 1993-11-02 At&T Bell Laboratories Self-aligned optical subassembly
JP3235256B2 (ja) * 1992-03-31 2001-12-04 凸版印刷株式会社 メンブレンの製造方法とメンブレン
JP3398165B2 (ja) 1992-06-30 2003-04-21 日立マクセル株式会社 無機非水電解液電池
JP3198628B2 (ja) 1992-07-07 2001-08-13 松下電器産業株式会社 コードレス機器
US5231686A (en) 1992-07-17 1993-07-27 Honeywell Inc. Optical connector configured to facilitate active alignment of a photoelectric device with an optical fiber
JPH0786693B2 (ja) 1992-08-31 1995-09-20 スタンレー電気株式会社 電子写真用感光体
DE4232327A1 (de) 1992-09-26 1994-03-31 Sel Alcatel Ag Halbleiter-Lasermodul
JPH06149483A (ja) 1992-11-13 1994-05-27 Ricoh Co Ltd コンピュータシステム
JPH0786693A (ja) * 1993-09-16 1995-03-31 Toshiba Corp 光半導体モジュール
US5412748A (en) 1992-12-04 1995-05-02 Kabushiki Kaisha Toshiba Optical semiconductor module
US5351163A (en) 1992-12-30 1994-09-27 Westinghouse Electric Corporation High Q monolithic MIM capacitor
US5291572A (en) * 1993-01-14 1994-03-01 At&T Bell Laboratories Article comprising compression bonded parts
US5308442A (en) 1993-01-25 1994-05-03 Hewlett-Packard Company Anisotropically etched ink fill slots in silicon
US5448014A (en) * 1993-01-27 1995-09-05 Trw Inc. Mass simultaneous sealing and electrical connection of electronic devices
CN1094450A (zh) 1993-04-28 1994-11-02 德拉费有限公司 合金迁移烧结方法
US5390271A (en) 1993-05-03 1995-02-14 Litton Systems, Inc. Optical interface for hybrid circuit
US5432998A (en) * 1993-07-27 1995-07-18 International Business Machines, Corporation Method of solder bonding processor package
KR970005712B1 (ko) * 1994-01-11 1997-04-19 삼성전자 주식회사 고 열방출용 반도체 패키지
US5479540A (en) * 1994-06-30 1995-12-26 The Whitaker Corporation Passively aligned bi-directional optoelectronic transceiver module assembly
US5542602A (en) * 1994-12-30 1996-08-06 International Business Machines Corporation Stabilization of conductive adhesive by metallurgical bonding
JP3343875B2 (ja) 1995-06-30 2002-11-11 キヤノン株式会社 インクジェットヘッドの製造方法
US5881193A (en) 1995-12-22 1999-03-09 Lucent Technologies Inc. Low profile optical subassembly
US5812717A (en) 1996-01-18 1998-09-22 Methode Electronics, Inc. Optical package with alignment means and method of assembling an optical package
JPH10115556A (ja) * 1996-10-11 1998-05-06 Mitsubishi Electric Corp 赤外線検出器
DE19616969A1 (de) 1996-04-27 1997-10-30 Bosch Gmbh Robert Optische Baugruppe zur Ankopplung eines Lichtwellenleiters und Verfahren zur Herstellung derselben
JPH09307122A (ja) * 1996-05-17 1997-11-28 Shinko Electric Ind Co Ltd 光素子モジュール
JPH09318849A (ja) * 1996-05-24 1997-12-12 Fujitsu Ltd 光伝送モジュールおよびその製造方法
US5891354A (en) 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
US6354747B1 (en) * 1996-08-26 2002-03-12 Sumitomo Electric Industries, Ltd. Optical module
US5798557A (en) * 1996-08-29 1998-08-25 Harris Corporation Lid wafer bond packaging and micromachining
WO1998014813A1 (en) 1996-09-30 1998-04-09 The Whitaker Corporation Fiber optic array transmitter/receiver based on flexible circuit technology
DE19643717A1 (de) * 1996-10-23 1998-04-30 Asea Brown Boveri Flüssigkeits-Kühlvorrichtung für ein Hochleistungshalbleitermodul
DE19645636C1 (de) * 1996-11-06 1998-03-12 Telefunken Microelectron Leistungsmodul zur Ansteuerung von Elektromotoren
US5740261A (en) 1996-11-21 1998-04-14 Knowles Electronics, Inc. Miniature silicon condenser microphone
JP3359517B2 (ja) * 1996-12-13 2002-12-24 京セラ株式会社 光モジュール
SE511377C2 (sv) 1996-12-19 1999-09-20 Ericsson Telefon Ab L M Viaanordning
US5997890A (en) 1997-05-23 1999-12-07 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6133631A (en) * 1997-05-30 2000-10-17 Hewlett-Packard Company Semiconductor package lid with internal heat pipe
EP0895111A1 (en) 1997-07-22 1999-02-03 Lucent Technologies Inc. Bonding of aluminum oxide components to silicon substrates
JPH1186312A (ja) 1997-08-29 1999-03-30 Mitsumi Electric Co Ltd 光ピックアップ
US6180261B1 (en) * 1997-10-21 2001-01-30 Nitto Denko Corporation Low thermal expansion circuit board and multilayer wiring circuit board
US5990560A (en) 1997-10-22 1999-11-23 Lucent Technologies Inc. Method and compositions for achieving a kinetically controlled solder bond
FR2770339B1 (fr) 1997-10-27 2003-06-13 Commissariat Energie Atomique Structure munie de contacts electriques formes a travers le substrat de cette structure et procede d'obtention d'une telle structure
US6167751B1 (en) * 1997-11-26 2001-01-02 Thermedics Detection, Inc. Leak analysis
DE69737262T2 (de) 1997-11-26 2007-11-08 Stmicroelectronics S.R.L., Agrate Brianza Herstellungsverfahren für einen Vorder-Hinterseiten-Durchkontakt in mikro-integrierten Schaltungen
US5899836A (en) * 1998-01-08 1999-05-04 Chen; Paul Exerciser for pulling and stepping exercises
US6359333B1 (en) 1998-03-31 2002-03-19 Honeywell International Inc. Wafer-pair having deposited layer sealed chambers
US6036872A (en) 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers
JPH11295560A (ja) 1998-04-09 1999-10-29 Matsushita Electric Ind Co Ltd 光通信用モジュール及びその検査方法
DE19823691A1 (de) * 1998-05-27 1999-12-02 Siemens Ag Gehäuseanordnung für Lasermodul
US6196358B1 (en) 1998-07-08 2001-03-06 Shimano Inc. Detachable fluid cooling system for bicycle disc brake
US6110081A (en) * 1998-08-06 2000-08-29 Barrett; Marilyn Portable resistance-based exercise apparatus
US6342442B1 (en) 1998-11-20 2002-01-29 Agere Systems Guardian Corp. Kinetically controlled solder bonding
US7208725B2 (en) 1998-11-25 2007-04-24 Rohm And Haas Electronic Materials Llc Optoelectronic component with encapsulant
US20030034438A1 (en) 1998-11-25 2003-02-20 Sherrer David W. Optoelectronic device-optical fiber connector having micromachined pit for passive alignment of the optoelectronic device
AU2653299A (en) 1998-11-25 2000-06-13 Act Micro Devices Optoelectronic module and method of making same
KR100379350B1 (ko) 1998-12-16 2003-04-08 세이코 엡슨 가부시키가이샤 반도체 칩, 반도체 장치, 회로 기판 및 전자기기 및 그제조 방법
US6094919A (en) * 1999-01-04 2000-08-01 Intel Corporation Package with integrated thermoelectric module for cooling of integrated circuits
US6227724B1 (en) * 1999-01-11 2001-05-08 Lightlogic, Inc. Method for constructing an optoelectronic assembly
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
JP3567793B2 (ja) * 1999-04-27 2004-09-22 オムロン株式会社 半導体素子の製造方法
US6275513B1 (en) * 1999-06-04 2001-08-14 Bandwidth 9 Hermetically sealed semiconductor laser device
US6228675B1 (en) * 1999-07-23 2001-05-08 Agilent Technologies, Inc. Microcap wafer-level package with vias
US6522762B1 (en) 1999-09-07 2003-02-18 Microtronic A/S Silicon-based sensor system
US6091603A (en) * 1999-09-30 2000-07-18 International Business Machines Corporation Customizable lid for improved thermal performance of modules using flip chips
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
JP2001174671A (ja) * 1999-12-16 2001-06-29 Japan Aviation Electronics Industry Ltd 光素子モジュール
US6468891B2 (en) 2000-02-24 2002-10-22 Micron Technology, Inc. Stereolithographically fabricated conductive elements, semiconductor device components and assemblies including such conductive elements, and methods
US6351027B1 (en) 2000-02-29 2002-02-26 Agilent Technologies, Inc. Chip-mounted enclosure
JP3518491B2 (ja) 2000-06-26 2004-04-12 株式会社日立製作所 光結合装置
JP4134499B2 (ja) * 2000-08-07 2008-08-20 住友電気工業株式会社 光学装置
WO2000073760A2 (en) * 2000-09-26 2000-12-07 Martin Lehmann Method and apparatus for leak testing closed containers
US7345316B2 (en) 2000-10-25 2008-03-18 Shipley Company, L.L.C. Wafer level packaging for optoelectronic devices
US6932519B2 (en) 2000-11-16 2005-08-23 Shipley Company, L.L.C. Optical device package
JP3704467B2 (ja) * 2000-12-05 2005-10-12 松下電器産業株式会社 半導体装置の製造方法
AUPR245701A0 (en) * 2001-01-10 2001-02-01 Silverbrook Research Pty Ltd An apparatus (WSM10)
JP4092914B2 (ja) 2001-01-26 2008-05-28 セイコーエプソン株式会社 マスクの製造方法、有機エレクトロルミネッセンス装置の製造方法
KR100396551B1 (ko) * 2001-02-03 2003-09-03 삼성전자주식회사 웨이퍼 레벨 허메틱 실링 방법
US6894903B2 (en) * 2001-02-28 2005-05-17 Sumitomo Electric Industries, Ltd. Optical data link
US6358066B1 (en) 2001-02-28 2002-03-19 Stratos Lightwave, Inc. Surface mountable transceiver
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
JP4000782B2 (ja) 2001-03-22 2007-10-31 株式会社ジェイテクト 電動パワーステアリング装置
JP2002341189A (ja) * 2001-05-17 2002-11-27 Hitachi Ltd 光モジュール
JP4583662B2 (ja) 2001-06-01 2010-11-17 三菱電機株式会社 光モジュールパッケージおよびその製造方法
US6890834B2 (en) 2001-06-11 2005-05-10 Matsushita Electric Industrial Co., Ltd. Electronic device and method for manufacturing the same
JP3519720B2 (ja) * 2001-06-11 2004-04-19 松下電器産業株式会社 電子デバイス
US6776623B1 (en) 2001-06-11 2004-08-17 Picolight Incorporated Transceiver mounting adapters
JP4703061B2 (ja) * 2001-08-30 2011-06-15 富士通株式会社 薄膜回路基板の製造方法およびビア形成基板の形成方法
US6452798B1 (en) * 2001-09-12 2002-09-17 Harris Corporation Electronic module including a cooling substrate having a fluid cooling circuit therein and related methods
US6818464B2 (en) * 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
WO2003046640A1 (fr) 2001-11-27 2003-06-05 Matsushita Electric Industrial Co., Ltd. Visualisateur d'image de type projection a panneau unique
EP1456702A1 (en) * 2001-11-28 2004-09-15 Aegis Semiconductor, Inc. Package for electro-optical components
KR100442830B1 (ko) * 2001-12-04 2004-08-02 삼성전자주식회사 저온의 산화방지 허메틱 실링 방법
US7006426B2 (en) * 2001-12-18 2006-02-28 Stmicroelectronics, Inc. Integrated optical unit for use with miniature optical discs
GB2384554A (en) 2002-01-25 2003-07-30 Sepha Ltd Detecting leaks from sealed packages
US6660564B2 (en) * 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6746158B2 (en) 2002-01-31 2004-06-08 Agilent Technologies, Inc. Pull detach mechanism for fiber optic transceiver module
US6773532B2 (en) 2002-02-27 2004-08-10 Jds Uniphase Corporation Method for improving heat dissipation in optical transmitter
US20030161603A1 (en) 2002-02-27 2003-08-28 Nadeau Mary J. Receiver optical bench formed using passive alignment
US20030161133A1 (en) 2002-02-27 2003-08-28 Optronx Optical transmitter header having passive electrical component
US20030161363A1 (en) 2002-02-27 2003-08-28 Optronx, Inc. Optical transmitter and transponder that operate without thermoelectric cooler
US6646777B2 (en) * 2002-02-27 2003-11-11 Jds Uniphase Corporation Optical isolator with improved mounting characteristics
US6916122B2 (en) 2002-03-05 2005-07-12 Jds Uniphase Corporation Modular heat sinks
US6962834B2 (en) * 2002-03-22 2005-11-08 Stark David H Wafer-level hermetic micro-device packages
US7026223B2 (en) 2002-03-28 2006-04-11 M/A-Com, Inc Hermetic electric component package
JP2003318178A (ja) 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2003046014A (ja) * 2002-04-25 2003-02-14 Hitachi Kokusai Electric Inc 素子の気密封止方法
US6869231B2 (en) * 2002-05-01 2005-03-22 Jds Uniphase Corporation Transmitters, receivers, and transceivers including an optical bench
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6952046B2 (en) * 2002-06-19 2005-10-04 Foster-Miller, Inc. Electronic and optoelectronic component packaging technique
US7090412B2 (en) 2002-08-02 2006-08-15 Sumitomo Electric Industries, Ltd. Optical module
JP4515261B2 (ja) 2002-08-29 2010-07-28 ノーコム システムズ インク. シール品の漏洩を検知するシステム及びプロセス
US7138293B2 (en) * 2002-10-04 2006-11-21 Dalsa Semiconductor Inc. Wafer level packaging technique for microdevices
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US20050250253A1 (en) 2002-10-23 2005-11-10 Cheung Kin P Processes for hermetically packaging wafer level microscopic structures
US20040091268A1 (en) 2002-11-01 2004-05-13 Jds Uniphase Corporation Transmitter optical sub-assembly
US6969204B2 (en) 2002-11-26 2005-11-29 Hymite A/S Optical package with an integrated lens and optical assemblies incorporating the package
JP4072677B2 (ja) 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
JP2004235440A (ja) * 2003-01-30 2004-08-19 Mitsubishi Electric Corp マイクロパッケージとその製造方法
JP2004267167A (ja) * 2003-03-12 2004-09-30 Kanagawa Prefecture 老化上皮幹細胞の取得方法
JP4617636B2 (ja) 2003-03-19 2011-01-26 住友電気工業株式会社 光モジュール
US6860652B2 (en) * 2003-05-23 2005-03-01 Intel Corporation Package for housing an optoelectronic assembly
JP2005055670A (ja) * 2003-08-04 2005-03-03 Seiko Epson Corp Memsデバイス及びその製造方法並びにmemsモジュール
EP1517166B1 (en) 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US20050063431A1 (en) 2003-09-19 2005-03-24 Gallup Kendra J. Integrated optics and electronics
SG120123A1 (en) 2003-09-30 2006-03-28 Micron Technology Inc Castellated chip-scale packages and methods for fabricating the same
US6992887B2 (en) * 2003-10-15 2006-01-31 Visteon Global Technologies, Inc. Liquid cooled semiconductor device
US7160039B2 (en) 2004-01-26 2007-01-09 Jds Uniphase Corporation Compact optical sub-assembly with integrated flexible circuit
US20070278666A1 (en) 2004-04-13 2007-12-06 Jean-Charles Garcia Method for Production of Electronic and Optoelectronic Circuits
US7410307B2 (en) 2004-06-04 2008-08-12 Finisar Corporation Modular optical device package compatible with multiple fiber connectors
US8244085B2 (en) 2004-07-02 2012-08-14 Finisar Corporation Optical transceiver interface for multimode fibers
WO2006030315A1 (en) 2004-09-13 2006-03-23 Hymite A/S Optical module hermetically packaged in micro-machined structures
KR100618343B1 (ko) 2004-10-28 2006-08-31 삼성전자주식회사 패키징 기판의 제조방법 및 이를 이용한 패키징 방법.
US7081408B2 (en) 2004-10-28 2006-07-25 Intel Corporation Method of creating a tapered via using a receding mask and resulting structure
US7149405B2 (en) 2004-10-29 2006-12-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Electro-optical subassemblies and method for assembly thereof
US7553695B2 (en) 2005-03-17 2009-06-30 Hymite A/S Method of fabricating a package for a micro component
KR101217630B1 (ko) 2005-06-01 2013-01-02 삼성전자주식회사 광 어셈블리
US7280181B2 (en) 2005-06-30 2007-10-09 Intel Corporation Liquid crystal polymer optical filter carrier
JP5114017B2 (ja) 2006-05-11 2013-01-09 オリンパス株式会社 半導体装置、該半導体装置の製造方法
US7531445B2 (en) 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
JP4919984B2 (ja) 2007-02-25 2012-04-18 サムスン エレクトロニクス カンパニー リミテッド 電子デバイスパッケージとその形成方法
US8193615B2 (en) 2007-07-31 2012-06-05 DigitalOptics Corporation Europe Limited Semiconductor packaging process using through silicon vias
DE102009018603B9 (de) 2008-04-25 2021-01-14 Samsung Electronics Co., Ltd. Leuchtvorrichtung und Herstellungsverfahren derselben
US7704796B2 (en) 2008-06-04 2010-04-27 Stats Chippac, Ltd. Semiconductor device and method of forming recessed conductive vias in saw streets

Also Published As

Publication number Publication date
CN1649117A (zh) 2005-08-03
TW200522380A (en) 2005-07-01
US20050110157A1 (en) 2005-05-26
CA2481637A1 (en) 2005-03-15
US20050111797A1 (en) 2005-05-26
CA2481616A1 (en) 2005-03-15
US7129163B2 (en) 2006-10-31
CN101083255A (zh) 2007-12-05
US7329056B2 (en) 2008-02-12
EP2937897A3 (en) 2016-03-23
JP2005175431A (ja) 2005-06-30
US9410799B2 (en) 2016-08-09
EP1517166A3 (en) 2005-07-06
US8993450B2 (en) 2015-03-31
CA2793031A1 (en) 2005-03-15
CA2884972C (en) 2016-11-01
CN100511624C (zh) 2009-07-08
EP1515364B1 (en) 2016-04-13
US20070072321A1 (en) 2007-03-29
CA2843395A1 (en) 2005-03-15
EP1515364A3 (en) 2006-05-10
TWI241722B (en) 2005-10-11
CA2481616C (en) 2013-01-08
CA2884972A1 (en) 2005-03-15
US8703603B2 (en) 2014-04-22
US20070040268A1 (en) 2007-02-22
KR101131654B1 (ko) 2012-03-28
US7888793B2 (en) 2011-02-15
EP1515364A2 (en) 2005-03-16
CN100539127C (zh) 2009-09-09
TWI253761B (en) 2006-04-21
JP2005136384A (ja) 2005-05-26
US9817199B2 (en) 2017-11-14
EP1517166B1 (en) 2015-10-21
CN100378938C (zh) 2008-04-02
CA2843395C (en) 2016-08-16
KR101264048B1 (ko) 2013-05-21
CA2481637C (en) 2014-05-13
CN100386867C (zh) 2008-05-07
US20160344159A1 (en) 2016-11-24
KR20050027960A (ko) 2005-03-21
KR20120042811A (ko) 2012-05-03
US20140226690A1 (en) 2014-08-14
US7508065B2 (en) 2009-03-24
US20110079893A1 (en) 2011-04-07
US20070164419A1 (en) 2007-07-19
US7449784B2 (en) 2008-11-11
KR101146019B1 (ko) 2012-05-15
JP4911885B2 (ja) 2012-04-04
CN101079387A (zh) 2007-11-28
CN1655342A (zh) 2005-08-17
KR20050027959A (ko) 2005-03-21
US20180074272A1 (en) 2018-03-15
CA2793031C (en) 2015-06-02
US9647420B2 (en) 2017-05-09
KR20110119594A (ko) 2011-11-02
US20150184998A1 (en) 2015-07-02
US20170235066A1 (en) 2017-08-17
JP2012147007A (ja) 2012-08-02
EP1517166A2 (en) 2005-03-23
JP5002123B2 (ja) 2012-08-15
EP2937897A2 (en) 2015-10-28
TW200518354A (en) 2005-06-01

Similar Documents

Publication Publication Date Title
KR101194532B1 (ko) 장치 패키지 및, 그 제조 방법 및 테스트 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160926

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170912

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 8