JP2012147007A - デバイスパッケージ、ならびにその製造方法および試験方法 - Google Patents

デバイスパッケージ、ならびにその製造方法および試験方法 Download PDF

Info

Publication number
JP2012147007A
JP2012147007A JP2012067188A JP2012067188A JP2012147007A JP 2012147007 A JP2012147007 A JP 2012147007A JP 2012067188 A JP2012067188 A JP 2012067188A JP 2012067188 A JP2012067188 A JP 2012067188A JP 2012147007 A JP2012147007 A JP 2012147007A
Authority
JP
Japan
Prior art keywords
lid
wafer
optical
grid
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012067188A
Other languages
English (en)
Inventor
David W Sherrer
デイビッド・ダブリュー・シェアラー
Larry J Rasnake
ラリー・ジェイ・ラスネーク
John J Fisher
ジョン・ジェイ・フィッシャー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuvotronics Inc
Original Assignee
Nuvotronics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuvotronics Inc filed Critical Nuvotronics Inc
Publication of JP2012147007A publication Critical patent/JP2012147007A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4248Feed-through connections for the hermetical passage of fibres through a package wall
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/16Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/3628Mechanical coupling means for mounting fibres to supporting carriers
    • G02B6/3684Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier
    • G02B6/3692Mechanical coupling means for mounting fibres to supporting carriers characterised by the manufacturing process of surface profiling of the supporting carrier with surface micromachining involving etching, e.g. wet or dry etching steps
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4206Optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/421Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical component consisting of a short length of fibre, e.g. fibre stub
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/423Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using guiding surfaces for the alignment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4239Adhesive bonding; Encapsulation with polymer material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/424Mounting of the optical light guide
    • G02B6/4243Mounting of the optical light guide into a groove
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4244Mounting of the optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4256Details of housings
    • G02B6/4257Details of housings having a supporting carrier or a mounting substrate or a mounting plate
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4266Thermal aspects, temperature control or temperature monitoring
    • G02B6/4268Cooling
    • G02B6/4271Cooling with thermo electric cooling
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4292Coupling light guides with opto-electronic elements the light guide being disconnectable from the opto-electronic element, e.g. mutually self aligning arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02253Out-coupling of light using lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/023Mount members, e.g. sub-mount members
    • H01S5/02325Mechanically integrated components on mount members or optical micro-benches
    • H01S5/02326Arrangements for relative positioning of laser diodes and optical components, e.g. grooves in the mount to fix optical fibres or lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0235Method for mounting laser chips
    • H01S5/02355Fixing laser chips on mounts
    • H01S5/0237Fixing laser chips on mounts by soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02407Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling
    • H01S5/02415Active cooling, e.g. the laser temperature is controlled by a thermo-electric cooler or water cooling by using a thermo-electric cooler [TEC], e.g. Peltier element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/024Arrangements for thermal management
    • H01S5/02469Passive cooling, e.g. where heat is removed by the housing as a whole or by a heat pipe without any active cooling element like a TEC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/06Arrangements for controlling the laser output parameters, e.g. by operating on the active medium
    • H01S5/068Stabilisation of laser output parameters
    • H01S5/0683Stabilisation of laser output parameters by monitoring the optical output parameters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02208Mountings; Housings characterised by the shape of the housings
    • H01S5/02216Butterfly-type, i.e. with electrode pins extending horizontally from the housings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/02218Material of the housings; Filling of the housings
    • H01S5/0222Gas-filled housings

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Lasers (AREA)
  • Optical Couplings Of Light Guides (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

【課題】気密封止されたオプトエレクトロニクスデバイスパッケージを提供する。
【解決手段】パッケージは、ベース基体であって、前記ベース基体の表面上のオプトエレクトロニクスデバイス12の取り付け領域10と、蓋200の取り付け領域とを含むベース基体を含む。ベース基体と蓋との間に密閉容積が形成され、オプトエレクトロニクスデバイスは密閉容積内にある。前記蓋は、前記オプトエレクトロニクスデバイスを出入りする光路に沿って所与の波長の光を伝送するのに好適な光伝送領域を有し、前記蓋取り付け領域の少なくとも一部は、前記ベース基体の表面より下で前記光路より下の深さで光路に沿って配置される。
【選択図】図5A

Description

(関連出願の相互参照)
本出願は、米国特許法第119条(e)の下に2003年9月15日に提出された特許文献1の利益を主張し、その記載内容を本明細書に援用する。
本発明は、一般にデバイスパッケージに関し、限定するものではないが、特に気密封止されたミクロ光学デバイスパッケージに関する。さらに本発明は、漏れの検査方法、ならびにデバイスパッケージを電気的および光学的に試験する方法などのデバイスパッケージの試験方法にも関する。さらに本発明は、封止されたビア構造、コネクターが取り付けられたオプトエレクトロニクスデバイス、およびオプトエレクトロニクスデバイスパッケージの蓋に関する。さらに、本発明は、コンポーネントを互いに接合させる方法に関する。
オプトエレクトロニクスデバイスは、データ通信において重要な役割を果たしている。このようなデバイスの採用および使用を進展させるために、要求されている低コストの目標を達成できるようオプトエレクトロニクスデバイスを効率的に製造する能力が望まれている。オプトエレクトロニクス通信デバイスのコストの大部分(最大75%)が実装コストであるので、特に重要なことは、オプトエレクトロニクスデバイスの実装プロセスのコスト削減である。典型的には実装はユニットごとに実施される。したがって、大規模、たとえばウエハレベルまたはグリッドレベルでのオプトエレクトロニクスデバイスパッケージの製造を可能とする技術は、コストの点から好都合である。
気密封止されたオプトエレクトロニクスデバイスパッケージが知られている。このようなパッケージは、封入されたデバイスおよびコンポーネントを格納し、パッケージ外部の雰囲気中に存在する汚染物質および水蒸気から保護する。このようなパッケージは、実装されたオプトエレクトロニクスデバイスを光ファイバーなどの他の光学コンポーネントと連結させることもできる。これらのパッケージは典型的には、コバール(Kovar)で作製された蓋なしのケースを含み、このケースはレーザーが搭載されるキャビティ領域を有する。ケースの側壁のフィードスルーを介してこのキャビティ内に延びる光ファイバーが提供され、このケースにカバーが取り付けられてキャビティが密封される。このようなファイバーのフィードスルーの使用に関しては種々の欠点が存在する。たとえば、気密封止する場合にメタライズされたファイバーが使用されると、コストが非常に高くなる場合がある。さらに、フィードスルー内にファイバーを効率的に封止することは困難であるため、パッケージの漏れおよび気密性の低下が起こりやすくなる。したがって、ファイバーのフィードスルーに依拠しない気密性パッケージが望ましい。
さらに、デバイスパッケージの漏れによって、典型的に、収容されるコンポーネントが水蒸気および汚染物質にさらされて、コンポーネントの性能の低下が起こる。これは、オプトエレクトロニクスコンポーネントおよび光学コンポーネントの場合に特に発生する。したがって、封止されたデバイスパッケージの気密性を試験するための簡単な試験法があれば好都合である。
米国仮出願第60/502,868号明細書 米国特許出願公開第09/519,165号明細書 欧州特許出願公開第0895111号明細書 米国特許第6,034,405号明細書
現行の最先端技術における上記問題の1以上を克服または明らかに改善するための技術が当技術分野において必要とされている。
本発明の第1の態様によると、オプトエレクトロニクスデバイスパッケージが提供される。このパッケージは、ベース基体の表面上にあるオプトエレクトロニクスデバイス取り付け領域と、蓋取り付け領域とを有するベース基体を含む。オプトエレクトロニクスデバイス取り付け領域上にはオプトエレクトロニクスデバイスが搭載される。蓋取り付け領域上には蓋が搭載されて、ベース基体と蓋との間に密閉容積が形成される。オプトエレクトロニクスデバイスはこの密閉容積内にある。蓋は、オプトエレクトロニクスデバイスを出入りする所与の波長の光を光路に沿って伝送するのに好適な光伝送領域を有し、蓋取り付け領域の少なくとも一部は、光路に沿ってベース基体の表面下に、光路の下のある深さで配置される。
本発明のさらに別の態様によると、ウエハレベルまたはグリッドレベルのオプトエレクトロニクスデバイスパッケージ蓋が提供される。この蓋は、複数のダイを有するシリコンウエハまたはグリッドを含み、各ダイは複数の側壁と、側壁と連結するルーフとを有し、これによってキャビティが形成される。1以上の側壁は、側壁を通過する光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を有する。
本発明のさらに別の態様によると、ウエハレベルまたはグリッドレベル上にオプトエレクトロニクスデバイス蓋を形成する方法が提供される。この方法は、複数のダイを有するシリコンウエハまたはグリッドを提供するステップと、前記ウエハまたはグリッドをエッチングして、それぞれが複数の側壁と、前記側壁と連結するルーフとを有しキャビティを形成する複数の蓋構造体を形成するステップとを含む。各蓋構造体の1以上の側壁は、側壁を通過する光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を有する。
本発明のさらに別の態様によると、コネクターが取り付けられたオプトエレクトロニクスデバイスが提供される。このデバイスは、内部キャビティおよび光ポートを有するコネクターと、そのキャビティ内に配置され光ポートと光通信するオプトエレクトロニクスデバイスパッケージとを備える。
このオプトエレクトロニクスデバイスパッケージは、オプトエレクトロニクスデバイス取り付け領域および蓋取り付け領域を有するベース基体と、オプトエレクトロニクスデバイス取り付け領域上に搭載されたオプトエレクトロニクスデバイスと、蓋取り付け領域上に搭載された蓋とを含み、ベース基体と蓋との間に密閉容積を形成することができる。この蓋は、オプトエレクトロニクスデバイスへの、またはオプトエレクトロニクスデバイスからの光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を有する。
本発明のさらに別の態様によると、封止されたビア構造を形成する方法が提供される。この方法は、(a)第1の表面と、前記第1の表面の反対側の第2の表面とを有する半導体基体を提供するステップと、(b)前記基体の前記第1の表面上に層を形成するステップと、(c)前記第2の表面から前記層まで前記基体を通過するビアホールをエッチングするステップであって、前記ビアホールは前記第1の表面に第1の境界を有するステップと、(d)前記層にアパーチャを形成するステップであって、前記アパーチャは前記第1の境界の内部に第2の境界を有するステップと、(e)前記ビア構造を封止するための導電性構造体を提供するステップとを含む。
本発明のさらに別の態様によると、封止されたビア構造を形成する方法が提供される。この方法は、(a)第1の表面と、前記第1の表面の反対側の第2の表面とを有する半導体基体を提供するステップと、(b)前記基体の前記第1の表面上に絶縁層を形成するステップと、(c)前記第2の表面から前記絶縁層まで前記基体を通過するビアホールをエッチングするステップと、(d)前記層にアパーチャを形成するステップと、(e)前記ビア構造を封止するためのメタライゼーション構造体を提供するステップとを含む。
本発明のさらに別の態様によると、封止されたビア構造が提供される。このビア構造は、第1の表面および前記第1の表面の反対側の第2の表面を有する半導体基体と、前記基体の前記第1の表面上の層と、前記第2の表面から前記層まで前記基体を通過するビアホールであって、前記第1の表面に第1の境界を有するビアホールと、前記層中のアパーチャであって、前記アパーチャが前記第1の境界の内部に第2の境界を有するアパーチャと、前記ビア構造を封止するメタライゼーション構造体とを含む。
本発明のさらに別の態様によると、封止されたビア構造が提供される。この封止されたビア構造は、第1の表面および前記第1の表面の反対側の第2の表面を有する半導体基体と、前記基体の前記第1の表面上の絶縁層と、前記第2の表面から前記層まで前記基体を通過するビアホールと、前記絶縁層中のアパーチャと、前記ビア構造を封止するメタライゼーション構造体とを含む。
本発明のさらに別の態様によると、封止されたデバイスパッケージの漏れを検出する方法が提供される。この方法は、(a)デバイスを収容する封止されたパッケージを提供するステップであって、前記パッケージの壁に測定可能なたわみが生じる条件下で前記パッケージが封止され、たわみの程度は前記パッケージの内側の圧力に依存するステップと、(b)前記パッケージを封止した後に前記壁の前記たわみを測定するステップとを含む。
本発明のさらに別の態様によると、封止されたデバイスパッケージが提供される。このパッケージは、基体と、前記基体を覆う半導体材料を含む蓋と、前記基体と前記蓋との間の封止された容積と、前記封止された容積中のデバイスとを含む。この封止された容積は蓋の壁が測定可能なたわみを有する圧力におけるものであり、このたわみの程度は封止された容積の圧力に依存する。
本発明のさらに別の態様によると、デバイスパッケージが提供される。このデバイスパッケージは、第1の表面を有する基体と、前記基体の前記第1の表面上に搭載されたデバイスと、前記基体中のキャビティと、前記デバイスによって発生する熱を除去するための前記キャビティ内の冷却構造とを含む。
本発明のさらに別の態様によると、デバイスパッケージが提供される。このデバイスパッケージは、蓋取り付け領域およびデバイス取り付け領域を含む基体と、前記蓋取り付け領域上の蓋であって、前記基体と前記蓋との間に密閉容積を形成する蓋と、前記密閉容積内の前記デバイス取り付け領域上のデバイスと、前記キャビティ内の冷却構造とを含む。
本発明のさらに別の態様によると、第1のコンポーネントを第2のコンポーネントに接合する方法が提供される。この方法は、(a)第1のコンポーネントと第2のコンポーネントとの間に複数の層を提供するステップであって、前記複数の層は第1の構成層と、前記第1の構成層とは異なる組成の第2の構成層と、拡散障壁層とを含み、前記拡散障壁層は前記第1の構成層と前記第2の構成層との間に配置され、前記第2の構成層は前記第1のコンポーネントと前記拡散障壁層との間に配置されるステップと、(b)前記第1のコンポーネントに圧力を加えて、前記第1のコンポーネントと前記第2の構成層との間に接合を形成するステップと、(c)前記第1の構成層と前記第2の構成層との間で原子の相互拡散が起こるために有効な温度および時間で、前記接合させた構造体を加熱するステップとを含む。結果として得られる構造体は、全体の融点が加熱温度よりも高い。
以上の概要、および本発明の代表的実施形態の以下の詳細な説明は、添付の図面と合わせて読むことによって最もよく理解できるであろう。
図1Aは、製造のある段階における本発明による光学マイクロベンチの概略斜視図である。 図1Bは、製造のある段階における本発明による光学マイクロベンチの概略斜視図である。 図1Cは、製造のある段階における本発明による光学マイクロベンチの概略斜視図である。 図2Aは、分割線2Aに沿って取られた図1Aのマイクロベンチの概略垂直断面図である。 図2Bは、分割線2Bに沿って取られた図1Cのマイクロベンチの概略垂直断面図である。 図3Aは、図1A〜Cに示されるものとは異なる配置のくぼんだ領域を有する本発明によるマイクロベンチの別の構成の概略垂直断面図である。 図3Bは、図1A〜Cに示されるものとは異なる配置のくぼんだ領域を有する本発明によるマイクロベンチの別の構成の概略垂直断面図である。 図4Aは、本発明による複数の光学マイクロベンチを含むベースウエハの概略上面図である。 図4Bは、本発明による複数の蓋を含むウエハの概略上面図(蓋キャビティが見える方向)である。 図4Cは、1列のマイクロベンチに沿って取られた断面を有する、図4Aのベースウエハ上に封止された図4Bの蓋ウエハの概略垂直断面図である。 図4Dは、蓋ウエハから蓋が1つずつ分離された後の図4Cの蓋ウエハとベースウエハとの組立体の水平断面図である。 図4はE、蓋ウエハから蓋が1つずつ分離された後の図4Cの蓋ウエハとベースウエハとの組立体の垂直断面図である。 図4Fは、蓋ウエハから蓋が1つずつ分離された後の図4Cの蓋ウエハとベースウエハとの組立体の垂直断面図である。 図5Aは、本発明によるミクロ光学デバイスパッケージを組み立てるための部品の概略斜視図である。 図5Bは、図5Aに示される部品から組み立てられる本発明によるミクロ光学デバイスパッケージの概略斜視図である。 図6Aは、図5Aのミクロ光学デバイスパッケージの光軸に沿って取られた概略垂直断面図である。 図6Bは、レーザーの発光領域をファイバーの端面に集中させる1つのレンズを有することを除けば図6Aに示される図と同様であるミクロ光学デバイスパッケージの光軸に沿って取られた概略垂直断面図である。 図7Aは、冷却構造を有する本発明によるミクロ光学デバイスパッケージの概略垂直断面図である。 図7Bは、冷却構造を有する本発明によるミクロ光学デバイスパッケージの概略垂直断面図である。 図7Cは、冷却構造を有する本発明によるミクロ光学デバイスパッケージの概略垂直断面図である。 図8Aは、本発明によるさらに別のミクロ光学デバイスパッケージの概略垂直断面図である。 図8Bは、本発明によるさらに別のミクロ光学デバイスパッケージの概略垂直断面図である。 図9Aは、気密封止されたキャビティ内部からパッケージ外部まで延在する気密ビアを有する本発明によるミクロ光学デバイスパッケージの概略垂直断面図である。 図9Bは、気密封止されたキャビティ内部からパッケージ外部まで延在する気密ビアを有する本発明によるミクロ光学デバイスパッケージの概略上面図である。 図10Aは、本発明によるミクロ光学デバイスパッケージが収容されるコネクターの概略垂直断面図である。 図10Bは、本発明によるミクロ光学デバイスパッケージが収容されるコネクターの概略斜視図である。 図11Aは、本発明のミクロ光学デバイスパッケージを収容するコネクターと、ミクロ光学デバイスパッケージを冷却するためのヒートシンクとの概略斜視図である。 図11Bは、本発明のミクロ光学デバイスパッケージを収容するコネクターと、ミクロ光学デバイスパッケージを冷却するためのヒートシンクとの概略斜視図である。 図11Cは、本発明のミクロ光学デバイスパッケージを収容するコネクターと、ミクロ光学デバイスパッケージを冷却するためのヒートシンクとの概略斜視図である。 図12Aは、導電性リード線を有する光学マイクロベンチを製造するための本発明による方法を示すフローチャートである。 図12Bは、導電性リード線を有する光学マイクロベンチを製造するための本発明による方法を示すフローチャートである。 図13Aは、気密封止された導電性ビアを有する光学マイクロベンチを製造するための本発明による方法を示すフローチャートである。 図13Bは、気密封止された導電性ビアを有する光学マイクロベンチを製造するための本発明による方法を示すフローチャートである。 図13Cは、気密封止された導電性ビアを有する光学マイクロベンチを製造するための本発明による方法を示すフローチャートである。 図14Aは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図14Bは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図14Cは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図14Dは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図14Eは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図14Gは、気密封止された導電性ビアを製造するための本発明による方法を示す概略図である。 図15Aは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Bは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Cは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Dは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15E1は、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15E2は、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Fは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Gは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図15Hは、気密封止された導電性ビアを製造するための本発明による別の方法を示す概略図である。 図16Aは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による方法の概略上面図である。 図16Bは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による方法の概略上面図である。 図16Cは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による方法の概略上面図である。 図16Dは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による方法の概略上面図である。 図17Aは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による別の方法の概略上面図である。 図17Bは、蓋ウエハ上のキャビティが形成された蓋を製造するための本発明による別の方法の概略側面図である。 図18Aは、ガラス状側壁部分を有する蓋の概略図である。 図18Bは、ガラス状側壁部分を有する蓋の概略図である。 図18Cは、ガラス状側壁部分を有する蓋の概略図である。 図18Dは、ガラス状側壁部分を有する蓋の概略図である。
これより図面を参照すると、同様の要素は同様の番号が付けられており、本発明によるミクロ光学デバイスパッケージ500が提供されている。ミクロ光学デバイスパッケージ500は、蓋200中の光路通過開口部が不要となることによって、蓋200の光学マイクロベンチ100への気密封止が容易になる構成をしている。蓋200は、側壁220を含み、これは、蓋の下に配置されたオプトエレクトロニクスデバイス12、18が受け取るまたはこれらより伝達される光の波長に対して光学的に十分透明である。本明細書で使用される場合、用語「光」は、可視スペクトルに限定されるものではなく、可視スペクトル外にある電磁放射線を含む。
側壁220および残りの光学系を透過する光がさらに妨害されることなく伝播できるようにするため、蓋200の封止面226は、光学マイクロベンチ100に向かってくぼんでいてもよく、これによって光学系の透明アパーチャが光学マイクロベンチ100の任意の表面に囲まれることがなくなる。これに関連して、くぼんだクリアランス面50、52、54を形成することができる。このようなクリアランス面50、52、54の使用、およびくぼんだ蓋の搭載は、多くのミクロ光学系において有用である。これに関連して、オプトエレクトロニクスデバイス12として機能しうるレーザーダイなどの発光源は、通常、活性ストライプ側を下向きにしてマイクロベンチ100上に接合することで、マイクロベンチ100上のフィーチャーに対して活性ストライプを正確に配置することができる。このように配置することによって、マイクロベンチ100の上面70に接近するよう光軸を配置できる。蓋200にくぼみがないと、光ビームが蓋ボンドラインおよびマイクロベンチ100と干渉することがある。蓋(または蓋ウエハ)をマイクロベンチ100(またはマイクロベンチウエハ)の方向にくぼませることによって、ビームの吸蔵による望ましくない光の損失が起こらずに、全アパーチャのビームが、蓋側壁220およびマイクロベンチ100上の残りの光学系を通過することができる。たとえば、光源によって発生した光の半分までは、くぼんだ蓋200がなければ損失しうる。しかし、ある用途では、マイクロベンチ100のくぼんでない部分に蓋200が搭載できるようになっていてもよい。
(A.マイクロベンチ)
(1.微細機械加工されたマイクロベンチフィーチャーの配置)
これより図1A〜1C、2A、および2Bを参照すると、本発明によるミクロ光学デバイスパッケージ500のベースとして使用される例示的な光学マイクロベンチ100が示されている。図1A〜1Cにおいてマイクロベンチ100は分離したコンポーネントとして示されているが、マイクロベンチ100は、ベースウエハ(グリッド)110の一部であってもよいし、図4Aに示されるように完全なベースウエハ110の上に複数の光学マイクロベンチ100が形成されてもよい。マイクロベンチの基体材料は、マイクロベンチ100に形成されるフィーチャーと関連して選択される。たとえば、マイクロベンチ材料は、熱伝導性微細成形プラスチック、およびスリップ−キャストセラミックを含むことができ、微細機械加工されたマスターウエハから複製することができる。マイクロベンチ100に特に好適な材料の1つは単結晶性シリコンであり、これを確立されたフォトリソグラフィー技術を使用した異方性方法によってエッチングして、マイクロベンチ100上に正確な方向でコンポーネントを提供することができる。限定ではなく説明の目的で、異方性エッチングが可能な単結晶材料を含むものとして、以下でマイクロベンチ100が説明されるが、光学マイクロベンチ100の構造を実現することができる他の材料および方法も本発明で考慮されることを理解されたい。
図1Aおよび2Aに注目すると、1以上の搭載用フィーチャーを形成することができる上面70を含む例示的なマイクロベンチ100が示されている。光学素子を保持するための搭載用フィーチャーの一般的な配置は、典型的に、それらの光学素子が相互作用する光学モデルを含む。たとえば、第1および第2のピット20、30、モニター溝11、ファイバー溝40、ならびに前部および後部蓋取り付けチャネル62、64を、上面70に形成することができる。以下により詳細に説明するように、これらのフィーチャーは、(100)シリコン基体に異方性エッチングすることができる。ピット20、30、溝11、40、および取り付けチャネル62、64の間で正確に位置合わせするために、このようなフィーチャーは同じマスキングおよびエッチングプロセス中に形成することができる。エッチングの結果得られる各構造は、{111}結晶面である傾斜側壁を含む。上面70のエッチングされていない部分は、デバイス取り付け領域10となり、この上に、1以上のオプトエレクトロニクスデバイス、電子デバイス、機械的デバイス(たとえば、MEMS)、またはオプトメカニカルデバイスを搭載し、接合して、電気的に相互接続することができる。
たとえば、透明な蓋200、および任意に光アイソレーターが光路中に配置される場合、図5A、5B、および6Aに示されるように、2つのレンズ22、32を使用することができ、第1のレンズ22がビームをセミコリメートすることで、ビームが透明蓋200および任意の光アイソレーターを透過することができ、第2のレンズ32は光を光ファイバー42に結合させることができる。オプトエレクトロニクスデバイス12から離れる方向に光路があるようにデバイスが記載されているが、オプトエレクトロニクスデバイスが光を受け取る場合には光路が逆方向であってもよいことは明らかであろう。光学素子の間隔、およびそれらの機械的位置合わせに使用される対応する搭載用フィーチャーは、それらの接合位置またはそれらの光学性能に最終的に影響を与えうるあらゆる封入剤、はんだパッド、またはその他のフィーチャーによって決定されるべきである。
シリコンへのエッチ深さを最小限にして、より厳格な許容範囲が維持されるようにするため、典型的に、搭載用フィーチャーはできるだけ小さくなるように作製される。一例として取り付け領域10上に、活性領域を下向きにして搭載されるファブリ・ペロー(Fabrey−Perot)(FP)または分布帰還型(DFB)レーザーダイ12については、光軸は光学マイクロベンチ100の上面70の数ミクロン上になることがある。この場合、搭載用要素の配置は、図6Aおよび6Bに示されるように、ボールレンズ22、32(たとえば、グレード(Grade)10またはより厳しい許容範囲の直径500ミクロンのスピネル(Spinel))の中心、および光ファイバー42の中心がレーザーダイ12活性領域の高さに配置されるように設計することができる。ボールレンズ22、32、および系中の他の光学表面は反射防止コーティングでコーティングしてもよい。たとえば、LPCVDによって付着させた酸窒化ケイ素1/4波層は、好適なコンフォーマルコーティングとなりうる。反射防止コーティングは、たとえば、所望のコーティング厚さおよび屈折率の均一性が得られるように調節された気流および圧力でNO、NH、およびSiClを使用することによって形成することができる。
オプトエレクトロニクスデバイスは熱を発生する傾向にあるので、気密性キャビティから熱を除去することができる冷却構造が提供されることが望ましい場合がある。図7A〜Cに、使用することができる例示的な冷却構造が示されている。たとえば、図7Aは、レーザーダイ12の位置の下にあるマイクロベンチ100中の冷却キャビティ74を示している。冷却キャビティは、たとえば、ウェットエッチングまたはドライエッチング(たとえばRIEエッチング)方法を使用して、典型的にはベースウエハの厚さの50〜90%の間の深さにベースウエハをエッチングすることによって形成することができる。小型熱電冷却器(TECまたはペルチェ(Peltier)効果冷却器)、またはTEC冷却器と連結することができる金属スタッドなどの冷却構造76をキャビティ内に提供することによって、レーザーダイ領域を効率的に、ある程度選択的に冷却(または温度制御)することができる。これによって、冷却に必要な電力を軽減し、凝縮を制御することができる。図に示されるように、ヒートシンク77をベース基体に取り付けて、熱伝達を促進させることができる。図7Bおよび7Cは、さらに別の冷却構造を示しており、これらの冷却構造は、微細加工技術を使用して、気密性キャビティ230内部のベースウエハ110の上面または下面70、72に直接に(図7B)、あるいは蓋200の内部または表面上(図7C)に形成することができる。冷却構造76とオプトエレクトロニクスデバイス12との間の熱接触を向上させるために、熱伝導性グリースなどの熱伝導性材料78を使用することができる。
再び図1Cを参照すると、光学マイクロベンチ100の境界にあり、光学マイクロベンチ100の長さ方向に沿って延在する、長手方向の2つの蓋取り付けチャネル66、68を提供することができる。長手方向の蓋取り付けチャネル66、68は、前部および後部蓋取り付けチャネル62、64と交差して、堀の形状を有する蓋取り付け領域60を提供する。したがって、蓋取り付け領域60は、デバイス取り付け領域10を取り囲むくぼんだ境界、第1のピット20、および蓋200を封止することができる第1のくぼんだクリアランス面50を含むことができる。図に示されるように、この堀は長方形であってよい。長手方向のチャネル66、68の深さは、蓋取り付けチャネル62、64の深さと同じであってよい。蓋200の表面下の封止が望ましい場合、この堀は、光学マイクロベンチ100の上面70の下で、ミクロ光学系の光路の下の深さまでくぼんでいてもよい。
(2.マイクロベンチの導電性経路)
オプトエレクトロニクスデバイス12、18に電気接続を提供するため、図1Bに示されるように、導電性リード線14の形態の電気接続を、マイクロベンチ100の上面70の選択された領域上にパターン形成することができる。図5Aおよび5Bに示されるように、デバイス取り付け領域10と、デバイス取り付け領域10を覆い密閉する気密封止された蓋200の外側のマイクロベンチ100の領域との間で電気的に導通するように、導電性リード線14の方向を決めることができる。たとえば、蓋200の一部は後部蓋取り付けチャネル64内に取り付けられるので、導電性リード線14は後部蓋取り付けチャネル64の表面61、63に沿って延在することができる。したがって、電気的信号は、後部取り付けチャネル64内に取り付けられる蓋200の縁端部下の導電性リード線14に沿って伝達することができる。導電性リード14に沿って選択された位置において、たとえばデバイス取り付け領域10内の導電性リード線14の終端などにはんだパッド16を提供することができる。
これとは別にまたはこれに加えて、たとえば図9Aおよび9Bに示されるように、デバイス取り付け領域10内のデバイス12、18と、気密封止された蓋200の外部との間に電気接続を形成するために、1以上の気密封止された導電性ビア90を提供することができる。典型的に、導電性ビア90は、デバイス取り付け領域10の上面70からマイクロベンチ100を通って伸び、それによってマイクロベンチ100の下面72と電気的な導通を提供する。導電性リード線14、およびこのような線を覆う蓋200の封止が不必要となるという点から、導電性ビアは好都合である。これによって、蓋の下に延在するリード線と比較すると、伝達速度などの電気伝達特性が向上すると考えられる。気密封止された導電性ビア90によって、たとえば10〜60GHzの高速で動作可能な導電性経路を提供することができる。さらに、導電性ビア90を使用すると、導電線14を覆って封止する場合に典型的に使用されるソルダーガラスなどの誘電性シールまたは誘電性封入剤層などの封止剤の代わりに、Au−Sn共晶などの金属はんだ(たとえば、厚さ3〜8ミクロン)を使用してマイクロベンチ100に蓋200を封止することができる。導電性ビアを使用することのさらなる利点としては、リード線のための傾斜側壁を使用する必要がないことであり、この場合、蓋の堀が使用される場合には、これはダイシングによって簡単に形成することができる。気密封止された導電性ビア90は、本明細書で後述する方法によって形成することができる。
(3.マイクロベンチの光学列)
図1A〜1Cに戻ると、必要である場合、マイクロベンチ100に設置された光学系を光ビームが通過するためのクリアランスを提供するために、別のくぼんだフィーチャーを光学マイクロベンチ100中に提供することができる。くぼんだクリアランス面50、52、54は、クリアランス面50、52、54におけるマイクロベンチ100の領域を光ビームが自由に通過でき、これらの領域において光学マイクロベンチ100にビームが衝突することがないように、光路に沿った位置に提供される。たとえば、図1Cに示されるように、第1のくぼんだクリアランス面50は第1のピット20と隣接して形成され、第2のくぼんだクリアランス面52は第2のピット30と隣接して形成され、第3のくぼんだクリアランス面54は第2のピット30とファイバー溝40との間に形成される。くぼんだクリアランス面の深さは、光ビームをクリアにするために必要な深さによって最小値が決定され、エッチングされたフィーチャーと、エッチングされたフィーチャー内に接合された光学素子との間の接触点の深さによって最大値が決定される。
今度は図4A〜4E、5Aおよび5Bを参照すると、光学マイクロベンチ100への光学素子および封止素子の追加が示されており、最終的に組み立てられたミクロ光学デバイスパッケージ500が示されている。組み立てられたミクロ光学デバイスパッケージ500は、搭載用フィーチャーにおいて、たとえば、第1および第2のピット20、30において光学マイクロベンチ100上に搭載される光学素子およびオプトエレクトロニクスデバイスを含む。本明細書で使用される場合、用語「オプトエレクトロニクスデバイス」は、光ビームを放出、検出、またはその他に変化させる能動デバイスを含み、たとえば光源、光学検出器、およびMEMSデバイスを含む。用語「光学素子」は、オプトエレクトロニクスデバイス、および受動光学素子、たとえばレンズ、グレーティング、プリズム、フィルターなどを含む。
図5Aを参照すると、本発明による例示的なミクロ光学デバイスパッケージ500の分解組立図が示されている。ミクロ光学デバイスパッケージ500は、光学マイクロベンチ100および蓋200を備えている。半導体レーザーダイなどのオプトエレクトロニクスデバイス12は、はんだパッド16と電気的に導通した状態でデバイス取り付け領域10上に配置される。さらに、任意のバックファセットモニターフォトダイオード18が、レーザーダイ12を監視するためにデバイス取り付け領域に取り付けられる。レーザーダイ12と同様に、モニターフォトダイオード18は、それぞれのはんだパッドと電気的に接触して搭載され、それぞれの導電性リード線14と電気的に導通することができる。追加のはんだパッドを表面上に配置して機械的接合を提供することができ、これらは電気接続に使用されるものと同じ組成であってもよいし、異なっていてもよい。種々の組成物を使用して、適合可能な接合の階層構造を形成することができる。これらの組成物は、接合されるパーツと、マイクロベンチ表面との間で分割することができ、そのためはんだ合金は形成されないか、または両方のパーツが互いに接触するまでは完全には形成されない。このため接合階層構造の自由度が増し、所与の共晶の周辺の異なる組成で数種類の溶融温度および接合温度を得ることができる。
マイクロベンチ上のレーザーダイ12などの能動デバイスの受動的な観察による位置合わせを可能とするために、本発明の能動デバイスは、好適な基準点を有してもよい。これらの基準点は、レーザーダイ12の活性領域と同時に画定されることができ、これによってリソグラフィーの偏りが防止され、接合の誤りが最小限となる。接合後の検査を容易にするために、ダイ基準点とは正反対の側のダイ12には金属を使用しないことが可能である。これにより、マイクロベンチ100およびレーザー材料の両方を観察した場合に、レーザーダイ基準点に対してシリコンベンチ基準点の位置合わせを観察することによって位置合わせのIR受動的検査が可能となる。接合後精度を決定するための、「加重平均」に基づくクアドラントごとの強度が典型的に使用される受動的検査方法が、接合精度を向上させるために、ある精密ボンダーで使用される場合がある。さらに、これらによって、レーザーダイ12に電力が供給される前に、実現される結合効率の指標を得ることができる。
任意に、たとえば約1nmのスペクトル線幅が得られるグレーティングを有するDFB型ダイまたはFPダイを作製することができる。この値は、設計によって数種類(たとえば、2〜6種類)のモードを使用可能な典型的なDFBレーザーで使用される値よりもはるかに広いが、FP単独で得られる値よりも狭い線幅である。この方法は、FPダイの到達距離を伸ばすことができ、アイソレーターを使用せずにDFB型ダイを使用することができる。これは、同等のFPレーザーで通常得られるよりも狭いスペクトル幅を得ることによって、および利得曲線の単純なdn/dtではなくグレーティングのdn/dtに依存させることで中心波長の熱ドリフトを低減しやすくすることによって実現することができる。最後に、単一モードDFBよりも「数種類のモード」のダイを使用することの利点として、ある延長された到達距離(たとえば、1〜20kM用途)の場合に系からアイソレーターを取り外せることが挙げられる。これと関連して、単一スペクトルモードレーザー(DFB)ほどには、すべてのモードで同程度に後方反射は干渉しなし。このため、非冷却操作において、より安価なFP型ダイをより長いリンク長さで使用することができ、これはDFBとアイソレーターを使用するよりも歩留まりおよびコストの面から好ましい。
任意のバックファセットモニターフォトダイオード18が使用される場合は、半導体レーザーダイ12とモニターフォトダイオード18との間に配置された任意のクリアランス溝11を提供することが望ましい。クリアランス溝11は、レーザーダイ12によって発せられる光のクリアランスを提供し、光は上方に反射されフォトダイオード18に到達する前に下方に伝播してクリアランス溝11に到達する。クリアランス溝11は傾斜した端面13を含むことができ、これはレーザーダイ12から発せられた光を受け取り、そのように受け取った光を光学マイクロベンチ100から上方のフォトダイオード18の方向に反射する。モニターフォトダイオード18の位置は、このように偏向した光ビームを受光するように選択される。モニターフォトダイオード18の位置は、最大量の光がモニターフォトダイオード18のダイナミックレンジ内となるために望まれるよりも多くの光が存在する場合に、レーザーバックファセットとの最大結合点から離れるように調整することができる。端面13は、たとえば、メタライズしてもよいし、または反射率を改善するその他のコーティングを行ってもよい。任意のクリアランス溝11は、第1および第2のピット20、30を提供するための異方性エッチングステップ中に形成することができる。このような場合、傾斜した端面13は異方性エッチングした(100)単結晶シリコンの{111}面を含むことができる。
典型的に、レーザーダイ12は、マイクロベンチ100の上面70に対して垂直な面内にある上部および下部境界光線を有するとともに光軸を含むほぼ円錐形の光線80を放出する。図6Aに示されるように、レーザーダイ12から放出される円錐形の光80を受光するために、第1のボールレンズ22などの光学素子を、第1のピット20内に配置することができる。任意に、第1のピット20は、第1のレンズ22を内部に配置するために必要な大きさよりも大きくてもよい。たとえば、第1のピット20は光軸の方向に沿ってより長い寸法を有してもよく、この場合、ピット20の4つの側壁の中の3つのみと接触することによって第1のレンズ22が第1のピット20内に配置される。同様に、第2のピット30も第2のレンズ32より大きくてもよい。
第1のボールレンズ22は、レンズをぬらすソルダーガラスまたははんだなどの好適な接着剤または接合剤を使用してピット20に接着することができる。このようなはんだは、シャドーマスクを介した蒸発またはスパッタリングによってピット20に直接付着させてもよいし、または他の方法の中でも特にはんだボールを使用することによって付着させることもできる。レーザーダイ12から放出される下部境界光線が不明瞭になるのを防止するため、レーザーダイ12の発光端はピット20と隣接して配置されると望ましい場合があり、それによって、光学マイクロベンチ100のあらゆる部分と衝突することなく、下部境界光線は下方に進行してピット20に到達し、第1のレンズ22を捕捉する。あるいは、レーザーダイ12は、ボールレンズ22と間隔を開けて配置することもでき、図9Aに示されるようにくぼんだクリアランス面56を提供することで、妨害されずに下部境界光線をボールレンズ22に到達させることができる。第1のレンズ22の光学的性質は、図6Aに示されるように、第1のレンズ22がレーザーダイ12から受光する光錐80を所望の角度にコリメートさせるため、レーザーダイ12の発光領域がレンズの焦点となるよう位置するように選択されることが望ましい。あるいは、図6Bに示されるように、第1のレンズ22の光学的性質は、ファイバー溝40に向かう光軸に沿って伝播するビームを集束させるように選択することができる。
(4.気密封止されたキャビティ)
ミクロ光学デバイスパッケージ500は蓋200を備えてもよく、これは、蓋取り付け領域、たとえば、存在するなら、蓋取り付けチャネル62、64、66、68内でマイクロベンチ100上に配置することで、レーザーダイ12、第1のレンズ22、フォトダイオード18、および第1のくぼんだクリアランス面50の周囲で封止された筐体が提供される。蓋200は、たとえば、長方形のキャビティ230を蓋200内部に形成するために、4つの側壁220およびルーフ250を含むことができる。別の代表的実施形態では、蓋200は1つのアーチ型の側壁を含むことができ、または屈折力を得るためにレンズ型の蓋側壁を含むことができる。典型的に、蓋200のルーフ250は厚さが10〜100ミクロンの範囲である。ルーフ250の厚さの、キャビティ230の最長寸法(スパン)に対する比率は、典型的には1/10〜1/50である。このような比率であれば、気密性の変化または低下に応答して、ルーフ250が十分湾曲することができる。このような湾曲を測定して、パッケージの気密性の指標を得ることができる。たとえば、キャビティ全長が1mmの蓋200の場合、蓋の厚さが40ミクロンであれば、一般に十分なたわみおよび耐久性を得ることができる。典型的に、蓋キャビティの深さは、くぼんだトレンチ(存在する場合)の深さおよび中に入るコンポーネントの高さによって決定される。典型的な蓋の深さは、たとえば100〜600ミクロンである。
前部および後部蓋取り付けチャネル62、64の傾斜側壁65、63は、蓋200のそれぞれの側壁220のガイディングを助け、蓋取り付けチャネル62、64内部の所望の位置に配置させることができる。蓋取り付けチャネル62、64、66、68の深さ、および前部および後部蓋取り付けチャネル62、64の幅は、蓋200の下部封止面226が光学マイクロベンチ100に十分な深さで取り付けられて、封止面226が光学系の光路から外れるように選択されることが望ましい。したがって、前部および後部蓋取り付けチャネル62、64の幅は、下部封止面226が光路から外れるのであれば、配置される側壁220の幅と同じでも、より大きくても小さくてもよい。さらに、蓋200を光学マイクロベンチ100に封止して、レーザーダイ12への後方反射を防止するために十分な程度、たとえば1°または2°だけ光軸に対して垂直からわずかに外して光軸と交差する蓋側壁221を配置することができる。レーザーダイ12への後方反射は、DFBレーザーなどの一部のデバイスの光学性能に悪影響を与えうる。
典型的には、光路内にある側壁221の少なくとも一部が、レーザーダイ12によって伝達される光の波長に対して光学的に透明となるように蓋200が構成される。これによって、レーザーダイ12と蓋200の外部との間で伝達される光学信号の損失が最小限となる。場合によっては、側壁部分が光導波路を含むと望ましいこともある。透明な側壁部分は、透過する光ビームに適合した物理的特性を有するべきである。たとえば、ビームが通過する側壁表面の厚さ、平行度、および平滑性によって、許容される光学性能が得られ、ビームの許容されない劣化は生じないようすべきである。たとえば、蓋側壁220はケイ素を含むことができ、10〜100ミクロンの厚さを有することができる。厚さまたは表面粗さのばらつきに対する光ビームの感受性を最小限にするため、ほぼコリメートされたビームが蓋200の側壁部分を通過することが望ましい。この理由のため、上述の第1のレンズ22のコリメーション機能が提供されることが望ましい。さらに、側壁部分は、反射を軽減するため、1/4波長厚さの窒化ケイ素などの反射防止コーティングでコーティングしてもよい。
ソルダーガラスまたは金属はんだなどのあらゆる好適な接合材料240によって、蓋200をマイクロベンチ100の蓋取り付け領域に気密封止することができる。接合材料240を最初に蓋封止面226に適用すると好都合である場合がある。あるいは、溶接またはその他の技術を直接使用してマイクロベンチ100に蓋200を直接気密封止することができる。好適な接合材料としては、たとえば、SnF、SnO、PO2.5、PbF、NbO2.5、ZnF、およびBaFの1以上を含有するようなソルダーガラス、ダイマット・インコーポレイテッド(Diemat,Inc.)(米国マサチューセッツ州バイフィールド(Byfield,MA)より入手可能なDM2700PFソルダーガラスなどのソルダーガラス、および金属はんだ、たとえば、Cr/Niなどの下層の上のたとえば共晶または共晶に近いAu−Snが挙げられる。
導電性リード線14がマイクロベンチ100の上面に沿って配置される場合、金属はんだなどの導電性接合材料240は、リード線14と電気的に導通しないようにすべきである。この場合、導電性リード線14と導電性接合材料との間に誘電体を配置することができる。しかし、このような構成は、容量性効果のために導電性リード線14を通って信号が移動することができる速度が低下することがある。したがって、エポキシまたはガラスはんだなどの誘電性接合材料を、リード線14上の蓋200の気密封止に使用することができる。しかし、ガラスはんだでも、高RF送信用途には適さない場合があり、この場合には気密封止された導電性ビア90が好ましいこともある。導電性リード線14上の封止の影響を最小限にするために、リード線14上に配置される少なくとも蓋側壁220部分は、薄く作製することができる。
図5Bおよび6Aに示されるようにボールレンズ32などの第2の光学素子を、第2のピット30中に配置して、第1のレンズ22でコリメートされ蓋200の側壁221を通過するビームを受光させることができる。第2のボールレンズ32は、好適な接着剤または接合剤を使用してピット30に接着させることができる。分離後、光ファイバー42を、ファイバー溝40中に配置し、好適な接着剤または接合剤を使用して接着させることができる。ファイバー溝40は、光ファイバーセグメント42が光軸に沿って存在するように十分な深さを有する。光学マイクロベンチ100の長さを超えて延在するセラミック製フェルール44中に光ファイバー42を配置して、ファイバー42を機械的に支持し、光ファイバー42と他の光学コンポーネントとの結合を促進することができる。あるいは、セラミック製分離スリーブまたはプラスチック製受け器を、光ファイバー受け器設計の一部として、ファイバーの代わりに接合させることもできる。これによって、外部ファイバー光コネクターを正確に位置合わせすることができ、次にこれを受け器に差し込んで、デバイスパッケージ500と再現性のある光学的結合を形成することができる。
第2のレンズ32の光学的性質は、ファイバー42の近接する端面43にレンズの焦点がくるように選択すると好都合でありうる。この場合、第2のレンズ32によって、コリメートされたビームがファイバー端面43上に集束して、レーザーダイ12から放出された光がファイバー42と効率的に結合する。ほこりなどの汚染物質の影響から光学系を保護するため、図5Aおよび5Bに示されるように、ファイバー端面43および第2のレンズ32の上に封入剤34を提供することができ、これは第2のレンズ32と蓋200の近接する側壁221との間の光路に沿った空隙を充填することもできる。封入剤34および第2のレンズ32の光学的性質は、ファイバー端面43上にビームが希望通りに集束するように選択される。市販の材料を使用することができ、たとえば、シリコーン封入剤、屈折率整合性エポキシ、ナイ・オプティカル・プロダクツ・インコーポレイテッド(Nye Optical Products,Inc.)(米国マサチューセッツ州フェアヘーブン(Fairhaven,MA)より入手可能なSmartGel(商標)封入剤、およびダウ・コーニング(Dow Corning)より入手可能なその他の封入剤が挙げられる。
図1〜6に示される例示的なミクロ光学デバイスパッケージ500以外に、本発明によるミクロ光学デバイスパッケージの他の構成も可能である。たとえば、ミクロ光学デバイスパッケージ500は、第1の側壁220を通過した光ビームを受光し、デバイスパッケージ500中の光学素子によってそのビームを光学的に変化させ、続いて、変化させたビームをパッケージ500から1以上の同じ側壁220を通って、別の側壁200、または蓋ルーフ250に伝達させることができる。さらに、同じまたは異なる構成の一連のデバイスパッケージ500をデイジーチェーン方式でつないで、デバイスパッケージ500の光学列を提供することができる。さらに、図8Aおよび8Bを参照すると、ミクロ光学デバイスパッケージ500は、1以上の光ファイバーセグメント42および第2のボールレンズ32を含まないように提供することができる。その代わりに、ミクロ光学デバイスパッケージ500は、ファイバーフェルール210a、210bに取り付けられるように構成することができ、フェルール内に任意に球面レンズ32を含むことができる。任意に、デバイスパッケージ500の間の間隙67は、フェルール210をデバイスパッケージ500に固定して取り付けるための接合材料を含むことができる。さらに、屈折率整合性材料を間隙67に設けてもよい。
(B.コネクターの取り付け)
ミクロ光学デバイスパッケージ500は、オプトエレクトロニクスの種々のシステム構成に使用することができ、たとえばプリント配線基板(PWB)などの電子デバイス基体に搭載したり、コネクターが取り付けられたデバイスの一部として使用することができる。たとえば、図10Aおよび10Bに示されるように、本発明によるミクロ光学デバイスパッケージ500を受け入れるコネクター520を提供することによって、ミクロ光学デバイスパッケージ500を他のシステムのコンポーネントと光学的に結合させることができる。本発明のミクロ光学デバイスパッケージは小さな寸法を実現することが可能なため、ミクロ光学デバイスパッケージ500は、コネクター520の内部キャビティ512の内部に収容することができる。さらに、本発明のミクロ光学デバイスパッケージは、現在市販されているコネクター内に組み込むのによく適している。たとえば、コネクター520は、LCコネクターまたはその他の好適なコネクターであってよい。したがって、本発明の光学マイクロベンチを含むコネクターは、光ファイバーコネクターを光学的な送信機、受信機、またはトランシーバーとして機能させることができる。
ミクロ光学デバイスパッケージ500は、好適な接合材料を使用してハウジングマウント506上に搭載することができる。ハウジングマウント506は、機械的支持および熱散逸の両方が得られるように設計することができる。フェルールハウジング508は、ミクロ光学パッケージデバイス500に光学的に取り付けられるファイバーセグメント42を含むフェルール44を受け入れて支持するために、コネクター520に配置することができる。フェルールハウジング508は、好適な接合材料を使用してハウジングマウント506に取り付けられる。あるいは、ハウジングマウント506およびフェルールハウジング508は、1つのモノリシック部分で形成されてもよい。望ましくは、フェルールハウジング508およびハウジングマウント506は金属を含むことができる。ミクロ光学デバイスパッケージ500のフェルール44は、ファイバーフェルール44の終端がフェルールハウジング508の終端と重なるような長さを有すると好都合である。フェルールハウジング508は、ファイバーフェルール44上にスエージ加工してもよい。
電気的信号および電力は、フレックス回路510によってミクロ光学デバイスパッケージ500に供給することができ、このフレックス回路は、導電性リード線またはビアのいずれが使用されるかに依存して、導電性リード線および/またはビアと電気的に接続される。フレックス回路510は、たとえば3Mで製造されているようにポリイミド上にメッキされた銅から製造することができる。フレックス回路510によって、デバイスパッケージ500を、PWBなどの電子デバイス基体と機械的に結合させたり分離したりすることができ、このことはCTEの差による接合破壊を防止するために望ましい。フレックス回路510は、PWBとデバイスパッケージ接触パッドとの間のピッチ差を調節することができ、末端部にはんだを含むことができ、その長さに沿って末端抵抗器を含むことができ、またPWBとデバイスパッケージ500との間でRF信号を適切に伝達するためのインピーダンスが制御された伝送線を含む。
フェルールハウジング508は、コネクター520内でスライドするように取り付けることができ、このためフェルールハウジング508はコネクター520内部でピストン運動することができる。これと関連して、コネクター520は、コイルばねなどのフェルールばね502を含むことができ、これはフェルールハウジング508の一部を覆ってコネクターキャビティ512の内部に配置される。フェルールばね502の前端503は、フェルールハウジング508のショルダー509で止まり、フェルールばね502の後端505はコネクターキャビティ512のショルダー507で止まる。あるいは、ミクロ光学デバイスパッケージ500は、コネクター520内部に固定されてピストン運動ができなくてもよい。
ミクロ光学デバイスパッケージ500の冷却を促進するために、図11A〜11Cに示されるようにコネクター520にヒートシンク540、545を取り付けることができる。内部コンポーネントを含むコネクター530は、コネクター520と実質的に同一であってよい。しかし、例示的なヒートシンク540、545を収容するために、コネクター520のハウジングを改良して、コネクターのハウジング内にスロット532を形成し、ヒートシンク540、545が、コネクターのキャビティの領域を超えて延在できるようにすることが望ましい。図11Bに示されるように、ヒートシンク540は、金属フェルールハウジングの近接端部541の断面形状と類似した断面形状を有する通路543を含むことができ、これによって金属フェルールハウジングの近接端部541上にヒートシンクをスライド可能に搭載することができ、その結果、ヒートシンクと金属フェルールコネクター508との間で熱交換され、ミクロ光学デバイスパッケージ500との間でも熱交換がなされる。ヒートシンク545も同様に金属フェルールハウジング508と接続することができる。ヒートシンク540、545は、ヒートシンクからの熱の散逸を促進するために複数のフィン542、546を備えることが望ましい。典型的に、ヒートシンクは金属などの十分な熱伝導性を有する材料を含む。さらに、コネクターハウジングの裏面519は、熱伝導性プラスチックから作製することで、コネクターまたは受け器ハウジングの熱の出入りを促進させることができる。好適なプラスチックは市販されており、たとえば、米国ロードアイランド州ウォリック(Warwick,RI)のクール・ポリマーズ(Cool Polymers)より入手可能なCool Poly(商標)が挙げられる。PWBに取り付けられる場合、デバイスパッケージ500からの熱を散逸させるためにPWBにもヒートシンクを取り付けてもよい。
(C.マイクロベンチの製造)
(1.導電性リード線を有するマイクロベンチ)
図12Aおよび12Bを参照すると、本発明による導電性リード線14を有する光学マイクロベンチ100を製造するための代表的方法のフローチャートが示されている。このフローチャートおよび方法は、1つの光学マイクロベンチ100をベースウエハ110上に製造することに関する例として説明するものであり、実際には、1つのベースウエハ110またはウエハから取り出したグリッドの上に複数の光学マイクロベンチ100を製造することが望ましいことを理解されたい。
ステップS10で開始し、(100)両面研磨シリコンウエハなどのベースウエハ110が提供される。典型的には、ベースウエハ110の厚さは525ミクロンであり、抵抗率は、高周波数用途の場合には1000オーム・cmを超えることが望ましい。ベースウエハ110は、最低酸素濃度を有し、微細加工工程における欠陥を最小限にするために実際的に利用可能な欠陥密度を有するように選択されることが望ましい。
ステップS20において、第1のハードマスクがベースウエハ110上に提供される。このハードマスクは、たとえば、低応力窒化ケイ素層などの窒化ケイ素層を含むことができる。第1のハードマスク層の好適な厚さは、たとえば、200〜250nmである。任意に、結晶位置合わせステップを実施して、結晶学的位置合わせの正確な軸を決定することができ、それによって、エッチングされるフィーチャーを、要求される精度で結晶軸に位置合わせすることができる。
ステップS30では、金属リフトオフのためにベースウエハ110にパターンが形成される。場合によっては、金属リフトオフ用のパターン形成は、パターン形成されたより厚いレジストの下に配置されたリフトオフレジスト層を使用してもよく、後の工程で薄いリフトオフレジストはアンダーカットすることができる。任意に内側にくぼんだレジストプロフィールを使用して、金属のパターン形成の場合にきれいなリフトオフを実現することができる。
続く工程のステップS40では、パターン形成されたハードマスクを通して曝露されるベースウエハ110の領域上に1以上の金属層を堆積させる。これは、スパッタリングまたは蒸発などの物理蒸着(PVD)、化学蒸着(CVD)、あるいはその他の好適な方法によって実施することができる。金属層としては、たとえば、接着層(たとえば、Ti、TiW、TiN、Cr、NiCr、W、またはその他の好適な材料)、拡散障壁層(たとえば、Ni、Pt、またはその他の好適な材料)、および表面金属(たとえば、Au、Al、またはその他の好適な材料)の1以上が挙げられる。典型的な厚さは、接着層の場合(存在する場合)で5〜100nm、たとえば40〜60nm、たとえば50nmであり、拡散障壁の場合で100〜300nm、たとえば、200〜275nm、たとえば250nmであり、表面金属の場合で200nm〜1ミクロン、たとえば、500nm〜1ミクロンである。金属は、ウェットエッチング、はんだ付け、および熱加工などの後の工程に適合するように選択されるべきである。たとえば、Ti/Pt/Au、TiW/Au、TiN/Au、Cr/Ni/Au、またはNiCr/Ni/Auの積層体を使用してもよい。導電性リード線14およびはんだパッド16が抵抗器および/またははんだダムの両方の機能を有することも可能となる拡散障壁または接着層の厚さを希望に応じて使用すると有用な場合があり、この場合、表面金属を導電性リード線14の区画から選択的に剥離することができる。はんだダムは、はんだパッドのすぐ外側に配置して、リフロー中に導電性(表面金属)リード線14に沿った、はんだのウィッキングを防止することができる。抵抗器は、伝送線の末端抵抗器を、レーザーダイ12に適合させて、ドライバーによってたとえば、25オームまたは50オームの必要なインピーダンスにすると望ましいことが多い。希望する場合、表面のメタライゼーションおよび接合におけるギャップは、集積的な要素の代わりに離散的な要素を搭載することができる。
ステップS50では、パターン形成されたリフトオフ金属部分をリフトオフして、ベースウエハ110の上面70および位置合わせ基準点上に導電性リード線14などの第1の組の表面メタライゼーションを形成することができる。後のシリコンの微細機械加工に望ましい形状の金属「リング」またはトレースを、別のレジストコーティングおよびハードマスク(窒化ケイ素)を介したドライエッチパターン形成を使用して、ベースウエハ110の上面70上でエッチングされる搭載用フィーチャーの自己位置合わせのために形成することもでき、このことは、たとえば、2000年3月6日に提出され「複数種類の表面フィーチャーのパターン形成のための単一マスクリソグラフィー法(Single Mask Lithographic Process for Patterning Multiple Types of Surface Features)」と題された同時係属中の米国特許出願第09/519,165号に記載されており、この記載内容は本明細書に援用される。別の方法では、導電性リード線14および金属リングのためのメタライゼーションを、独立したステップとして実施することができる。さらに、導電性リード線14のためのメタライゼーション、ならびに一般的なレジストパターン形成およびハードマスクドライエッチングには、従来方法を使用してもよい。
ステップS50では、レンズ22、32のためのピット20、30、前部および後部蓋取り付けチャネル62、64、モニター溝11、ファイバー溝40、ならびにレーザーおよびバックファセットモニターの位置合わせ基準点などの搭載用フィーチャーを画定するための金属マスクもパターン形成することができる。微細機械加工されたフィーチャーを形成するためのパターン形成方法は、微細機械加工される領域を取り囲む典型的に5〜20ミクロンの金属リングを形成することを含む。したがって、伝送線、金属位置合わせ基準点、および微細機械加工される領域のメタライゼーションは、1つのリソグラフィーステップで実施できる場合が多い。次に、これらの金属リングを覆うレジスト層に開口部を残す、より精度の低い第2のリソグラフィーステップを実施することができる。これによって、金属リングの内縁部が、プラズマエッチステップの正確なハードマスクとして機能することができ、リング内部から窒化ケイ素または酸化ケイ素が除去される。レジストのストリッピング後、ウエハのシリコンの異方性エッチングを行うことができる。実施されるエッチングの特定のエッチング化学反応の種類に依存して、種々のマスキング材料を使用することができる。微細機械加工されたフィーチャーを形成するためのパターン形成方法は、金属リングの内部から窒化物ハードマスクを除去することを含む。これらの内部は、エッチングによって微細機械加工される領域であり、これはCFまたは他のフッ素含有プラズマ中のドライエッチングによって実施することができる。これらの技術の詳細は上記にに引用した米国特許出願09/519,165号に記載されている。
任意に、抵抗器またははんだダムが望まれる場合には、別のリソグラフィーステップ、およびたとえばヨウ素もしくはヨウ化カリウム溶液などの溶液中のウェットエッチングを使用して表面金属層を選択的に剥離することができる。単位面積当たりの抵抗率がより高いことが望ましい場合は、拡散障壁層を剥離して、Cr、NiCr、W、TiW、TiN、またはTiなどの接着層を使用することが望ましいことがある。レジストは、たとえば、スピンコーティングされるレジストや電気泳動レジストであってよい。電気泳動レジストは、シプレイ・カンパニーL.L.C.(Shipley Company,L.L.C.)(米国マサチューセッツ州マールボロ(Marlborough,MA))より市販されている。リフトオフ法を使用する代わりに、ステップS40〜S60は、最初に金属を堆積し、レジストを適用して、ウェットエッチングまたはドライエッチングで金属を除去して、パターンを形成することによって実施することもできる。
ステップS70においては、たとえば、ほぼ80:20のAu−Snはんだ、またはその他の好適なはんだから形成することができるはんだパッド16を、上記方法と同じリフトオフ方法を使用して堆積することができる。はんだパッド16は、わずかにスズが多く、リフロー時間をより長くするように形成することができる。典型的な厚さは、たとえば2.5〜3.5ミクロンである。典型的に、はんだパッドの組成は、ベースのメタライゼーション中、および接合されるレーザーおよびモニターダイ12、18上で、金などの金属を組成物中に最終的に含むように設計される。
搭載用フィーチャーを形成するために、異方性ウェットエッチなどの微細機械加工ステップを実施することができる。好適なエッチャントとしては、たとえば、エチレンジアミンピロカテコール(EDP)、水酸化テトラメチルアンモニウム(TMAH)、ヒドラジン、またはアルカリ金属水酸化物、たとえば水酸化ナトリウム、水酸化カリウム、水酸化セシウム、もしくは水酸化ルビジウムなどが挙げられる。エッチャントは、たとえば、結晶面に対する特定の選択性、ハードマスク材料の選択、均一性、表面粗さ、表面上の金属の保護、およびその他の設計/製造上の考慮事項に依存する。
ステップS80では、鋸引きなどの機械的方法によるくぼんだクリアランス面50、52、54の形成を実施することができる。特定の場合には、鋸引きはエッチングよりも望ましい。エッチングは、第1のピット20などの隣接する異方性エッチングされた搭載用フィーチャーを攻撃し、異方性エッチングされた搭載用フィーチャーの正確に配置された境界を変化させることがある。異方性エッチングされた搭載用フィーチャーのこのような後のエッチングによって、異方性エッチングされた搭載用フィーチャー中の光学オポネント(optical opponent)の間の相対位置が変化しうる。機械的方法を使用することによって、エッチングされた各搭載用要素の露出外部角部分を保護するように設計される補償フィーチャーが不要となり、なお、エッチングされた搭載用フィーチャー上に{111}側壁を提供する。図3Bに示されるように、前部および後部蓋取り付けチャネル62、64は、エッチングの代わりにダイシングによって提供することもできる。これと関連して、前部および後部蓋取り付けチャネル62、64はエッチング操作後に形成してもよい。
たとえば、導電性リード線14の間で電気的に絶縁させることが望まれ、かつベースウエハ110の抵抗率が適切な電気的絶縁を提供しない場合には、ステップS90のパッシベーションステップが望ましいことがある。たとえば、PECVD酸化ケイ素、窒化ケイ素、または酸窒化ケイ素を、パッシベーションコーティングとして後部取り付けチャネル64内に堆積させることができる。典型的に、パッシベーションコーティングは、たとえば、スピンコーティングまたはめっきされるレジストを使用した別のリソグラフィーステップを必要とし、続いてドライエッチングまたはウェットエッチング、たとえば、バッファード酸化物エッチ(BOE)を行って、接触面とはんだパッド表面とを開放する。この層の厚さは、典型的に50〜250nm、またはピンホールのない被覆を提供するために望ましい厚さである。
後部取り付けチャネル64内に配置される導電性リード線部分を形成するために、別の一連の金属パターン形成ステップを実施することができ、これはステップS30〜S50と同様であってよい。開始のステップS100で、コンフォーマルレジスト技術を使用して後部取り付けチャネル64においてリフトオフのために金属をパターン形成することができる。前述と同じメタライゼーション構造を使用することができる。適切にメタライゼーションを行うため、傾斜した表面、たとえば、後部取り付けチャネル表面61、63は、後部取り付けチャネル表面61、63の両方が十分被覆されるように、蒸発物の角度に対して配置されるべきである。あるいは、シャドーマスクを使用して、後部取り付けチャネル表面61、63上に配置する導電性リード線14のパターンを形成することもできる。ウェットエッチングを使用して後部取り付けチャネル64と適合させ、ドライエッチングによって後部取り付けチャネル64中の導電性リード線および電気的トレースを画定した、別のエッチングされたウエハから作製されたコンフォーマルシャドーマスクを使用することができる。
ステップS100に先行してドライエッチング、たとえば50〜250mTorrでフッ素を使用する反応性イオンエッチングを実施することができる。これによって、表面上のマスキング層を完全に剥離することなく、上面70から伸び、かつ後部蓋取り付けチャネル64をオーバーハングして存在しうる窒化物シェルフを除去することができる。エッチング中に比較的高い圧力を使用することで、シェルフは両面から活性イオンによって攻撃され、表面でおこるエッチングの速度よりも速い正味のエッチング速度が得られる。シェルフを除去することによって、後部取り付けチャネル64のいずれかのサイド上の金属1トレース間の電気的不連続性などの、シェルフ下の金属に対するシャドーイング効果が防止される。シェルフの寸法は、たとえば結晶面間のエッチング比、およびエッチャントの種類などの関数である。これに関して、EDPなどのある種のエッチャントでは、他のエッチャントよりも有意に大きなシェルフが得られることがある。表面のパッシベーションが使用されないか、または前述のように導体を供給するためにトレンチのパッシベーションを行う場合などの後のステップで実施される場合、金属またはレジストでマスクされていないすべての表面パッシベーションを除去してもよい。
はんだまたはその他の金属接合面(たとえば、EP0895111A1号および米国特許第6,034,405号に記載されるAlO接合)を使用してレンズまたはファイバーなどの光学コンポーネントを取り付けることが望ましい場合、その時点でベースウエハ110と接触するエッチングされた金属のシャドーマスクを使用してはんだを提供することができる。この適用目的において、過渡的液相(TLP)はんだ、および熱活性化拡散(TAD)はんだが特に有用となる。はんだによるファイバーの接合を提供することが計画される場合、使用される接合階層に依存して、はんだはステップS100または後のステップにおいて提供され得る。接合階層は、たとえば設計の複雑さ(無機接合を使用して接合される光学素子の数など)に依存する。典型的には、はんだの厚さは3〜5ミクロンとなりうる。均一性および厚さの制御は、レーザーダイ12からファイバー42までの光学素子の間で受動的位置合わせが使用される場合など、系の所望の光学性能が実現されるように十分厳密に行うべきである。
光学マイクロベンチ100への光学素子の設置は、ステップS130で実施することができる。光学素子の設置順序は変化させてもよい。しかし、使用される典型的な一方法では、はんだまたはソルダーガラスなどの好適な接合材料を使用して最初に第1のレンズ22を接合させる。ウエハ全体、またはウエハから取り出した部品のグリッドは、プロセスを最大限まで効率化するために同時に設置することができる。次に、レーザーダイ12を接合させることができる。
圧着または熱圧着は、ダイ接合ステップが完了するまではんだ層の反応を防止することができるので、光学素子の接合に好適な技術である。はんだ層の反応によって、組成変化が起こり、ダイ接合がうまく進行するための適切なはんだのリフローが阻害されることがある。光ファイバーセグメント42、レンズ22、32およびその他の光学素子は、本発明によって提供される熱活性化拡散(TAD)接合技術を使用して基体上の所定位置に有益に固定することができる。典型的に、この技術は、光ファイバー42または光学素子が固定されるピット20、30、溝11、40、またはシリコン基体のその他の表面の上に少なくとも3層の接合構造体を用いる。この構造体は、少なくとも1つの拡散阻害/障壁層で分離された第1および第2の構成層を少なくとも含む。
第1および第2の構成層を形成する材料は組成が異なり、典型的には金属および合金から選択される。材料の選択は、第1および第2の構成層を相互拡散する原子の能力に基づいて行われ、その結果、最初に配置した第2の構成層の融点よりも高い融点を有する組成物が得られる。第1および第2の構成層に好適な材料としては、たとえば、それぞれ金およびインジウム、銅およびインジウム、銀およびインジウム、銅およびスズ、銀およびスズ、ならびにビスマスおよびスズ、金およびビスマスが挙げられる。それぞれの場合で、典型的に、融点の高い金属が融点の低い金属の下に配置される。あるいは、組成物1の薄膜はんだスタックが組成物2の薄膜はんだスタックの上にある場合、組成物1はより高い融点を有する。2成分系以外に、3成分、4成分、およびより高次の系を使用することができる。多成分組成物は、改質して公知の効果を得ることができる。第1および第2の構成層の厚さは、たとえば、接合の形成に望まれる融点に基づいて選択され、熱処理および相互拡散が行われた後では、それより高温でも接合は安定となるべきである。典型的には、これらの層を合わせた厚さは2〜10ミクロンである。構成層を、公知の技術、たとえば蒸発またはスパッタリング技術などの物理蒸着(PVD)、または化学蒸着(CVD)を使用して堆積させることができる。使用される個別の技術は、たとえば、堆積させる材料および厚さに依存する。
第1の構成層と第2の構成層との間の1以上の拡散障壁層は、製造中に基体が所定の閾値温度にかけられるまで構成層間の原子の相互拡散を最小限にするか、または防止する。基体が閾値温度以上の温度にかけられると、拡散障壁はその有効性を失って、構成層間の有意な相互拡散が起こり、閾値温度および第2の構成層の融点よりも高い融点を有する組成物が得られる。拡散障壁層に好適な材料としては、たとえば、白金、ニッケル、TiW、TiN、Taなどが挙げられる。
拡散障壁層の厚さは、少なくとも、実際的な動作時間の間、たとえば、室温において少なくとも数十時間から無限大まで、およびある部品を基体に接合する(または2つの部品を互いに接合する)ために基体が維持される温度において数分または数時間の間、構成層間の相互拡散を抑制するのに効果的な厚さである。必要な時間は、たとえば、同じ基体上に接合される部品の数、および部品の接合に必要な時間に依存する。拡散障壁層の厚さは、たとえば、拡散障壁層および構成層の材料、ならびにその構造体が受けた熱履歴に依存する。典型的に、熱処理後の最終構造体中の拡散障壁層の構成成分の濃度を最小限にするために、拡散障壁層の厚さを最小限にすることが望ましい。しかし、拡散障壁層は、たとえば最終構造体の硬化または軟化、接着力の増加、融点の上昇など、所望の方法で最終構造体の特性を変化させるために使用することができる。拡散障壁層の厚さは典型的には5〜100nmである。構成層と同様に、拡散障壁層はPVDまたはCVDなどの公知技術によって堆積させることができる。
TAD接合層構造体は、1以上の追加の層、たとえば、基体と第1の構成層との間の拡散を防止するための障壁層、および/または基体とその上の層との間の良好な接着を促進するための第1の構成層の下の接着層を任意に含むことができる。たとえば、第1の構成層が金層である場合、クロム障壁層およびニッケル接着層をシリコン基体上に提供することができる。さらには、第2の構成層、または雰囲気と接触する任意の層の上に酸化防止層を使用すると望ましい場合もある。たとえば、なにもなければ主要層が酸化され、減圧、還元性雰囲気、またはフラックスの使用が望ましくない場合には、金などの貴金属の薄層または薄いポリマーフィルムが有用となりうる。場合によっては、他の材料、たとえばLuなどの希土類金属を加えると、金属と酸化物との間の接合効果が改善されることがある。
接合層構造体は、典型的に基体表面上に1層ごとに形成される。あるいは、接合層構造体の1以上の層をあらかじめ形成した後、基体に接合させることもできる。
圧着または熱圧着は、光学素子と第2の構成層との間で行うことができる。これは、たとえば、金の薄層(たとえば、0.1ミクロン)をインジウム層の上に使用することで実施することができ、ここでインジウム層はその下にあるTAD系の異なる元素を有する。このような系がコーティングされたピットにレンズを圧着または熱圧着し、続いてアニーリングしてTAD系に望まれるリフロー温度の上昇を行うことによって、金の機械的な置換が起こる。この方法は、インジウム表面を酸化から保護し、さらにレンズ表面をインジウムと機械的および化学的に接触させて、酸化物と金属の結合を提供することができる。任意に、第2の構成層上に形成される表面酸化物の存在下で圧着または熱圧着を提供することができる。薄い酸化物は接合中に破壊され、第2の構成層中の新しい金属が露出し、これによってSi−O−金属結合を提供することができる。
任意の特定の理論に拘束されるものではないが、この方法は、表面を機械的に変形させて、第2の構成層上に存在する表面酸化物を破壊し、よって光ファイバー42または素子と第2の構成層との間に結合が形成されると考えられる。たとえば、酸化ケイ素がコーティングされたレンズと、金(構成層1)およびインジウム(構成層2)の構造体から形成される構造体との場合、Si−O−In結合界面が形成されうる。
次にこの構造体は、拡散障壁層がもはや有効ではなくなって第1の構成層と第2の構成層との間の原子の相互拡散が防止されなくなる温度に加熱される。この温度は、構成層の融点より上または下であってよいが、典型的には、光学素子の移動を最小限にする、または移動をなくすために、各構成層の融点より低温である。この温度は、たとえば、構成層および拡散障壁層の材料に依存する。典型的には、この熱処理温度は、リフロー温度より低いあらゆる温度であってよく、たとえば、接合の形成に使用される温度よりも25〜100℃低温である。この高温において、十分な時間が経過すると、拡散障壁層が破壊され、第1の構成層と第2の構成層との間で原子の拡散が起こる。たとえば、熱処理温度および時間、ならびに構成層および拡散障壁層の厚さおよび組成に依存して、接合構造体は、均一な組成物、種々の相の混合溶液、または段階的に変化する組成を有する組成物となりうる。結果として得られる構造体の全体の融点(構造体中の融点は組成の不均一性のために変動しうる)は、熱処理度および第2の構成層の融点のどちらよりも高温となる。結果として、光学素子は、所定の位置に強固に接合することができ、素子の接合に使用した温度よりも高温であっても、さらなる熱処理加工を行うことができる。
この技術により、種々の光ファイバー、レンズ22、32、およびその他の光学素子を、基体表面上の所定位置に精密に接合させて固定することができ、接合の階層構造において大きな自由度が得られる。光学素子の接合には比較的低温が使用されるので、より高温での加工によって生じる悪影響が発生することなく、Au−Sn共晶などの標準的なはんだを使用することができる。接合した光学素子は高温で安定性であるため、これらの標準的なはんだは、レーザーダイなどのよりコストのかかるデバイスを後に基体表面に接合するために使用することができる。
薄膜Au−Sn共晶および共晶に近い組成のはんだは、融点より少しでも高温では可使時間が比較的短い(たとえば10〜45秒)。したがって、ベースウエハ110の温度をその融点より低温に維持し、さらに、レーザーダイを基体に取り付ける場合はできるだけ低温にすることが望ましい。これは、レーザーダイ12に圧力とパルス加熱とを併用して、各ダイを所定位置に付着させることによって実施することができる。はんだが表面に堆積していない場合の、バックファセットモニターフォトダイオード18の場合も同様に実施することができる。すべての光学素子を接合した後、後のあらゆる熱加工(蓋の接合、フレックス回路の取り付け、基板の取り付けなど)の間、ベースウエハ110を加熱して、はんだを固体状態で相互拡散させ、その有効融点を、ダイの有意な動き(はんだのクリープ、緩和、表面張力による再成形などによって生じる)を防止するために十分な高温まで上昇させることができる。レーザーダイ12は、たとえば、ドイツのカール・ジュス(Karl Suss)または日本の東レ(Toray)などの企業から市販されている高精度ダイ接合設備を使用して接合させることができる。このような設備は、赤外可視後検査に適合させることができ、この設備によって、レーザーダイ12および/またはベースウエハ110を通して、レーザーダイ12および光学マイクロベンチ100の両方の基準点を観察して、非破壊的にダイ位置合わせを決定し、この情報を使用して高精度の接合を実現することができる。レーザーダイ12の光軸位置合わせの能動的フィードバックの利点は使用せず、高精度接合設備を使用してダイを接合させることが望ましいので、IRカメラを使用した非破壊的位置合わせ検査を行うために、マイクロベンチ部品およびレーザーダイ12は、基準点の部品を透過してそれらのそれぞれの位置合わせを観察することを妨害する金属を有さないことが望ましい。さらに、レーザーダイ12は、活性領域および位置合わせ基準点が存在する場合に、これらが同じリソグラフィーステップで画定されて製造されると最も好ましい。これによって、レーザー、導波路、およびマイクロベンチの正確な位置合わせが可能となる。
蓋200内に収容されるレーザーダイ12、バックファセットモニターフォトダイオード18、第1のレンズ22、およびその他のあらゆる光学的、電気的、もしくは機械的な素子(たとえば、波長ロッカー、または波長セレクター、内部に取り付けられることが望ましい場合のアイソレーターなど)が所定位置に接合されると、蓋接合ステップのステップS140を実施することができ、これを以下に詳細に説明する。別の方法では、蓋200を接合する前に、ステップS160で残りの光学素子をベースウエハ110に取り付けてもよい。
ステップS140における蓋の取り付けに関して、ソルダーガラスなどの蓋接合材料240をペースト形態で蓋封止面226に適用することができる。あるいは、たとえば、スクリーン印刷、パッド印刷、または計量分配操作によってソルダーガラスを適用してもよい。接合材料240は、硬化中に発生する気体を除去するために、蓋200上で硬化させてもよい。次に、図4Cに示されるように、蓋ウエハ210をベースウエハ110に(または蓋ウエハ部分をベースウエハ部分に)取り付けることができる。次に、蓋ウエハ210およびベースウエハ110は、たとえば100℃を超える温度で、部分真空、乾燥窒素、または他の乾燥不活性ガス中でベークを行って、ウエハ110、210を脱水することができる。次に、ウエハをソルダーガラスの接合温度まで加熱し、続いて蓋ウエハ210とベースウエハ110との間に圧力を加えて、各蓋200とそれぞれの光学マイクロベンチ100との間を気密封止する。希望するなら、蓋ウエハ210およびベースウエハ110は、数気圧のヘリウム雰囲気中で封止し、蓋200を膨張させ、その膨張の程度を検査することによって総合的な漏れを調べることができる。
蓋ウエハ210は、蓋側壁220を含む蓋ウエハ210の上に酸窒化ケイ素層などの誘電層を有することができ、これは反射防止コーティングとして機能することができる。さらに、蓋ウエハ210は、蓋ウエハ210の上面上にあらかじめパターン形成されたハードマスクを有してもよい。これによって、接合された組立体を、分離チャネル228でウェットエッチまたはドライエッチして開口部232を形成することができ、この開口部を介して、蓋ウエハ210の上面の上から、およびミクロ光学デバイスパッケージの一体化の前に、ミクロ光学デバイスパッケージの電気的および/または光学的検査を行うことができ、これは図4Bおよび4Eに示される。あるいは、上面を通って部分的にダイシングして蓋200を分離して、開口部232を形成し、ベースウエハ上面70上のメタライゼーションを検査することができる。これによって、ステップ155のウエハレベルでのレーザーダイのバーンインおよび高周波数試験を行うことができる。
第2のレンズ32がそれぞれのマイクロベンチ100の上に配置される前に蓋200がベースウエハ110上に封止された場合は、一部のビームは、ベースウエハ110のそれぞれの傾斜面によって、蓋ウエハ210中にエッチングまたはダイシングで形成された開口部232を通って上方に偏向することがある。ウエハレベルで光学マイクロベンチ100を試験するために、試験されるマイクロベンチ100の面から光ビームを外すビームデフレクターを使用することができる。光ビームは光学マイクロベンチ100から離れて上方に向かうため、複数のこのようなマイクロベンチ100がウエハ形態で互いに連結している光学マイクロベンチ100を試験することができる。
異方性エッチングされた(100)シリコンベースウエハ110の場合、傾斜面は{111}面を含みうる。この傾斜面は、第3のくぼんだクリアランス面54が形成される前の、第2のレンズのピット30の傾斜側壁33であってもよい。図1Aおよび2Aに示されるように、傾斜側壁33は、光軸に沿って配置することができ、レーザーダイ12の方向に向かっている。あるいは、図2Bに示されるように、この傾斜面はファイバー溝40の傾斜側壁41であってよい。傾斜面の反射率を増加させるために、メタライズまたはその他の処理を行ってよい。いずれの場合も、光が傾斜側壁33、41から偏向して、開口部232を通る方向に向かうことができるような位置に、蓋ウエハ210中のダイシングまたはエッチングされた開口部232を配置することができる。バーンインなどの操作の場合、多くのデバイスを一度に効率的に監視することができるように、光学信号を映像化し分析することができる。発せられた光が表面に対して垂直であることがパッケージに必要である場合は、これらの表面をそのまま残しておくことができ、これらの場合、希望するのであれば、(100)シリコンカットオフ軸が45°の反射面を提供できる。
蓋200の外部にある第2のボールレンズ32は、たとえば、ソルダーガラス、エポキシ、あるいはその他の好適な材料および方法を使用して接合させることができる。次にマイクロベンチ100をダイシングして、ファイバーセグメント42を受け入れる一端上に、開放されたファイバーV字溝別に残すことができる。あるいは、図8Bに示されるように、ベースウエハ110を第2のレンズ32近傍でダイシングして、フェルールまたはスリーブ組立体と能動的に位置合わせすることができる。
(2.気密封止された導電性ビアを有するマイクロベンチ)
(a.異方性エッチ)
図13A〜13Cを参照すると、本発明による気密封止された導電性ビア90を有する光学マイクロベンチ100の例示的な製造方法のフローチャートが提供されている。この方法は図14A〜14Gに示されている。この方法は、図12Aおよび12Bに示される導電性リード線14を有するミクロ光学デバイスパッケージ500の製造方法といくつかの点で類似しているであろう。したがって、類似の工程ステップが含まれる場合、図12Aおよび12Bに使用されている同じ参照番号が、図13A〜13Cでも使用されている。しかしながら、同じ参照番号を特定のステップで使用することができるが、このようなステップはビアプロセスおよびリード線プロセスの両方で完全に同じである必要はなく、考え得るいくつかの差異について以下に示す。リード線プロセスと同様に、ビアのフローチャートおよびプロセスは、ベースウエハ110上に1つの光学マイクロベンチ100を製造することに関する例として説明される。しかし実際には、1つのベースウエハ110上に複数の光学マイクロベンチ100を製造し、組み立てて、試験することが望ましいことを理解されたい。
ここで図13Aに戻ると、最初のステップS10で、(100)両面研磨シリコンウエハなどのベースウエハ110が提供される。ベースウエハ110の厚さは、525±25ミクロンが好都合であり、高周波数用途では抵抗率は典型的には1000オーム・cmを超えるが、より低い抵抗率も使用することができる。前述のステップS20および図14Aにおいて、低応力窒化ケイ素の第1のハードマスク301がベースウエハ110上に設けられる。
ステップS21において、ベースウエハ110の裏面72上に配置されたハードマスク301は、標準的なリソグラフィー技術およびドライエッチング技術を使用してパターン形成することができ、たとえば、図14Bに示されるように各ビアに開口部304を提供することができる。次に、ステップS22において、ベースウエハ110を貫通して、窒化ケイ素ハードマスク膜302が残り、開口部304からビア開口部307まで及ぶ角錐型ピット303が形成されるまで、ベースウエハ110は、ハードマスク301中の開口部304から異方性エッチングすることができる。角錐型ピット303の側壁305は{111}結晶面の表面を含む。既知のベースウエハの厚さ、側壁の角度、および所望の膜全長307に基づいて、目標ビア開口部307を形成するために裏面72に形成される目標開口部304の寸法を計算することができる。
ピット303をエッチングするステップである、ステップS22の間、開口部304におけるハードマスク301は、開口部304の側面上に窒化物シェルフ306を形成するためアンダーカットとなる。ピット側壁305をメタライゼーションする前に、窒化物シェルフ306を除去することが望ましい場合があり、こうすることで、シェルフ306の下にあるピット側壁305のメタライゼーションを妨害する影を窒化物シェルフ306が形成することがなくなる。シェルフ306の下のメタライゼーションが妨害されると、後に堆積される金属層との電気的導通が妨げられることがある。
窒化物シェルフ306は、ステップS23において、たとえば、典型的には50〜200mTの圧力でCFを使用するドライエッチングステップによって除去することができる。典型的にはこの圧力は、ウエハのエッチングされた面上にあり典型的には膜が除去されずにピット側壁305をオーバーハングしている窒化物シェルフ306を、十分にエッチングする値である。窒化ケイ素はエッチング工程中にフッ素イオンおよび他のフッ素含有化学種によって化学的に攻撃されることができ、また圧力は短距離において分子を十分散乱できる高さであるので、窒化物シェルフ306の両面がエッチングされるが、それに対してすべての他の表面の窒化ケイ素は、シリコンウエハの一方の面上に結合するか、または他の理由で遮蔽される(反応器プレートと面する)表面を有するなどの理由で、すべての他の表面上においては窒化ケイ素は一方の表面のみが攻撃される。したがって、アパーチャ膜302、またはベースウエハ110の残りの部分の上の窒化物を完全に除去することなく、窒化物シェルフ306を除去することができる。このステップは、次の窒化物コーティング(このようなコーティングが使用される場合)の後などの他の段階で実施することができるが、表面上および側壁305上のメタライゼーションの連続性を確実にするため、メタライゼーション前に実施すべきである。堆積プロセス中に大きな散乱が起こる場合、窒化物シェルフが小さい場合、またはコンフォーマル導体堆積が使用される場合などでは、このステップを省略することができる。窒化物の代わりに、自己腐食性ではないあらゆる他のハードマスク、たとえば、酸化ケイ素または二酸化チタンを使用してもよい。
次にステップS24において、図14Cに示されるように低応力窒化物308の第2の層が設けられる。第2の窒化物層308は、第1の窒化物層301と同様の厚さ(たとえば、200〜500nm)の任意の絶縁層であり、ビア90を電気的に絶縁するために使用される。
プロセスのこの段階で、1つの平面310は、窒化物膜302、および1つのエッチングされた表面72で覆われ、第2の窒化物層308の厚さの2倍と、第1の窒化ケイ素層301の厚さとの和の厚さである窒化ケイ素膜302で終わる{111}面を含む1以上のエッチングされたピット−ビアを有する。ウェットエッチングまたはドライエッチングのいずれかが実施される本発明のビア方法によって、高い平面性を有するベースウエハ110の少なくとも1つの表面が提供され、これによりレジストの精密スピンコーティング、および任意に接触リソグラフィーによって、シリコンベンチ上の光学素子の位置合わせに使用される後の搭載用フィーチャーをパターン化することができる。さらに、本発明の方法は、あらゆる金属またははんだが適用される前に第2の窒化物によるコーティングを実施することができ、そのため、LPCVD窒化ケイ素および酸化ケイ素を使用して所定の応力でコンフォーマルコーティングを行うことができる。
図13および14に示されている方法に戻ると、次にステップS25において図14Dに示されるように、ベースウエハ110は、その裏面72を金属層309でメタライズすることができる。任意に、このメタライゼーションステップは、シャドーマスクを通して、コンフォーマルなリフトオフレジストを通して、積層されパターン形成されたレジストを通してなど、実施することができる。側壁305上にパターン形成技術を使用することの利点は、2以上の独立した導電性リード線14を、1つのトレンチおよび膜を通してパターン形成することが可能なことである。たとえば、1つの膜を有する長方形のトレンチにおいて、裏面金属、側壁金属のパターン形成、適宜窒化ケイ素膜のパターン形成およびエッチング、ならびに上面金属のパターン形成を行うことによって、一連のフィードスルーを提供することができる。
この構造のため、側壁の下およびビアを通るインピーダンスの向上、ならびに導電体のより高い実装密度が維持されるストリップラインを提供することができる。この方法において、1つのトレンチは複数、好都合には多数の導体のコンジットとなることができる。
別の方法では、ロータリープラネタリーでの蒸発などによってブランケットフィルムを堆積させることができる。金属層309は、後に前述のレジスト方法を使用したウェットエッチングによってパターン提供することができる。このメタライゼーションによって、金属層309(たとえば、Cr/Ni/Au、TiW/Au、またはTi/Pt/Au)が形成され、これは裏面72から窒化ケイ素膜302を覆い、ピット側壁305から下がって裏面72までに導電性を付与する。この金属は、ベースウエハ110の平坦面側から窒化ケイ素膜302を除去または部分的に除去した後で、自立するようになるのに十分な機械的強度を有するように選択される。これによって、ビア開口部307全体にわたって導電性となり気密封止される。たとえば、厚さ20nmのCr、続いて200nmの厚さのNiが、厚さ500nmのAuで覆われて積層された層は、たとえばビア開口部307の20〜35ミクロンの範囲にわたって十分な機械的強度を有する。より薄いまたはより厚い金属層を使用してもよい。さらに、より強い強度、より大きな膜、またはより大きな電流が望まれる場合、経済的にこのような金属をより厚く電気めっきすることができる。
裏面72上にブランケットのメタライゼーションが使用される場合、パターン形成によって複数の電気的接触を分離できるようにすべきである。このステップは、都合のよいあらゆる時点で実施することができる。パターン形成は、市販のレジスト、たとえば、シプレイ・カンパニーL.L.C.の電着レジスト、または積層レジストを使用して実施することができる。さらに、金属を機械的にダイシングして、わずかにシリコン中まで貫入させ、フィルムを別々のビア90に電気的に分けることもできる。別の方法として、ベースウエハ110の両面からビアをウェットエッチまたはまたはドライエッチして、同じ空間内のビアの充填密度を高めることもできる。たとえば、両面ウェットエッチングが使用されると、傾斜した各{111}面は互いに接近しうる。これは、接地したストリップラインまたは接地したコプレーナー構造を形成するために精密なシリコンの厚さが望まれる場合に有用となりうる。ウエハの裏面上、または蓋側上にはビアが使用されない場合、任意のシリコンのトポロジーを明らかにするために好適な高さのはんだボールまたははんだ柱を、シリコンパッケージに適用することができる。これは、たとえば、はんだボールシューター、マスクテンプレート、めっきなどによって実施することができる。希望する場合、パッケージをPWBに直接的に表面搭載することができる。さらに、パッケージの電気的接合パッドは、分離後のリードフレーム組立体に直接取り付けられ得るように配置することができる。
図14Dに戻ると、ベースウエハ110は、気密性金属層309を有し、典型的には金で覆われており、これによって裏面72からその下の1以上の側壁まで電気的導通が得られ、この金属層は窒化ケイ素膜302を覆っている。ステップS26では、図14Eに示されるように、ベースウエハ110がレジストでコーティングされ、パターン形成され、平坦面側からドライエッチされて、ビア開口部に配置された金属層309はエッチングされることなく、膜から窒化ケイ素が除去される。平坦面310から任意のパターンを開口させることができる。たとえば、金属層309を覆う窒化物層301、308中に一連の孔、グリッド、またはその他の形状を開口させることができ、希望するなら窒化物に機械的安定性を付与することができる。金属層309は、プラズマエッチの好適なエッチストップ層として機能させることができる。さらに、ビア90は、誘電性材料311を充填することができるし、または、プロセスの任意の好適な段階で、図14Gに示されるようにより厚くめっきすることもできる。前述したように、両面および側壁上の金属に適宜パターン提供することができるのであれば、複数の導体が形成されるように窒化物をパターン形成することもできる。典型的には、パターン形成および間隔調整を容易にするため、長方形が使用される。
プロセスのこの段階から、処理は、図12のフローチャートに示される導電線方法に関して前述した方法と同様の方法のステップS30〜S80およびS110〜S160で進行させることができる。本発明のビア方法の図13を参照すると、ステップS30〜S50は、図14Fに示されるように、平坦面310のメタライゼーションによって、導電線14を形成して、ビア90の金属層309と電気的に導通させることができる。後部蓋取り付けチャネル64には導電性リード線14が必要ではないので、本発明のビア方法ではステップS90を省略してもよい。しかし、ステップS100は、後部蓋取り付けチャネル64に導電性リード線を形成せずに蓋200をベースウエハ110に封止する目的で、蓋取り付け領域60の基部に金属層(または、堀が使用されない場合には封止リングとして)を形成するように改変することができる。
再び、前述したような標準的メタライゼーションは、蓋200の封止面226と形状および寸法が同じ封止リングを形成するために使用することができる。金属またはガラスはんだを、ベースウエハ110上、または蓋ウエハ210上、またはその両方に堆積させることができる。シリコンベースウエハ110またはベースウエハ110上に存在することがある、任意の絶縁性誘電性フィルムをぬらすソルダーガラスまたはその他の接着剤を使用して蓋200が封止される場合は、メタライゼーションステップS100は不要である。金属層はたとえば、マイクロエレクトロニクス技術分野で公知の方法の中では特にシャドーマスク、リフトオフ、または金属の化学エッチングによって適用することができる。当業者には明らかであろうが、蒸発またはスパッタリングが指定されているほとんどのステップにおいて、希望するならシード方法およびパターン形成されたマスクを使用する1種類以上の金属の電気化学的および無電解化学的金属堆積方法(めっき)を利用してもよい。これは、比較的厚い層、たとえば、厚いAu−Sn層などの厚い金含有層および一部のRF伝送線などに特に有用であると思われる。化学メッキ方法、CVD方法、およびPVD方法の任意の組み合わせを使用することができる。
さらに、蓋の取り付けに関して、ステップS140において、蓋接合材料240は、従来方法で説明されるソルダーガラスを含むことができる。あるいは、本発明のビア方法の後部取り付けチャネル64中に導電性リード線14は存在しないので、蓋接合材料は、金属はんだ、たとえば、80:20のAu−Snを3〜8ミクロンの厚さで蓋封止面226、ベースウエハ110、またはその両方の上に含むことができる。金属はんだを有さない表面は、典型的にはぬらすことができ、かつ付着性であるメタライゼーション、たとえばCr−Ni−Auを使用してメタライズされるが、その他の材料を使用することもできる。たとえば、PtをNiの代わりに使用したりNiと併用したりすることができる。蓋取り付けステップS140中に望ましい場合には、金属はんだが所用の領域に選択的に流れ込み、多少はんだのウィッキングが起こるような方法で、任意にAu層をパターン形成し、または全体の封止リングをパターン形成することができる。遷移状態の領域が存在する場合、またはより高い表面粗さおよびより厚い金属はんだ層がその領域の封止に望ましい場合に、このような配置は有用となり得る。金属はんだは、Auがコーティングされた金属皮膜と比較すると窒化ケイ素またはシリコンの表面を容易にぬらさないので、この技術はAu−Sn層の下に同様に、下にあるCr−Ni接着/障壁層のパターン形成によって使用することができる。
封止工程は、典型的には、蓋ウエハおよび接合されたレーザーダイ12を有するベースウエハ110のベークを含むことができ、これは制御された環境中、たとえば、He、Ar、またはNなどの不活性ガスの使用、または減圧下で行われて、存在するすべての水蒸気が除去される。次に、蓋200にはある程度の圧力が加えられ、その部品は金属はんだのリフロー温度まで加熱される。任意に、リフロー温度に到達した後で圧力を加えてもよい。冷却したときに、封止された領域が大気圧よりも有意に高い圧力を有するように、Heの圧力下で封止すると好都合となる場合がある。この技術によって、気密封止形成後の任意の時点においてパッケージの気密性の程度、または漏れの速度を監視することができる。これによって、自動干渉計を使用して任意の時点で蓋200のたわみまたは湾曲を検査することができる。このような測定の結果から、パッケージの気密性の程度、およびパッケージが測定可能な漏れによって障害を受けたかどうかの指標を得ることができる。たとえば、パッケージ体積が1000nl未満である場合、10−8torr−リットル/秒を超える漏れのドウェル時間が比較的短いと、蓋200の曲率が実質的に変化する。残りのプロセスステップは、導電性リード線方法で前述したように進行させることができる。
ビア90を形成するための前述の方法以外に、いくつかの変形が可能である。たとえば、前述の方法では、金属層309は窒化物膜302のエッチングされた側の上に形成され、平坦面310の方から窒化物膜302が切り開かれる。この逆も可能であり、最初に窒化物膜302の平坦面310上に金属層を配置して、裏面72から窒化物膜302を切断することができる。この方法を容易にするためには、コンフォーマルなレジストが使用される。さらに、たとえば電気的設計にこのレベルの絶縁が必要でなければ、第2の窒化物層308は省略してもよい。より高いレベルの電気的絶縁が必要な場合は、第2の窒化物層308を形成する代わりまたは形成の前に熱酸化ステップを実施することができ、これによってピンホールの防止を促進することができる。ビア90がドライエッチングによって形成され、エッチングされた表面上が高トポロジーを有しうる場合には、この方法が有用となることがある。
これも、ウエハ加工および微細機械加工の当業者には明らかであろうが、他の材料および方法を代用して、同じまたは類似した結果を得ることができる。たとえば、レーザー機械加工をエッチングステップの代わりに使用することができ、ある種のプラズマエンハンスト化学蒸着(PECVD)により皮膜を形成することができ、これはLPCVDの代わりに使用して十分な品質を得ることができる。有機金属化学蒸着(OMCVD)を使用すると、熱収支を減少させることができ、さらにステップの順序を大きく変更させることができ、たとえば、メタライゼーションステップの前ではなく、ウエハ加工の後半で絶縁性ビアを提供することができる。ニッケルおよび金の無電解シーディングおよび無電解めっきを、蒸着方法の代わりに実施することができる。この方法は他の方法で均一電着性が非常に限定される場合には高アスペクト比のビアに使用すると有用となり、たとえば、塩化パラジウム/塩化第一スズ溶液が使用され、その中に部品が浸漬され撹拌される。塩化物塩は、酸浸漬によって還元され、表面上にPdおよび/またはSnのシードが形成される。次に、部品を無電解Ni溶液に浸漬して、めっきが行われる。Niが引張応力を有する状態でめっきされる場合、気密性で凹凸のあるシールがビアの内側に形成される場合に最良の結果が得られることが分かっており、これによってニッケルはビアピット303と密接に接触するようになる。この後に、無電解金への浸漬を行うことができる。
(b.開口されたビア)
図15A〜15Hは、複数のアパーチャが内部に形成されている導電性で気密封止されたビアを製造するための本発明による別の方法を概略的に示している。図15Aを参照すると、エッチストップ層602がウエハ610上に形成される。エッチストップ層602は、後のビア形成エッチング中にエッチストップとして効率的に機能することができる材料である。エッチストップ層は、たとえば熱酸化によって生成される二酸化ケイ素などの酸化ケイ素、またはその他の公知の材料であってよい。エッチストップ層は、後のエッチング工程中に完全には腐食しないような厚さで堆積される。典型的にはこの厚さは0.5〜2ミクロンであり、たとえば1〜2ミクロンである。
図15Bを参照すると、エッチストップ層は、フォトリソグラフィーおよびドライエッチング方法によってパターン形成されて、エッチストップパターン603が形成される。エッチング後に残留するパターンは、形成されるビアホールの境界と同じまたは異なる形状であってよく、ビアホール境界を越えて延在することができる。
好適な材料、厚さ、および応力の構造用コーティング604を設けることができ、これは、ウエハ610上の1つの表面上で後に自立性膜となる。構造用コーティング604は、1以上の層を含むことができ、たとえば、低応力窒化ケイ素層、ポリシリコン層、またはそれらの組み合わせを含むことができる。構造用コーティング604の厚さは典型的には0.2〜1ミクロンである。エッチストップパターン603および構造用コーティング604の形成に使用される材料は、たとえばエッチャントなどに依存して同種でも異種でもよい。たとえば、形成される膜の材料がビアエッチングプロセス中の好適なエッチストップとして機能することができる場合、またはビアエッチングプロセス中に膜を形成するために十分高い均一性がある場合には、同じ材料を使用することができる。構造用コーティングは、フォトリソグラフィーおよびエッチング方法を使用してパターン形成される。この目的では、場合によっては金属マスクを使用してもよい。
図15Cおよび15D(16Cの部分拡大図)を参照すると、ビアホール606は、窒化物層604およびウエハ610においてエッチストップ603の反対側の第1の面からエッチストップまでエッチングされる。このエッチングは典型的にはドライエッチングによって異方的に実施され、たとえば、ディープ反応性イオンエッチングにより実施される。窒化物およびケイ素のエッチングは、同じまたは異なるステップで実施することができる。ビアの寸法は種々の要因に依存する。寸法は、たとえば、直径が150〜250ミクロン、および深さが約500ミクロンであってよい。ビアホールは種々の形状であってよく、典型的には円筒形である。
図15E1および15E2(図15E1を下から見た図)を参照すると、あらかじめ形成された膜のパターン形成は以下のように実施される。フォトリソグラフィーおよび1以上のエッチング方法、たとえば、反応性イオンエッチングなどのドライエッチングによって、複数のアパーチャ609が、エッチストップパターン中および構造用コーティング中に形成される。構造体上に残留するマスクは除去される。これらのアパーチャは、たとえば、円形、正方形、長方形、弓形など、およびそれらの組み合わせの種々の形態であってよい。たとえば、アパーチャ609は図示されるようにすべて円形であってもよいし、あるいは、たとえば、同軸のような形態で中央の円を取り囲む一連の円で形成される円弧などの種々のアパーチャ形態を含むことができる。アパーチャの寸法は、金属またはその他の導電性材料、たとえば、ドープされたポリシリコンを後に充填できるような寸法であるべきである。典型的には、アパーチャ609は、両端の距離が1〜3ミクロンである、少なくとも1つの軸を有する。使用される設計は、高周波数が含まれる場合に、信号がウエハの一面からもう一方の面に最小のひずみおよび損失で伝達されるようにするべきである。さらに、アパーチャ609のパターンは、機械的強度、導電性、充填しやすさなどの1以上の要素に関して最適化することができる。ビアホールの反対側の膜の表面はほぼ平坦であり、たとえば、数ミクロン以内にあり、そのため、スピンコーティングおよび精密リソグラフィーに適している。
図15Fを参照すると、次に、レジストマスクがウエハ上に形成され、ビアの側壁は、たとえば、低応力窒化ケイ素などの窒化ケイ素、または熱二酸化ケイ素もしくは低温酸化ケイ素などの酸化物、およびそれらの組み合わせの1以上の誘電層605でパッシベーションされる。誘電層605は、後の加工中にビア側壁の露出した領域を保護する。好都合には、誘電層は、ピンホールの存在を最小限にし、あるいはなくすために、第1の層として熱二酸化ケイ素層を含むことができる。典型的には、誘電層605は、0.1〜0.25ミクロンの厚さでコンフォーマルに堆積され、アパーチャ609をふさぐべきではない。任意に、膜608中にアパーチャ609を形成する前に誘電層を提供することができる。
図15Gおよび15Hを参照すると、次にビアホール606は、たとえば1以上の金属層612で気密封止される。このコーティングは、ウエハのいずれかの面または両面と、アパーチャ609とに適用して、その中にプラグを形成することができる。1以上の金属層は、たとえば、接着層、拡散障壁、および最上部の導体層の1以上を含むことができる。金属層612は、たとえば、タングステン、チタン、白金、パラジウム、クロム、銅、クロム、ニッケル、金、ならびにそれらの合金および組み合わせ、たとえば、Cr/Ni/Au、Cr/Au、Cr/Cu、Ti/Pt/Auなどを含むことができる。技術的には金属ではないが、この構造体は、ドープされたポリシリコンなどのポリシリコン層をさらに含むことができる。金属層は、たとえば、スパッタリングもしくは蒸発などのPVD、CVD、または無電解めっき、もしくは電解めっき方法によって堆積させることができる。これは、たとえば、CVDまたはめっき方法によって選択的に堆積させることができる。例示的なビア封止方法についてこれより説明する。
第1の例示的な方法では、選択的にCVDで蒸着されるタングステンなどの金属が利用される。最初に、選択的金属層のためのシード層を、金属が形成される表面上に堆積させることができる。タングステンの場合、たとえば、高度にドープされたポリシリコンなどのポリシリコン皮膜を、シード/接着層として堆積させることができる。ポリシリコン層が、任意にウエハ610の上面および下面、ならびにビア側壁にパターン形成される。タングステンは、たとえばWFを使用するCVDによってポリシリコン上に選択的かつコンフォーマルに堆積され、膜のアパーチャ609を充填することができる。あるいは、最上面のアパーチャ609は、導電性のプラグを使用して当業者に公知の他の技術で閉じることができる。
さらに別の例示的な方法では、無電解めっきが利用される。最初に、ウエハを、種々の化学物質、たとえば、PdCl/SnClを使用してシーディングし、還元して、たとえばニッケルなどの金属で無電解めっきし、アパーチャ609をふさぎ、ビア側壁を覆う層を形成することができる。この後で、たとえば浸漬金などの浸漬めっき法を実施することができる。代わりに、その他の材料およびめっき方法を使用することもできる。堆積された皮膜の厚さは、最大のアパーチャ609の幅の半分を超えるべきである。この金属は、表面への接着を促進するために圧縮応力を加えて提供することができる。
ビアをメタライズするためのさらに別の代表的方法では、たとえばロータリープラネタリー蒸発システムなどを使用した蒸発が利用される。
ビアが気密状態でふさがれると、さらなる表面金属パターン形成および/または微細機械加工ステップを実施して、マイクロベンチ要素を形成することができる。本出願の他の箇所に記載される従来方法は、上(平坦)面を処理する方法を含む。ビアホール609(これらがふさがれていない場合)を有する裏面は、シプレイ・カンパニーL.L.C.より入手可能なEAGLE(商標)レジストなどの電気泳動レジスト、積層レジスト、またはシャドーマスクなどを使用して、レジストでパターン形成をすることができる。任意に、ビアは、PVD、CVD、またはめっき方法によって銅またはニッケルなどの金属でふさぐことができる。
上述のリード線およびビアを形成する工程ステップについて例示的な順に提示してきたが、当業者には理解できるように、いくつかのステップの順序を変更することができる。その変法に応じて、これらのステップ、それらの順序の変更、いくつかのさらなるステップの挿入、層厚および/または目標応力の変更などが可能である。さらに、シリコン微細機械加工の当業者であれば、適切な清浄化ステップの挿入、適切なリソグラフィー、ストリッピング、コーティング技術などが理解できるであろう故に、これらについてはこれまで言及してこなかった。さらに、導電性となる正方形部分を取り囲む導電性スロットを形成することによって、コプレーナーおよびストリップライン路型伝導要素の効果をシミュレートすることができる。さらに、底面側のビアパターン形成は、ピンおよびソケットなどの相互接続の形成が容易になるように、あるいは後にリフローさせてバンプを形成するはんだボールを収容するように実施することができる。
(3.蓋の製造)
シリコン蓋ウエハ210から蓋200をエッチングして、図4Bに示される蓋200のグリッドを提供することができる。このグリッドは、キャビティ230のグリッドを含み、各キャビティ230は側壁で囲まれている。例示される実施形態では、4つの側壁220がそれぞれの側壁端部で交差して、各キャビティ230を画定する長方形の境界を形成する。図4Bの上面図に示されるように、側壁220は、紙面から離れる方向に延在している。各蓋200の間のスペースも、場合によっては蓋キャビティ230と同じ深さまで、エッチングまたはダイシングまたはその両方で除去して、蓋200の間で分離したチャネル228を提供することができる。
本発明によると、図4Bに示される蓋200のエッチングされたグリッドを形成するための種々の方法が提供される。これらの方法の主な変形は、使用されるエッチング技術および蓋ウエハ210におけるものである。エッチング技術および蓋ウエハ210の選択は、たとえば、設計、側壁220に望まれる光学的仕上を実現するための方法の可能性、および蓋200の最終寸法に依存する。蓋側壁に加えてまたはこれの代わりに、蓋ルーフ250またはそれが取り付けられる基部を通して光を投射することができる場合、それらの表面の光学的仕上も、蓋ウエハ210を形成するために選択されるエッチングの種類およびウエハの種類(たとえば、SOI)に影響を与える。
側壁の厚さは、好適な機械的性質が得られる限り薄くなるように選択することができ、その理由は厚さを最小限にすると、実装密度が最大限に到達し、導電性リード線14が蓋200の下を通過する場合、または封止ガラスなどが使用される場合に蓋200の下の誘電層の下を通過する場合にRF性能への影響が最小限となるからである。RF出力または信号を伝達する導電性リード線14の場合、RFの不連続性が最小限になることが望ましい。したがって、リード線14に沿って伝達される最高波長でのRF波長のごく僅かの長さに壁幅を維持するか、あるいはその他の方法で境界条件におけるそのような変化において場の相互作用を最小限にするか、または制御するかが望ましい。より大きな蓋の壁の厚さでは、意図する周波数において誘電率または吸収係数の正味の変化を補償するために、リード線寸法を変化させることができる。しかしより小さな蓋200の場合、不連続性の大きさ自体を最小限にすると好都合であり得ると思われる。RF設計の当業者には明らかなように、これらの考慮点はフィーチャーのサイズおよび波長と関連があり、RF伝送を最もうまく取り扱うためには種々の周波数で異なる決定が行われる。たとえば、シリコン表面70の上および蓋200の下を信号が伝播する場合、より高い周波数では、基体上の厚い酸化物および非常に薄い側壁(たとえば10〜50ミクロン)を使用することができる。この方法では、より高い誘電率材料(たとえば、ケイ素およびソルダーガラス)との場の相互作用を最小限にすることができる。
一般に、(110)シリコンウエハの非常に低い粗さの異方性ディープシリコンドライエッチングまたはウェットエッチング、またはこれら2種類の方法の組み合わせを使用して、薄い側壁220のグリッドを形成し、蓋取り付けチャネル62、64、66、68内部またはベースウエハ110の表面に封止するための開放キャビティ230のグリッドを得ることができる。たとえば、Bosch法またはCyrogenic法、あるいはその他の任意の好適な異方性ドライエッチング方法を使用して垂直ディープエッチングを行うことができる。アルカテル(Alcatel)、サーフェス・テクノロジー・システム(Surface Technology System)、およびプラズマサーモ(PlasmaTherm)で製造されているような市販のプラズマエッチャーを使用することができる。
エッチングされた側壁220は、典型的には、少なくとも光が側壁220を通過する領域において、非常に平滑な表面を有する。たとえば、1250〜1650nmの波長において散乱損失を10%未満に維持するためには、約25nm未満の粗さが望ましいと思われる。所与の粗さおよび所与の波長における散乱の程度が、たとえば、存在する表面粗さの種類、光の波長、および入射角の関数となることを明らかにすべきである。所望の側壁の高さおよび蓋の厚さは、たとえば、キャビティ内部に収容されるデバイスの高さ、および必要な表面積の関数となる。
(a.シリコンのドライエッチング)
本発明による蓋グリッドを製造するための第1の方法は、シリコン蓋ウエハ210のドライエッチング、たとえば、異方性ドライエッチングを含む。シリコンウエハ210は、(100)、(110)、または(111)シリコンなどの任意の標準的な方位を含むことができる。ドライエッチングは、たとえばボッシュ法を使用して、パッシベーションおよびエッチングサイクルを交互に実施することができる。典型的には、これらのサイクルは比較的間隔が短く、比較的速度が遅い。任意に、所望の表面粗さレベルを実現しやすくするために、後研磨処理を使用することができる。このような研磨は、たとえば、酸化およびストリッピング法、または等方性化学研磨を含むことができる。
(b.シリコンのSOIドライエッチング)
前述の方法と同様の方法で、蓋200のグリッドは、SOI蓋ウエハ210のドライエッチング、たとえば、異方性ドライエッチングによって製造することができる。SOI蓋ウエハ210は、たとえば、ハンドル層(たとえば厚さ400ミクロン)とデバイス層(たとえば厚さ40ミクロン)との間に厚い酸化物層(たとえば厚さ1ミクロン)を含むことができる。蓋ルーフ250はデバイス層から形成され、蓋側壁220はハンドル層から形成される。
SOI蓋ウエハ210は同品質のシリコンウエハよりも一般に高価であるが、SOIウエハのドライエッチングによって、酸化物層がエッチストップとして機能することができるのでエッチ深さをより良く制御することができる。ウエハのエッチ深さのばらつきを最小限にすることができるので、均一な構造および平滑で均一なデバイス層(または蓋)表面を得ることができる。
前述の両方のドライエッチング方法では、ドライエッチングを使用して、箱の平面が平行な4つの側面以外の形状を有する光学的側壁220を画定することができる。たとえば、グレーティング、プリズム、または円柱レンズなどの形状を、1以上のシリコン表面上、たとえば側壁220の上に画定することができる。したがって、追加の光学的機能を蓋200に組み込むことができ、より複雑な光学的および機械的設計が可能となる。
(c.シリコンの湿式結晶学的エッチング)
本発明による蓋を製造するための第3の方法は、(110)シリコンの結晶学的エッチングを含み、マスクアパーチャの選択された縁端部の位置合わせは[110]軸に沿って行われる。次に、結晶学的エッチは、たとえばKOHおよびEDPに基づくものなどの公知の結晶学的エッチを使用してマスク開口部を通してエッチングすることによって実施することができる。(110)ウエハの表面に適切に位置合わせされると、エッチングによって複数の蓋キャビティが形成され、各キャビティは、蓋ウエハの面に対して実質的に垂直であるとともに非常に平滑な側壁表面を有する、平面が平行な2つの対向する側壁を有する。
この方法では、<111>エッチ速度を抑制すると有益となる場合があり、これは{111}面は平面状の垂直な側壁を形成し、これらが光学的IR伝送に使用されるからである。たとえば、エッチ速度は、{111}面が{100}面よりも約60〜150倍遅い。{100}面に対する{111}面のエッチ速度は、異なるエッチャントの種類について変動する。エッチ速度の差を使用すると、{111}表面の平坦化を容易にすることができる。これは、たとえば、マスクおよびシリコンウエハの位置のずれによってステップの欠陥が生じる場合、最初の表面粗さが大きすぎる場合、および/またはより高い平坦度の側壁が望まれる場合には望ましいと思われる。キャビティの他の2つの側壁は、第1の縦方向の側壁に対してたとえば70.5度の角度で傾斜した縦方向の側面を有する形状であってよい。あるいは、90°の角度を有する箱をエッチングすることもできる。
湿式結晶学的エッチングが単独で使用される場合、エッチング中にわずかなウェッジングが生じうることにも注意すべきである。ウェッジングは、壁を通過するコリメートされたビームをある程度偏向させると考えられている。任意の特定の理論に束縛されるものではないが、ウェッジングの原因は、{111}の攻撃速度が遅く、さらに、エッチングされた側壁220の頂部がこの攻撃に対して、エッチング終了時またはほぼ終了時にのみさらされる側壁の底部での{111}面の領域よりも、有意に長時間さらされることであると考えられる。撹拌方法の変更、エッチ速度の低下、または結晶面の相対的エッチ速度の変更によって、この効果を軽減またはほぼなくすことができる。
(d.SOIの湿式結晶学的エッチング)
さらに別の蓋製造方法として、前述の湿式結晶学的エッチング方法においてSOIウエハ(前述の種類のもの)を使用することができる。SOIの場合、絶縁層をエッチストップとして使用することができる。SOIウエハの結晶学的エッチングによって、シリコンウエハエッチング技術よりも、均一な蓋ルーフ厚さが得られ、光ビームが蓋ルーフ250を通過するために好適な平滑性を得ることができる。
(e.ドライおよびウェット複合エッチング)
本発明によるさらに別の蓋製造方法として、前述のSOIウエハについて、ディープ反応性イオンエッチングなどのドライエッチを行い、続いてウェットエッチステップを行うことができる。この方法は、「シリコンのSOIドライエッチング」に関して前述したような第1のドライエッチステップを含むことができる。このドライエッチステップは、光学的に平滑であり蓋ウエハ210の面と垂直である側壁220、221を提供する方法で実施することができる。ドライエッチはマスクを結晶軸に位置合わせして注意深く実施され、それによって次のウェットエッチステップでは最小の表面不規則性の除去が必要とされるのみである。
結果として得られた粗さおよび垂直からの角度のばらつきを修正するために、次に結晶学的ウェットエッチを実施することができる。要求される表面平滑性の程度に依存して、このウェットエッチは典型的には数分から最長30分間続けられる。より短いエッチング時間で平滑性が得られることが好ましいと思われる。したがって、初期のドライエッチステップで低い表面粗さ(たとえば、50nm未満〜100nm)が得られることが望ましい。
ノッチングによって、結晶学的ポストエッチ中に攻撃されることができる別の結晶面が露出することで、{111}結合側壁表面の品質が低下しうる。エッチングプロセス中、酸化物停止部分上で、より遅い領域が平坦化するまでエッチングが続けられる。この「オーバーエッチ」期間中、プラズマエッチャントとの相互作用のために、最初に酸化物を露出した領域は、酸化物表面上に表面電荷が蓄積されうる。これによって、より低い周囲の側壁上の局所的なパッシベーションが破壊され、シリコンが側方にエッチングされたり、または酸化物表面に沿ってアンダーカットされたりすることがある。ドライエッチングのみが使用される場合、この効果は、ポストウェットエッチングが使用される場合ほど大きくない。アンダーカットによって結晶面が露出することがあり、異方性ウェットエッチによる側壁の表面清浄化はより困難となる。したがって、エッチ終点を検出できる機械、またはノッチング効果が最小限となるようにエッチング方法を変化させることができる機械を使用すると望ましいと思われる。終点検出システムは、米国ニューハンプシャー州ポーツマス(Portsmouth,NH)のSTSシステムズ(STS Systems)などから市販されている。
上述のドライおよびウェットエッチ方法はSOIウエハ上で実施することができるが、SOIウエハ以外のシリコンウエハを使用することも可能である。
(f.「ベネチアンブラインド」(Venetian Blind)法)
図16A〜16Dを参照すると、本発明によるさらに別の蓋の製造方法は、「ベネチアンブラインド」法を利用する。この方法は、一連の薄い長方形のピット231が蓋ウエハ210に互いに近接してエッチングされるウェットエッチ(湿式異方性結晶学的エッチ)を含む。蓋ウエハ210は、たとえば(110)シリコンを含むことができる。図16Aに示されるように、ピット231は、蓋ウエハ210の表面にパターン形成され、各キャビティ230が形成されるシリコン蓋ウエハ210の領域が覆われる。図16Aに示されるように、薄い分離壁233が、それぞれのスロットの組の間に配置される。典型的には、分離壁233の厚さはたとえば0〜50ミクロンである。マスク上のエッチングされるピット開口部の間隔は、エッチングプロセスの終了時近くに、分離壁233が破壊される、および/またはエッチングにより除去されるように選択することができる。あるいは、等方性エッチを使用して分離壁233を除去することもできるが、光学信号の伝達に使用される2つの側壁221上の表面粗さが増大しうるので、これはあまり望ましくない。
ベネチアンブラインド法は、他の方法で各キャビティ230のために1つの開口部を有するマスクを通してエッチングすることによって可能なキャビティよりも小さいキャビティを提供することができる。たとえば、図16Cおよび16Dを参照すると、1つのキャビティ241としてのキャビティの形成とベネチアンブラインド法との相違が示されている。たとえば図16Cは、全体で同じ幅(幅とはピット231の長軸に沿った寸法を意味する)であり、破線222の間の除去された部分の幅が同じであるキャビティを形成する2つの方法を示している。図16Dは、ピット231を合わせた長さと一致する長さを有する1つのピット242を示している(長さは線222に沿った方向を意味する)。破線222の間の領域は、ピット231で囲まれた全体のキャビティ237内部の完全に除去された領域を表している。すなわち、破線222と平行な辺を有する立方体をキャビティ237に挿入することができ、通常、この立方体は、キャビティ237中に見られるファセット235のいずれをも妨害しない。この立方体は、ピット231底部上に実質的に平坦に配置される。当然ながらこの立方体の長さはキャビティ237の長さに依存する。
代表的キャビティ241は、大きな開口部のパターンを形成し、深さDまでエッチングすることによって提供することができる。Dにおいて、このキャビティ241、242は、破線222間にある幅を含む。対応する除去された長さは、キャビティ241の長さによって決まる。対照的に、キャビティの形成にベネチアンブラインド法を使用すると、一連のピット231は、エッチング深さDを有するように形成される。
通常、図16Cに示される2つのキャビティ237、241は同じ幅、同じ除去面積、および異なる長さを有する。1つの隣接するマスクアパーチャからエッチングされたピット231の除去される幅は、たとえば、エッチ深さを減少させる、マスクパターンの幅を広げる、またはそれらの組み合わせによって広げることができる。深さおよび幅が固定される場合、またはより小さい蓋を形成するためにそれらを最小限に維持することが望ましい場合、ピット231の長さは深さおよび幅によって束縛される。図16Dは、幅とは無関係に1つのキャビティ242の長さが延長された場合の結果を示している。この場合、深さは変化していない。キャビティ241は、所与の幅および深さに対するキャビティ長さの限界(または「単位長さ」)を示している。しかし、図16Cに示されるように、キャビティ237を複数のピット231に分割することによって、幅の増加や深さの減少なしにキャビティ237の長さを延長することができ、キャビティ241とは対照的である。言い換えると、キャビティ237の最終長さは、使用するピット231の数を変動させることによって自由に選択することができる。所与の長さ、幅、および深さにおいて、キャビティ237を形成するためのピット231の数は、所望の全長の、個々のピットの長さに対する比を次の整数まで切り上げることで求められる。キャビティ237は最小で2つのピット231から提供することができる。
図16A〜16Dに示される蓋を形成する場合、この方法は、好ましいキャビティ237の所望の寸法に基づいた適切な方位および寸法の蓋ウエハ210を選択することから始めることができる。次に、希望するシリコンエッチャント(たとえば、窒化ケイ素マスクとKOHエッチャント)に基づいて、シリコンウェットエッチングに適したハードマスク材料を付着させることができる。次に、ハードマスクは位置合わせを行い、窒化ケイ素の単純なフォトリソグラフィー、あるいはドライエッチングまたはウェットエッチングでパターン形成する。その後、蓋ウエハ219を、選択された深さまでエッチャント中でエッチングする。
この時点で、ピット231が形成され、仕上が行われる。(110)−エッチケースでキャビティ237を形成するために複数のピット231が使用される場合、任意に追加されるステップを使用して、ピット231間の分離壁233を除去することができる。このような追加のステップとしては、たとえば、壁の機械的な破壊による除去、等方性エッチャントを使用するエッチングなどが挙げられる。最初のマスクパターンは、マスクのアンダーカットのために異方性ウェットエッチ終了時に分離壁231が消失するように設計することができる。この方法でも希望するならSOI蓋ウエハをエッチストップとして使用することができる。
(g.45°における結晶学的エッチ)
本発明によるさらに別の例示的な蓋製造方法として、図17Aおよび17Bに示されるように<110>方向に対して45°の角度にあるマスクを使用する(100)シリコンの結晶学的エッチを使用することができる。
蓋ウエハ210の上に長方形のマスクアパーチャ351を設けることができる。蓋300の形成において、全体的に大きな寸法の蓋が、ビームが通過することができる適切な寸法の側壁321を形成するために必要である場合がある。蓋300は平坦な蓋封止面326を有することができ、これに接着剤を適用することができる。たとえば、蓋封止面326の寸法が一定であると仮定すると、本発明の方法によって形成される蓋300の寸法は、例えば、側壁321の所望の寸法などによって決定される。側壁321が頂点Bを有する三角形を形成する臨界深さBに到達する前には、側壁321は先端が切り取られた三角形を含む。エッチングが進行すると、同時に深くおよび狭くなることによって側壁321の形状はほぼ三角形に近づく。側壁のエッジの角度はほぼ一定である。臨界深さでは、側壁321が出会って、ほぼ三角形の側壁321となる。エッチングが続けられると、各側壁321の端部は互いに近づき続け、底部の頂点は、エッチングが実施されるウエハ上面に向かって上に移動する。エッチングが十分長時間続けられると、縦方向の三角形の側壁321は消失し、縦方向の面を有さない角錐型ピットのみが残留する。これは、典型的な方法で蓋ウエハ310がより大きなアパーチャでパターン形成され、{111}面と平行に位置合わせされる場合と同じピットとなる。
側壁321の最終的寸法は、たとえば、マスクパターンの幅を変化させること、ピットの所望の深さを変化させること、またはその両方によって調整することができる。マスクパターンの幅が一定の場合は、側壁321の寸法はピットの深さとともに減少する。ピットの深さが一定の場合は、側壁321の寸法はマスクパターンの幅が減少するとともに減少する。さらに、ピット全体(したがって側壁321)の幅は、マスクアパーチャ幅が減少するとともに減少する。図17Bの説明図は、本発明の設計においてビーム「B」が側壁321を通過することができ、同時にビームと蓋表面との間に適切な距離が離される最小深さおよび幅(したがって全体の蓋の寸法)を示している。
前述の方法では、公知のエッチャント、たとえば、KOHおよびTMAHなどのアルカリ水酸化物、またはEDP、ならびにその他の公知のより酸化物選択性の高い異方性エッチャントを使用することができる。たとえばEDPは<111>結晶面表面上により低い表面粗さを得ることができる。さらに、表面粗さを改善するための界面活性剤添加のような技術は、当技術分野で公知のように用いて、異方性エッチングで所望の最終形状および表面仕上を得ることができる。低応力窒化物などの低応力層を、ウェットエッチング用のマスク材料として使用することができる。SiOなどの酸化ケイ素、金属(たとえばCr、Al、Ni)、レジスト、またはそれらの組み合わせを、ドライエッチング用のマスク材料として使用することができる。これらのマスクは公知の技術を使用してパターン提供することができる。
さらに、ウェットエッチング方法を使用する場合、側壁220上にパターン形成された薄膜はんだ(たとえば、共晶またはほぼ共晶のAu−Sn組成物、In、Sn−Pb、In−Cu、過渡的液相(TLP)材料、TAD材料など)を用いて、窒化ケイ素のハードマスクを使用してピットを画定することができる。はんだは、いくつかの異方性エッチで好適な結果が得られるまで維持することができ、蓋200のベースウエハ110への封止のために使用できる状態のはんだリングを表面上に有することを可能にする。その厚さ、組成、融点、およびパターンは、たとえば、粗さ、蓋取り付け領域60、接合階層構造などに依存しうる。はんだの典型的な厚さは、たとえば3〜8ミクロンである。さらに、前述したような接着層および拡散障壁、たとえば、Cr/Ni、Cr/Pt、Ti/Wなどは、はんだの下に使用することができる。はんだは蓋200上、ベースウエハ110上、またはその両方の上に存在することができる。はんだは、熱加工の他の段階中に悪影響のある反応または拡散を防止するために組成的に2つに分割することができる(ある場合には、エポキシ接合、アノード接合、溶融接合、HFまたはその他の低温共有結合、Au−Au接合などで蓋200が封止される場合には、はんだは不要である)。
蓋ウエハの封止面上のはんだまたは金属と適合するよりも高い温度で、反射防止(AR)コーティングなどの種々のコーティングを堆積させることが望ましい場合がある。蓋のエッチング後、場合によりマスクは剥離され、金属マスクは通常は剥離される。次に、ウエハは、有機金属化合物、シラン、ジクロロシラン、酸素、および窒素などの反応物質を使用するコンフォーマルCVDなどによってARコーティングすることができる。堆積は、典型的には400℃を越える温度で実施され、この温度は大部分のはんだおよび金属には適合していない。ARコーティングの後、所望のはんだパターンをトレースした回転対称ではない一連の開口部を有するシャドーマスク上で、ウエハまたは部品のグリッドの位置合わせを行うことができる。たとえば、蓋のグリッドが厚さ100ミクロンのキャビティを含む場合、シャドーマスクを使用することができる。典型的にはシャドーマスクは、それを通って、気化した金属の流れを堆積することができる開口部を有する薄いエッチングされた金属である。
蓋が光伝達に使用されるか、導波路を収容する場合には、封止面を連続的に覆って、内壁表面または外壁表面上への金属堆積または「オーバースプレー」を防止することが望ましい。シャドーマスクは、例えば、蓋封止面をトレースする開放されたリングを有し、ウエハまたはグリッド上の各デバイスで繰り返されるように構成することができる。シャドーマスクは、所望の精度で部品と位置合わせすることができ、この精度は蓋封止面上のシャドーマスクの重なりおよび寸法不足の関数となる。たとえば、100ミクロンの壁では、シャドーマスク中に75ミクロンの開口部を使用することができ、典型的には、側壁上への金属のオーバースプレーを防止するために2つの間の位置合わせが少なくとも±12.5ミクロンとなることが必要である。実際には、シャドーマスクと部品との間に考慮すべき間隙が存在することが多いので、位置合わせは通常はこの最小値よりよいものである。位置合わせは、たとえば位置合わせ技術、堆積される金属、ならびに蒸発源および基体の分離および位置合わせに基づいて容易に決定することができる。
マスクの設計は、蓋キャビティの内部容積をシールドする、金属を中央に有する開放リングを含むことができる。開放リングは、中央に金属を有するシャドーマスク金属本体に取り付けられるランドによって1以上の位置で分断されてもよい。蓋が180°回転した場合に、第2のメタライゼーションによって封止面全体が連続的に覆われるように、マスクの中央および本体に取り付けられるランドを配置することができる。封止マスクの金属の中心が1以上のランドによって取り付けられる場合、妨害されないメタライゼーションが達成されうるように非対称に配置することができる。たとえば、マスクは、厚さ3ミルのステンレス鋼で構成され、それぞれ2〜3ミルの2つのランドを有し、これらがマスクの金属の中心の内部を4ミルのリングに取り付けてもよい。ウエハまたはグリッドを180°回転させると、封止面の完全なメタライゼーションを実施することができるように、ランドは非対称に配置することができる。
マスクは、第1のぬれ性を有するメタライゼーション、たとえば、Cr(50nm)/Ni(200nm)/Au(200nm)を堆積することによって提供することができる。たとえば90°または180°の設計値で部品を回転させる。第2のメタライゼーションは、第1のメタライゼーション構造と同じまたは異なる、構造および厚さを使用して実施することができる。次に、例えば、Au−Sn(2〜10ミクロン)などのはんだを堆積する。このように、2つの堆積ステップから、はんだ−ぬれ性を有する金属のリングを提供することができる。はんだは、接合面上に連続的に堆積させることができ、金属ランドの位置における小さな間隙を除けば同一の表面を覆う。蓋がベースウエハに取り付けられ、はんだがリフローされると、ウィッキングアクションにより小さな間隙ははんだでふさがれる。この間隙は、蓋をベースに気密封止する前に水分および凝縮性蒸気を除去するために使用できる後のベークアウトステップにおける、蒸気封止の形成防止を助けることもできる。
シリコンは1100nmを超える波長を伝達するために有効であるが、可視スペクトルおよび近IRの波長を蓋200を通して伝達できる能力を有することが、ある種の用途には望ましい場合がある。この能力は、たとえばポンプレーザー、VCSELS、多くのセンサーなどの実装に有用であり得る。これに関連して、所望の波長において光学的に透明であるシリコン蓋中の側壁部分を提供することができる。たとえば、図18A〜18Dを参照すると、シリコン蓋200は、前述の方法によって(100)単結晶シリコンから製造することができる。次に、側壁222の一部は、図18Aおよび18Bに示されるように、5〜30ミクロンの厚さまで薄くすることができる。次に、図18Cおよび18Dに示されるように、薄い側壁222を有する蓋は、側壁22の深さ全体にわたって二酸化ケイ素まで酸化されるのに十分な時間、熱酸化させることができ、二酸化ケイ素は可視スペクトルに対して光学的に透明である。固体体積の変化によって生じうる機械的応力を軽減するために、エッチングされた柱または長方形を使用することができ、これらは酸化中に互いに成長して、使用されうる固体の壁を形成する。このような柱は、ドライエッチング、ウェットエッチング、またはその両方によって提供することができる。
SOIウエハを使用できる場合は、蓋ウエハ210は、後に接合される2つの分離したウエハを含むことができ、その一方は側壁220となり、他方は後に封止されてルーフ250となる。さらに、ドライエッチングが使用される場合、位置合わせピン用の孔、またはフェルールスリーブへの位置合わせを容易にするためのフロントノズルのような、さらなる位置合わせフィーチャーを容易に設計に組み込むことができる。
(D.気密性試験)
本発明のさらに別の態様によると、デバイスパッケージの気密性を好都合に試験することができる。気密性の変化または低下に応答するルーフ250のたわみが測定可能な程度となるように、蓋の寸法が選択される。この目的のために干渉計などの測定装置を使用することができる。製造中に蓋をふくらませるため、このパッケージにヘリウムまたは窒素などの不活性ガスを充填する。ルーフ250の厚さの、キャビティ230の最長寸法(全長)に対する比率は、典型的には1/10〜1/50である。たとえば、キャビティ全長が1mmである蓋200の場合、蓋の厚さが40ミクロンであれば、十分なたわみおよび耐久性を得ることができる。蓋の厚さは典型的には20〜100ミクロンの間である。蓋のふくらみの程度は、パッケージ内の圧力と関連するので、蓋のふくらみの変化の程度が、気密性および漏洩率の指標となる。
本発明のこれらおよびその他の利点は、以上の説明から当業者には明らかであろう。したがって、当業者が理解するように、本発明の広い発明の概念から逸脱せずに、上記実施形態の変形または修正が可能である。したがって、本発明は、本明細書に記載される個々の実施形態に限定されるものではなく、請求項に記載される本発明の範囲および意図の範囲内にあるすべての変形および修正を含むことを意図していることを理解されたい。
気密性を試験するための上記技術以外に、別の方法として、ヘリウムなどの検出可能なガスでパッケージを充填し、標準的な漏れ検出装置を使用して、パッケージからの漏洩量を測定することもできる。
10 デバイス取り付け領域
11 モニター溝
12 オプトエレクトロニクスデバイス
13 端面
14 導電性リード線
16 はんだパッド
18 オプトエレクトロニクスデバイス
20 ピット
22 レンズ
30 ピット
32 レンズ
33 側壁
34 封入剤
40 ファイバー溝
41 側壁
42 光ファイバー
43 端面
44 フェルール
50 くぼんだクリアランス面
52 くぼんだクリアランス面
54 くぼんだクリアランス面
56 くぼんだクリアランス面
60 蓋取り付け領域
61 表面
62 前部蓋取り付けチャネル
63 側壁
64 後部蓋取り付けチャネル
65 側壁
66 蓋取り付けチャネル
67 間隙
68 蓋取り付けチャネル
70 上面
72 下面
74 冷却キャビティ
76 冷却構造
77 ヒートシンク
78 熱伝導性材料
80 光線
90 ビア
100 光学マイクロベンチ
110 ベースウエハ
200 蓋
209 全長
210 ファイバーフェルール
219 蓋ウエハ
220 側壁
221 蓋側壁
222 破線
226 封止面
228 分離チャネル
230 キャビティ
231 ピット
232 開口部
233 分離壁
235 ファセット
237 キャビティ
240 接合材料
241 キャビティ
242 ピット
250 ルーフ
300 蓋
301 ハードマスク
302 膜
303 ピット
304 開口部
305 側壁
306 窒化物シェルフ
307 ビア開口部
308 窒化物層
309 金属層
310 表面
311 誘電性材料
321 側壁
326 蓋封止面
351 アパーチャ
500 ミクロ光学デバイスパッケージ
502 フェルールばね
503 前端
505 後端
506 ハウジングマウント
507 ショルダー
508 フェルールハウジング
509 ショルダー
510 フレックス回路
512 キャビティ
519 コネクターハウジング裏面
520 コネクター
530 コネクター
532 スロット
540 ヒートシンク
541 近接端部
542 フィン
543 通路
545 ヒートシンク
546 フィン
602 エッチストップ層
603 エッチストップパターン
604 構造用コーティング
605 誘電層
606 ビアホール
608 膜
609 アパーチャ
610 ウエハ
612 金属層
616 表面
B ビーム

Claims (5)

  1. ベースウエハまたはベースグリッドであって、各々がオプトエレクトロニクスデバイス取り付け領域および蓋取り付け領域を含む複数のベースダイを含むベースウエハまたはベースグリッドと、
    前記オプトエレクトロニクスデバイス取り付け領域のそれぞれの上に取り付けられたオプトエレクトロニクスデバイスと、
    前記ベースウエハまたはベースグリッドに取り付けられた蓋ウエハまたは蓋グリッドであって、前記蓋ウエハまたは蓋グリッドは複数の蓋ダイを含み、各蓋ダイは、それぞれの前記蓋取り付け領域上に取り付けられて、前記ベースダイと前記蓋ダイとの間に密閉容積を形成する蓋ウエハまたは蓋グリッドと、
    を含み、
    それぞれのオプトエレクトロニクスデバイスは密閉容積内にあり、各蓋ダイは、前記オプトエレクトロニクスデバイスへのまたは前記オプトエレクトロニクスデバイスからの光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を有する、ウエハまたはグリッドレベルオプトエレクトロニクスデバイスパッケージ。
  2. 前記蓋ウエハまたはグリッドが、シリコン層の間に配置されたガラス層を含むシリコン−オン−インシュレータウエハを含み、さらに各蓋ダイがシリコン側壁と、ガラスを含むルーフとを含む請求項1記載のウエハまたはグリッドレベルオプトエレクトロニクスデバイスパッケージ。
  3. 複数のダイを含むシリコンウエハまたはグリッドであって、各ダイが複数の側壁と、前記側壁と連結されたルーフとを含んで、キャビティを形成し、ここで1以上の側壁が、前記側壁を通過する光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を含むシリコンウエハまたはグリッドを含む、
    ウエハレベルまたはグリッドレベルオプトエレクトロニクスデバイスパッケージ蓋。
  4. 前記光伝送領域上に反射防止コーティングをさらに含む請求項3記載のウエハレベルまたはグリッドレベルオプトエレクトロニクスデバイスパッケージ蓋。
  5. ウエハまたはグリッドレベル上にオプトエレクトロニクスデバイス蓋を形成する方法であって、
    複数のダイを含むシリコンウエハまたはグリッドを提供するステップと、
    前記ウエハまたはグリッドをエッチングして、複数の蓋構造を形成するステップであって、前記複数の蓋構造のそれぞれが複数の側壁と前記側壁と連結されたルーフとを含みキャビティを形成するステップと、
    を含み、各蓋構造の1以上の側壁が、前記側壁を通過する光軸に沿って所与の波長の光を伝送するのに好適な光伝送領域を含む方法。
JP2012067188A 2003-09-15 2012-03-23 デバイスパッケージ、ならびにその製造方法および試験方法 Pending JP2012147007A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50286803P 2003-09-15 2003-09-15
US60/502868 2003-09-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004267167A Division JP5002123B2 (ja) 2003-09-15 2004-09-14 デバイスパッケージ、ならびにその製造方法および試験方法

Publications (1)

Publication Number Publication Date
JP2012147007A true JP2012147007A (ja) 2012-08-02

Family

ID=34135393

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2004267295A Expired - Fee Related JP4911885B2 (ja) 2003-09-15 2004-09-14 デバイスパッケージ、ならびにその製造方法および試験方法
JP2004267167A Expired - Fee Related JP5002123B2 (ja) 2003-09-15 2004-09-14 デバイスパッケージ、ならびにその製造方法および試験方法
JP2012067188A Pending JP2012147007A (ja) 2003-09-15 2012-03-23 デバイスパッケージ、ならびにその製造方法および試験方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2004267295A Expired - Fee Related JP4911885B2 (ja) 2003-09-15 2004-09-14 デバイスパッケージ、ならびにその製造方法および試験方法
JP2004267167A Expired - Fee Related JP5002123B2 (ja) 2003-09-15 2004-09-14 デバイスパッケージ、ならびにその製造方法および試験方法

Country Status (7)

Country Link
US (11) US7329056B2 (ja)
EP (3) EP1515364B1 (ja)
JP (3) JP4911885B2 (ja)
KR (4) KR101146019B1 (ja)
CN (4) CN100386867C (ja)
CA (5) CA2843395C (ja)
TW (2) TWI241722B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017011096A (ja) * 2015-06-22 2017-01-12 住友電気工業株式会社 光モジュール、光モジュールを作製する方法
WO2017203785A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール
WO2017203786A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7233027B2 (en) * 2001-04-30 2007-06-19 Merge Optics Gmbh Arrangement comprising at least two different electronic semiconductor circuits
US7073954B1 (en) * 2001-09-17 2006-07-11 Stratos International, Inc. Transceiver assembly for use in fiber optics communications
US9614266B2 (en) 2001-12-03 2017-04-04 Microfabrica Inc. Miniature RF and microwave components and methods for fabricating such components
US7259640B2 (en) 2001-12-03 2007-08-21 Microfabrica Miniature RF and microwave components and methods for fabricating such components
US8971679B2 (en) * 2002-08-28 2015-03-03 Optonet Inc. Apparatus and method for passive alignment of optical devices
EP1609206B1 (en) 2003-03-04 2010-07-28 Rohm and Haas Electronic Materials, L.L.C. Coaxial waveguide microstructures and methods of formation thereof
US10297421B1 (en) 2003-05-07 2019-05-21 Microfabrica Inc. Plasma etching of dielectric sacrificial material from reentrant multi-layer metal structures
EP1515364B1 (en) 2003-09-15 2016-04-13 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US6985645B2 (en) * 2003-09-24 2006-01-10 International Business Machines Corporation Apparatus and methods for integrally packaging optoelectronic devices, IC chips and optical transmission lines
EP1680843A4 (en) * 2003-10-20 2009-05-06 Binoptics Corp PHOTONIC EQUIPMENT WITH SURFACE EMISSION AND RECEPTION
US7333521B1 (en) * 2003-12-04 2008-02-19 National Semiconductor Corporation Method of sensing VCSEL light output power by monitoring electrical characteristics of the VCSEL
US7045827B2 (en) * 2004-06-24 2006-05-16 Gallup Kendra J Lids for wafer-scale optoelectronic packages
DE102004031878B3 (de) * 2004-07-01 2005-10-06 Epcos Ag Elektrisches Mehrschichtbauelement mit zuverlässigem Lötkontakt
KR100594716B1 (ko) * 2004-07-27 2006-06-30 삼성전자주식회사 공동부를 구비한 캡 웨이퍼, 이를 이용한 반도체 칩, 및그 제조방법
US20060046501A1 (en) * 2004-08-27 2006-03-02 Texas Instruments Incorporated Screening of electroless nickel/immersion gold-plated substrates with black pad defect
US7833834B2 (en) * 2004-09-30 2010-11-16 Sharp Kabushiki Kaisha Method for producing nitride semiconductor laser light source and apparatus for producing nitride semiconductor laser light source
JP2006119024A (ja) * 2004-10-22 2006-05-11 Tokyo Electron Ltd プローブおよびその製造方法
JP4451371B2 (ja) * 2004-12-20 2010-04-14 シャープ株式会社 窒化物半導体レーザ素子
US20060132544A1 (en) * 2004-12-21 2006-06-22 Corley Richard E Jr Laser tacking and singulating method and system
US7630422B1 (en) 2005-01-14 2009-12-08 National Semiconductor Corporation Driver for vertical-cavity surface-emitting laser and method
US8050526B2 (en) * 2005-02-08 2011-11-01 Samsung Electronics Co., Ltd. Micro-optical device and method of making same
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
US7750356B2 (en) * 2005-05-04 2010-07-06 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Silicon optical package with 45 degree turning mirror
CA2548857C (en) * 2005-06-01 2015-01-06 Rohm And Haas Electronic Materials Llc Optical assemblies
US7790484B2 (en) * 2005-06-08 2010-09-07 Sharp Kabushiki Kaisha Method for manufacturing laser devices
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
JP2008026839A (ja) * 2005-08-15 2008-02-07 Rohm & Haas Electronic Materials Llc 結合方法および光学アセンブリ
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
KR100713121B1 (ko) * 2005-09-27 2007-05-02 한국전자통신연구원 칩과 이를 이용한 칩 스택 및 그 제조방법
US7619312B2 (en) * 2005-10-03 2009-11-17 Sun Microsystems, Inc. Method and apparatus for precisely aligning integrated circuit chips
TWI309313B (en) * 2005-10-11 2009-05-01 Rohm & Haas Elect Mat Micro-optical device
US7913719B2 (en) * 2006-01-30 2011-03-29 Cooligy Inc. Tape-wrapped multilayer tubing and methods for making the same
US7807547B2 (en) * 2006-03-28 2010-10-05 Innovative Micro Technology Wafer bonding material with embedded rigid particles
JP2007294523A (ja) * 2006-04-21 2007-11-08 Oki Electric Ind Co Ltd 表面実装型光結合器、その実装方法、及び、その製造方法
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
US20070279885A1 (en) * 2006-05-31 2007-12-06 Basavanhally Nagesh R Backages with buried electrical feedthroughs
JP2008010659A (ja) * 2006-06-29 2008-01-17 Disco Abrasive Syst Ltd ビアホールの加工方法
WO2008010416A1 (fr) * 2006-07-19 2008-01-24 Nikon Corporation Boîtier pour amplificateur à fibre optique, amplificateur à fibre optique et dispositif source de lumière
JP2008028143A (ja) * 2006-07-21 2008-02-07 Sumitomo Electric Ind Ltd 光モジュール
US7704874B1 (en) * 2006-10-02 2010-04-27 Newport Fab, Llc Method for fabricating a frontside through-wafer via in a processed wafer and related structure
US20080083611A1 (en) * 2006-10-06 2008-04-10 Tegal Corporation High-adhesive backside metallization
US20080157353A1 (en) * 2006-12-29 2008-07-03 Texas Instruments Incorporated Control of Standoff Height Between Packages with a Solder-Embedded Tape
EP1939137B1 (en) 2006-12-30 2016-08-24 Nuvotronics, LLC Three-dimensional microstructures and methods of formation thereof
US20080181558A1 (en) * 2007-01-31 2008-07-31 Hartwell Peter G Electronic and optical circuit integration through wafer bonding
DK1962344T3 (da) * 2007-02-25 2012-07-02 Samsung Electronics Co Ltd Emballager til elektroniske indretninger og fremgangsmåder til fremstilling
JP5010948B2 (ja) * 2007-03-06 2012-08-29 オリンパス株式会社 半導体装置
US7994594B2 (en) 2007-03-15 2011-08-09 Seiko Epson Corporation Electronic device, resonator, oscillator and method for manufacturing electronic device
US7887243B2 (en) 2007-03-16 2011-02-15 Honeywell Federal Manufacturing & Technologies, Llc Miniature mechanical transfer optical coupler
KR101593686B1 (ko) 2007-03-20 2016-02-12 누보트로닉스, 엘.엘.씨 일체화된 전자 요소들 및 이들의 형성 방법
EP1973189B1 (en) 2007-03-20 2012-12-05 Nuvotronics, LLC Coaxial transmission line microstructures and methods of formation thereof
EP1986028A3 (en) * 2007-03-27 2008-11-05 Rohm and Haas Electronic Materials LLC Optical assemblies and their methods of formation
US9018724B2 (en) * 2007-03-28 2015-04-28 Advancedmems Llp Method of producing optical MEMS
SG148054A1 (en) * 2007-05-17 2008-12-31 Micron Technology Inc Semiconductor packages and method for fabricating semiconductor packages with discrete components
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7919973B2 (en) * 2007-06-22 2011-04-05 Microchip Technology Incorporated Method and apparatus for monitoring via's in a semiconductor fab
US20090026619A1 (en) * 2007-07-24 2009-01-29 Northrop Grumman Space & Mission Systems Corp. Method for Backside Metallization for Semiconductor Substrate
US8217482B2 (en) * 2007-12-21 2012-07-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with reduced crosstalk
JP2009259595A (ja) * 2008-04-16 2009-11-05 Hitachi Cable Ltd 電気接点層付金属材およびその製造方法
US20090279172A1 (en) * 2008-05-12 2009-11-12 Higashi Robert E Microelectromechanical lamellar grating
US8490678B2 (en) * 2008-06-02 2013-07-23 Gerald Ho Kim Silicon-based thermal energy transfer device and apparatus
JP2010010447A (ja) * 2008-06-27 2010-01-14 Disco Abrasive Syst Ltd 半導体デバイスの電極形成方法
US20100015000A1 (en) * 2008-07-17 2010-01-21 Lawrence Pumps, Inc. Apparatus for simultaneous support of pressurized and unpressurized mechanical shaft sealing barrier fluid systems
US8238401B2 (en) * 2008-08-25 2012-08-07 Gerald Ho Kim Silicon-based lens support structure for diode laser
US7943411B2 (en) * 2008-09-10 2011-05-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
US8956904B2 (en) 2008-09-10 2015-02-17 Analog Devices, Inc. Apparatus and method of wafer bonding using compatible alloy
DE102008058003B4 (de) * 2008-11-19 2012-04-05 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleitermoduls und Halbleitermodul
US20100127407A1 (en) * 2008-11-25 2010-05-27 Leblanc John Two-sided substrateless multichip module and method of manufacturing same
WO2010066441A1 (de) * 2008-12-12 2010-06-17 Fresenius Medical Care Deutschland Gmbh Vorrichtung zur behandlung einer medizinischen flüssigkeit und verfahren zur überprüfung ihrer dichtigkeit
JP5532611B2 (ja) * 2009-01-23 2014-06-25 富士通セミコンダクター株式会社 半導体装置の製造方法及び設計支援装置
JP5302020B2 (ja) * 2009-01-26 2013-10-02 浜松ホトニクス株式会社 光モジュール
JP5389464B2 (ja) * 2009-02-10 2014-01-15 フリースケール セミコンダクター インコーポレイテッド 半導体装置の製造方法
US8659371B2 (en) * 2009-03-03 2014-02-25 Bae Systems Information And Electronic Systems Integration Inc. Three-dimensional matrix structure for defining a coaxial transmission line channel
US8580596B2 (en) * 2009-04-10 2013-11-12 Nxp, B.V. Front end micro cavity
US20100283138A1 (en) * 2009-05-06 2010-11-11 Analog Devices, Inc. Nickel-Based Bonding of Semiconductor Wafers
US8420999B2 (en) * 2009-05-08 2013-04-16 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Metal shield and housing for optical proximity sensor with increased resistance to mechanical deformation
US8957380B2 (en) * 2009-06-30 2015-02-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8779361B2 (en) * 2009-06-30 2014-07-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Optical proximity sensor package with molded infrared light rejection barrier and infrared pass components
US9525093B2 (en) 2009-06-30 2016-12-20 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared attenuating or blocking layer in optical proximity sensor
US8716665B2 (en) * 2009-09-10 2014-05-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Compact optical proximity sensor with ball grid array and windowed substrate
US8350216B2 (en) * 2009-09-10 2013-01-08 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Miniaturized optical proximity sensor
US8143608B2 (en) * 2009-09-10 2012-03-27 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Package-on-package (POP) optical proximity sensor
US9733357B2 (en) * 2009-11-23 2017-08-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Infrared proximity sensor package with improved crosstalk isolation
US20110123783A1 (en) 2009-11-23 2011-05-26 David Sherrer Multilayer build processses and devices thereof
US8917150B2 (en) * 2010-01-22 2014-12-23 Nuvotronics, Llc Waveguide balun having waveguide structures disposed over a ground plane and having probes located in channels
EP2524413B1 (en) 2010-01-22 2018-12-26 Nuvotronics LLC Thermal management
US8669569B2 (en) * 2010-02-04 2014-03-11 Yu-Nung Shen Light emitting diode package and method for fabricating the same
TWI546925B (zh) * 2010-02-09 2016-08-21 精材科技股份有限公司 晶片封裝體及其形成方法
CA2789672A1 (en) * 2010-02-12 2011-08-18 Eigenlight Corporation Hermetic package with leaded feedthroughs for in-line fiber optic devices and method of making
TWI419383B (zh) * 2010-03-08 2013-12-11 Harvatek Corp 具有高效率散熱效果之發光二極體結構及其製作方法
KR101637581B1 (ko) * 2010-03-09 2016-07-07 엘지이노텍 주식회사 발광 소자 패키지 및 그 제조방법
CN102237632A (zh) * 2010-04-26 2011-11-09 无锡亮源激光技术有限公司 户外用半导体激光模块
US20110285840A1 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Solder bonding and inspection method and apparatus
DE102010029760B4 (de) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
US9341792B2 (en) 2010-06-29 2016-05-17 Cisco Technology, Inc. Vent structures for encapsulated components on an SOI-based photonics platform
WO2012020380A1 (en) 2010-08-11 2012-02-16 Primesense Ltd. Scanning projectors and image capture modules for 3d mapping
US10739460B2 (en) 2010-08-11 2020-08-11 Apple Inc. Time-of-flight detector with single-axis scan
US8528397B2 (en) * 2010-08-18 2013-09-10 International Business Machines Corporation Hermeticity sensor and related method
CN101997269B (zh) * 2010-09-15 2012-02-08 山东华光光电子有限公司 一种半导体激光器巴条制作方法
GB2484486A (en) * 2010-10-12 2012-04-18 Oclaro Technology Ltd Component Temperature Control
KR101430634B1 (ko) * 2010-11-19 2014-08-18 한국전자통신연구원 광 모듈
CN102055132A (zh) * 2010-11-26 2011-05-11 中国科学院物理研究所 可深度冷却的半导体激光器装置及其密封装置
JP2012137597A (ja) * 2010-12-27 2012-07-19 Fujitsu Ltd 光デバイス、光送受信ユニットおよび光通信システム
US8841597B2 (en) 2010-12-27 2014-09-23 Avago Technologies Ip (Singapore) Pte. Ltd. Housing for optical proximity sensor
US8582618B2 (en) 2011-01-18 2013-11-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive or refractive lens on the semiconductor laser device
EP2690443B1 (en) 2011-03-24 2016-11-16 Sysmex Corporation Specimen analysis device, specimen information processing device, and specimen analysis method
US8315287B1 (en) 2011-05-03 2012-11-20 Avago Technologies Fiber Ip (Singapore) Pte. Ltd Surface-emitting semiconductor laser device in which an edge-emitting laser is integrated with a diffractive lens, and a method for making the device
JP5583632B2 (ja) * 2011-05-13 2014-09-03 Nttエレクトロニクス株式会社 光モジュール
US8866300B1 (en) 2011-06-05 2014-10-21 Nuvotronics, Llc Devices and methods for solder flow control in three-dimensional microstructures
US8814601B1 (en) 2011-06-06 2014-08-26 Nuvotronics, Llc Batch fabricated microconnectors
US20120319710A1 (en) * 2011-06-15 2012-12-20 Probelogic, Inc. Method and apparatus for implementing probes for electronic circuit testing
EP2731783A4 (en) 2011-07-13 2016-03-09 Nuvotronics Llc METHOD FOR PRODUCING ELECTRONIC AND MECHANICAL STRUCTURES
GB2494640A (en) * 2011-09-13 2013-03-20 Zinir Ltd Optical substrate with recesses for passive alignment of optical components
WO2013059731A1 (en) * 2011-10-19 2013-04-25 Cisco Technology, Inc. Molded glass lid for wafer level packaging of opto-electronic assemblies
JP6040253B2 (ja) * 2011-10-20 2016-12-07 シーウェア システムズSi−Ware Systems 3d湾曲光素子を含む集積化されたモノリシック光ベンチ、及びその作製方法
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
CN103163361B (zh) * 2011-12-13 2015-10-21 英业达股份有限公司 电子元件与检测系统的组合与电子元件的检测方法
US9773750B2 (en) * 2012-02-09 2017-09-26 Apple Inc. Method of transferring and bonding an array of micro devices
US9157790B2 (en) * 2012-02-15 2015-10-13 Apple Inc. Integrated optoelectronic modules with transmitter, receiver and beam-combining optics for aligning a beam axis with a collection axis
US9981844B2 (en) * 2012-03-08 2018-05-29 Infineon Technologies Ag Method of manufacturing semiconductor device with glass pieces
EP2831930B1 (en) * 2012-03-30 2018-09-19 Lumileds Holding B.V. Sealed semiconductor light emitting device and method of forming thereof
EP4228109A3 (en) 2012-05-08 2023-10-25 MACOM Technology Solutions Holdings, Inc. Lasers with beam-shape modification
US9500808B2 (en) 2012-05-09 2016-11-22 The Boeing Company Ruggedized photonic crystal sensor packaging
EP2857880B1 (en) * 2012-06-05 2018-09-19 Enplas Corporation Optical receptacle, and optical module provided with same
US9165723B2 (en) 2012-08-23 2015-10-20 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053873B2 (en) 2012-09-20 2015-06-09 Harris Corporation Switches for use in microelectromechanical and other systems, and processes for making same
US9053874B2 (en) 2012-09-20 2015-06-09 Harris Corporation MEMS switches and other miniaturized devices having encapsulating enclosures, and processes for fabricating same
US20140082935A1 (en) * 2012-09-27 2014-03-27 Volex Plc Method for passive alignment of optical components to a substrate
KR102094995B1 (ko) * 2012-10-08 2020-03-31 삼성전자주식회사 열전모듈, 이를 구비한 열전장치, 및 열전모듈의 제조방법
JP6247495B2 (ja) * 2012-11-26 2017-12-13 キヤノン株式会社 半導体装置、及びその製造方法
US9325044B2 (en) 2013-01-26 2016-04-26 Nuvotronics, Inc. Multi-layer digital elliptic filter and method
TW201430430A (zh) * 2013-01-31 2014-08-01 Hon Hai Prec Ind Co Ltd 光通訊模組
US9306254B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Substrate-free mechanical interconnection of electronic sub-systems using a spring configuration
WO2014141115A2 (en) 2013-03-15 2014-09-18 Primesense Ltd. Depth scanning with multiple emitters
US9306255B1 (en) 2013-03-15 2016-04-05 Nuvotronics, Inc. Microstructure including microstructural waveguide elements and/or IC chips that are mechanically interconnected to each other
DE102013205594A1 (de) * 2013-03-28 2014-10-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
US9090461B2 (en) 2013-04-30 2015-07-28 Hewlett-Packard Development Company, L.P. Temporary optical wave diffusion-promoting film adhered to lidded MEMS wafer for testing using interferometer
US9040386B2 (en) * 2013-07-23 2015-05-26 Maxim Integrated Products, Inc. Method for varied topographic MEMS cap process
US9246592B2 (en) * 2013-08-19 2016-01-26 International Business Machines Corporation Structured substrate for optical fiber alignment
CN103454052B (zh) * 2013-08-29 2017-03-01 上海华虹宏力半导体制造有限公司 Mems器件及晶圆级密封性的测量方法
US9810641B2 (en) * 2013-09-03 2017-11-07 Kulicke & Soffa Industries, Inc. Systems and methods for measuring physical characteristics of semiconductor device elements using structured light
CN103647004B (zh) * 2013-11-28 2016-05-11 苏州百奥丽光电科技有限公司 Led灯管的烘烤装置
US10056294B2 (en) * 2013-12-02 2018-08-21 Maxim Integrated Products, Inc. Techniques for adhesive control between a substrate and a die
WO2015104715A1 (en) * 2014-01-13 2015-07-16 Corning Optical Communications Wireless Ltd. Dissipating heat from electronic devices
JP6535347B2 (ja) 2014-01-17 2019-06-26 ヌボトロニクス、インク. ウエハースケールのテスト・インターフェース・ユニット:高速および高密度の混合信号インターコネクトおよびコンタクタのための低損失および高絶縁性の装置および方法
US9308596B2 (en) * 2014-01-17 2016-04-12 Alcatel Lucent Method and assembly including a connection between metal layers and a fusible material
US9389378B2 (en) * 2014-02-03 2016-07-12 Luxtera, Inc. Method and system for optical power monitoring of a light source assembly coupled to a silicon photonically-enabled integrated circuit
US9563021B2 (en) 2014-03-17 2017-02-07 Lumentum Operations Llc Optical switching device
US9930793B2 (en) 2014-03-27 2018-03-27 Intel Corporation Electric circuit on flexible substrate
CN104948476B (zh) * 2014-03-31 2020-06-02 台达电子工业股份有限公司 薄型风扇、电子系统及薄型风扇的制造方法
US8999816B1 (en) * 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US10847469B2 (en) 2016-04-26 2020-11-24 Cubic Corporation CTE compensation for wafer-level and chip-scale packages and assemblies
US10511073B2 (en) 2014-12-03 2019-12-17 Cubic Corporation Systems and methods for manufacturing stacked circuits and transmission lines
US20160302335A1 (en) * 2015-04-10 2016-10-13 Mettler-Toledo Autochem, Inc. Precision mounting systems and methods
US9525863B2 (en) 2015-04-29 2016-12-20 Apple Inc. Time-of-flight depth mapping with flexible scan pattern
US9478494B1 (en) 2015-05-12 2016-10-25 Harris Corporation Digital data device interconnects
US9551831B1 (en) * 2015-05-18 2017-01-24 Alliance Fiber Optic Products, Inc. Pluggable LC adaptor having optical passive element
US9437911B1 (en) 2015-05-21 2016-09-06 Harris Corporation Compliant high speed interconnects
US10315915B2 (en) * 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
JP6551008B2 (ja) * 2015-07-27 2019-07-31 住友電気工業株式会社 光モジュール、光学装置
JP6354704B2 (ja) * 2015-08-25 2018-07-11 日亜化学工業株式会社 光学部材の製造方法、半導体レーザ装置の製造方法及び半導体レーザ装置
DE102015114292A1 (de) 2015-08-27 2017-03-02 Osram Opto Semiconductors Gmbh Laserbauelement und Verfahren zu seiner Herstellung
CN108141009B (zh) * 2015-10-20 2020-10-13 松下半导体解决方案株式会社 光源装置、投光装置
CN105304586A (zh) * 2015-11-20 2016-02-03 江阴长电先进封装有限公司 一种带有加强结构的芯片嵌入式封装结构及其封装方法
JP6489001B2 (ja) * 2015-12-09 2019-03-27 住友電気工業株式会社 光モジュール、光モジュールを作製する方法、及び光学装置
US10120133B2 (en) * 2015-12-18 2018-11-06 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US10324171B2 (en) 2015-12-20 2019-06-18 Apple Inc. Light detection and ranging sensor
US9773764B2 (en) * 2015-12-22 2017-09-26 Intel Corporation Solid state device miniaturization
US9638859B1 (en) 2016-01-12 2017-05-02 Elenion Technologies, Llc Optical fiber alignment device
US10234626B2 (en) * 2016-02-08 2019-03-19 Skorpios Technologies, Inc. Stepped optical bridge for connecting semiconductor waveguides
FR3047842B1 (fr) * 2016-02-12 2018-05-18 Commissariat A L'energie Atomique Et Aux Energies Alternatives Composant electronique a resistance metallique suspendue dans une cavite fermee
JP6636377B2 (ja) * 2016-04-08 2020-01-29 株式会社ディスコ パッケージウェーハの製造方法及びデバイスチップの製造方法
US10453760B2 (en) * 2016-04-08 2019-10-22 Advanced Semiconductor Engineering, Inc. Lid array panel, package with lid and method of making the same
EP3447864B1 (en) * 2016-04-18 2024-05-01 Kyocera Corporation Light-emitting-element accommodating member, array member, and light emitting device
KR101843469B1 (ko) * 2016-04-19 2018-03-30 옵티시스 주식회사 광 커넥터
US9684141B1 (en) * 2016-04-25 2017-06-20 Applied Optoelectronics, Inc. Techniques for reducing ingress of foreign matter into an optical subassembly
US10082271B2 (en) 2016-06-29 2018-09-25 Nichia Corporation Laser light optical module utilizing reflective films for improved efficiency
US10168555B1 (en) 2016-06-30 2019-01-01 Google Llc Wiring in a head-mountable device
US10298913B2 (en) 2016-08-18 2019-05-21 Apple Inc. Standalone depth camera
US10539815B2 (en) 2016-09-22 2020-01-21 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
US9835801B1 (en) 2016-09-22 2017-12-05 Mellanox Technologies Silicon Photonics Inc. Edge construction on optical devices
KR101929465B1 (ko) * 2016-10-18 2019-03-14 주식회사 옵텔라 광학모듈
AU2017382871A1 (en) 2016-12-22 2019-06-13 Magic Leap, Inc. Methods and systems for fabrication of shaped fiber elements for scanning fiber displays
JP7282036B2 (ja) 2017-02-24 2023-05-26 ゼニオプロ ゲーエムベーハー 新規の芳香族化合物
US10782315B2 (en) 2017-04-17 2020-09-22 Rosemount Aerospace Inc. Apparatus and method for packaging, handling or testing of sensors
US10193302B2 (en) * 2017-05-10 2019-01-29 Applied Optoelectronics, Inc. Light engine with integrated turning mirror for direct coupling to photonically-enabled complementary metal-oxide semiconductor (CMOS) die
US10209477B1 (en) * 2017-05-25 2019-02-19 Lockheed Martin Coherent Technologies, Inc. Systems and methods for reconfigurable micro-optic assemblies
WO2019025858A1 (en) * 2017-08-01 2019-02-07 Rockley Photonics Limited TRANSMISSION OPTICAL SUBASSEMBLY MODULE AND RECEPTION OPTICAL SUBASSEMBLY
JP6958098B2 (ja) * 2017-08-10 2021-11-02 住友電気工業株式会社 光モジュール
CN107422433A (zh) * 2017-09-08 2017-12-01 中航海信光电技术有限公司 一种集成多光口的psm光电收发器件
RU181835U1 (ru) * 2017-09-14 2018-07-26 Российская Федерация, от имени которой выступает ФОНД ПЕРСПЕКТИВНЫХ ИССЛЕДОВАНИЙ Защитные покрытия различного назначения места установки датчиков на поверхности конструкции
US10634717B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Testing apparatus and testing method
US10514511B2 (en) * 2017-11-02 2019-12-24 Panduit Corp. Optical fiber termination using a reference source
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages
US10921447B2 (en) 2018-01-29 2021-02-16 Rohm Co., Ltd. Control circuit of light emitting and receiving device
CN108323151B (zh) * 2018-01-29 2023-12-19 广东利元亨智能装备有限公司 一种烟雾报警器自动组装生产线
US20190278036A1 (en) * 2018-03-07 2019-09-12 Lightwave Logic Inc. Embedded hermetic capsule and method
US11427731B2 (en) 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
GB201808912D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808890D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808949D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
GB201808892D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
WO2019229463A1 (en) 2018-05-31 2019-12-05 Micromass Uk Limited Mass spectrometer having fragmentation region
GB201808894D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Mass spectrometer
GB2576077B (en) 2018-05-31 2021-12-01 Micromass Ltd Mass spectrometer
GB201808936D0 (en) 2018-05-31 2018-07-18 Micromass Ltd Bench-top time of flight mass spectrometer
US11036005B2 (en) * 2018-08-06 2021-06-15 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11953728B2 (en) 2018-08-06 2024-04-09 Rockley Photonics Limited Method for III-v/silicon hybrid integration
US11378762B2 (en) 2018-08-06 2022-07-05 Rockley Photonics Limited Method for III-V/silicon hybrid integration
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
CN108957649B (zh) * 2018-10-17 2023-08-22 四川光恒通信技术有限公司 一种平行光结构双收双发盒型密封封装光器件
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11075173B2 (en) * 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
RU2698561C1 (ru) * 2018-12-03 2019-08-28 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" СВЧ фотонный кристалл
KR102052737B1 (ko) * 2018-12-15 2020-01-08 김현철 번인 공정용 포켓캐리어 플레이트 제조방법 및 이를 이용한 포켓캐리어 플레이트와 지그 조립체
RU187926U1 (ru) * 2019-01-10 2019-03-25 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" Выводная рамка полупроводникового прибора
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
WO2020231171A1 (ko) * 2019-05-13 2020-11-19 주식회사 라이팩 커넥터 플러그 및 이를 이용한 액티브 광 케이블 조립체
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN112114207B (zh) * 2019-06-19 2024-05-10 泰克元有限公司 测试板及测试腔室
CN114026751A (zh) * 2019-07-02 2022-02-08 艾尤纳公司 支撑多个激光源的激光引擎
US11258229B2 (en) * 2019-08-16 2022-02-22 Cisco Technology, Inc. Thermal gel application on electronic and optical components
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
JP7470517B2 (ja) 2020-02-05 2024-04-18 古河電気工業株式会社 光学装置
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
CN113410747B (zh) * 2020-03-17 2022-07-12 潍坊华光光电子有限公司 一种半导体激光器管脚快速修复装置及修复方法
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
WO2021211778A1 (en) * 2020-04-15 2021-10-21 Excelitas Canada, Inc. Hermetic surface mount package for semiconductor side emitting laser and method forming same
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11747396B2 (en) * 2020-07-30 2023-09-05 Openlight Photonics, Inc. Optical interconnections for hybrid testing using automated testing equipment
CN114077016B (zh) * 2020-08-11 2023-09-01 美国莫列斯有限公司 具有光子集成电路的封装结构
TWI725908B (zh) 2020-08-18 2021-04-21 合晶科技股份有限公司 半極性氮化鎵的製作方法
US11686906B1 (en) * 2020-10-12 2023-06-27 Poet Technologies, Inc. Self-aligned structure and method on interposer-based PIC
CN112504574A (zh) * 2020-10-28 2021-03-16 北京中科科仪股份有限公司 一种光阑调节装置的检测工装及检测系统
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
JP2022094172A (ja) * 2020-12-14 2022-06-24 パナソニックIpマネジメント株式会社 発光装置、製造方法、及び導波構造体
US11474301B2 (en) * 2021-01-07 2022-10-18 Advanced Semiconductor Engineering, Inc. Device for communication
CN113295382B (zh) * 2021-04-29 2022-06-10 成都新锐鑫光通信技术有限公司 一种能够进行自适应检测的光纤连接器
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11502757B1 (en) * 2021-07-28 2022-11-15 QuantumZ Inc. Method of manufacturing device with optical component disposed thereon and transmission device manufactured by the same
CN113834527A (zh) * 2021-09-18 2021-12-24 重庆大学 一种压接型功率半导体结构及其内部压力在线测量方法
CN114705229A (zh) * 2022-03-29 2022-07-05 深圳市联创杰科技有限公司 一种基于敏感材料的基底可调光纤fp复合温湿度传感器芯片
CN115032741A (zh) * 2022-05-22 2022-09-09 上海图灵智算量子科技有限公司 波导及包含其的光芯片
CN115767948B (zh) * 2022-11-14 2024-04-02 北京自动化控制设备研究所 Mems惯性系统高密度低应力集成方法
CN117103697A (zh) * 2023-10-20 2023-11-24 成都光创联科技有限公司 一种气密光器件的生产方法

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02257676A (ja) * 1989-03-29 1990-10-18 Sharp Corp 波長選択性受光素子
JPH0786693A (ja) * 1993-09-16 1995-03-31 Toshiba Corp 光半導体モジュール
JPH09307122A (ja) * 1996-05-17 1997-11-28 Shinko Electric Ind Co Ltd 光素子モジュール
JPH10115556A (ja) * 1996-10-11 1998-05-06 Mitsubishi Electric Corp 赤外線検出器
JP2000311961A (ja) * 1999-04-27 2000-11-07 Omron Corp 半導体素子の製造方法
JP2001319985A (ja) * 2000-02-29 2001-11-16 Agilent Technol Inc チップマウント型封止構造体
US6422766B1 (en) * 1998-05-27 2002-07-23 Siemens Aktiengesellschaft Ag Housing configuration for a laser module
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
JP2003046014A (ja) * 2002-04-25 2003-02-14 Hitachi Kokusai Electric Inc 素子の気密封止方法
JP2003078080A (ja) * 2001-08-30 2003-03-14 Fujitsu Ltd 薄膜回路基板およびその製造方法、ビア形成基板およびその製造方法
JP2003100919A (ja) * 2001-06-11 2003-04-04 Matsushita Electric Ind Co Ltd 電子デバイス及びその製造方法
US20030071283A1 (en) * 2001-10-17 2003-04-17 Hymite A/S Semiconductor structure with one or more through-holes
EP1321931A2 (en) * 2001-12-18 2003-06-25 STMicroelectronics, Inc. Integrated optical unit for use with minature optical discs
JP2004235440A (ja) * 2003-01-30 2004-08-19 Mitsubishi Electric Corp マイクロパッケージとその製造方法
JP2004267167A (ja) * 2003-03-12 2004-09-30 Kanagawa Prefecture 老化上皮幹細胞の取得方法
JP2005055670A (ja) * 2003-08-04 2005-03-03 Seiko Epson Corp Memsデバイス及びその製造方法並びにmemsモジュール
JP2005136384A (ja) * 2003-09-15 2005-05-26 Rohm & Haas Electronic Materials Llc デバイスパッケージ、ならびにその製造方法および試験方法

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US73145A (en) 1868-01-07 wellman
US731445A (en) * 1902-09-16 1903-06-23 Willis M Esterly Laundry clothes-drier.
GB2136203B (en) 1983-03-02 1986-10-15 Standard Telephones Cables Ltd Through-wafer integrated circuit connections
US4771630A (en) 1985-12-20 1988-09-20 Warner-Lambert Company Method and apparatus for testing hermetic seal integrity of sealed packages and containers
JPH0620705Y2 (ja) 1987-09-29 1994-06-01 油谷重工株式会社 内装材の取付構造
US4897711A (en) * 1988-03-03 1990-01-30 American Telephone And Telegraph Company Subassembly for optoelectronic devices
US5082339A (en) * 1988-07-11 1992-01-21 Telefunken Electronic Gmbh Optical read-write head with diffraction grating structure
DE68910327T2 (de) 1988-07-22 1994-05-19 Nippon Denso Co Halbleiteranordnung.
JPH0253007A (ja) * 1988-08-18 1990-02-22 Matsushita Electric Ind Co Ltd 半導体レーザと光ファイバとの結合方法
US4893499A (en) * 1988-12-05 1990-01-16 Unisys Corporation Method and apparatus for detecting leaks in IC packages by sensing package deflections
JPH0620930Y2 (ja) 1989-01-17 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH04505370A (ja) 1989-05-19 1992-09-17 ヒューレット・パッカード・カンパニー オプト・エレクトロニックス・デバイスのハウジング
JPH0620766Y2 (ja) 1989-06-16 1994-06-01 忠 庄司 吸着具
US4961821A (en) 1989-11-22 1990-10-09 Xerox Corporation Ode through holes and butt edges without edge dicing
US5032897A (en) * 1990-02-28 1991-07-16 International Business Machines Corp. Integrated thermoelectric cooling
DE4010193C3 (de) * 1990-03-30 1998-03-26 Rheinmetall Ind Ag Leiterkarte für eine Leistungs-Elektronikschaltung
JPH04782A (ja) * 1990-04-18 1992-01-06 Nec Corp 半導体レーザ用パッケージ
DE4012396A1 (de) * 1990-04-19 1991-10-31 Roland Man Druckmasch Druckmaschinenanlage
US5201987A (en) * 1990-06-04 1993-04-13 Xerox Corporation Fabricating method for silicon structures
JPH0448674A (ja) 1990-06-14 1992-02-18 Rohm Co Ltd 半導体レーザ
US5113404A (en) 1990-07-05 1992-05-12 At&T Bell Laboratories Silicon-based optical subassembly
US5082366A (en) 1990-08-30 1992-01-21 Laser Technology, Inc. Apparatus and method for detecting leaks in packages
US5080353A (en) * 1990-11-08 1992-01-14 Lee Tench Aerobic exercise chair
EP0512186A1 (en) * 1991-05-03 1992-11-11 International Business Machines Corporation Cooling structures and package modules for semiconductors
JP3143493B2 (ja) * 1991-06-21 2001-03-07 キヤノン株式会社 表示制御装置
US5327443A (en) 1991-10-30 1994-07-05 Rohm Co., Ltd. Package-type semiconductor laser device
US5259054A (en) * 1992-01-10 1993-11-02 At&T Bell Laboratories Self-aligned optical subassembly
JP3235256B2 (ja) * 1992-03-31 2001-12-04 凸版印刷株式会社 メンブレンの製造方法とメンブレン
JP3398165B2 (ja) 1992-06-30 2003-04-21 日立マクセル株式会社 無機非水電解液電池
JP3198628B2 (ja) 1992-07-07 2001-08-13 松下電器産業株式会社 コードレス機器
US5231686A (en) 1992-07-17 1993-07-27 Honeywell Inc. Optical connector configured to facilitate active alignment of a photoelectric device with an optical fiber
JPH0786693B2 (ja) 1992-08-31 1995-09-20 スタンレー電気株式会社 電子写真用感光体
DE4232327A1 (de) 1992-09-26 1994-03-31 Sel Alcatel Ag Halbleiter-Lasermodul
JPH06149483A (ja) 1992-11-13 1994-05-27 Ricoh Co Ltd コンピュータシステム
US5412748A (en) * 1992-12-04 1995-05-02 Kabushiki Kaisha Toshiba Optical semiconductor module
US5351163A (en) * 1992-12-30 1994-09-27 Westinghouse Electric Corporation High Q monolithic MIM capacitor
US5291572A (en) * 1993-01-14 1994-03-01 At&T Bell Laboratories Article comprising compression bonded parts
US5308442A (en) * 1993-01-25 1994-05-03 Hewlett-Packard Company Anisotropically etched ink fill slots in silicon
US5448014A (en) 1993-01-27 1995-09-05 Trw Inc. Mass simultaneous sealing and electrical connection of electronic devices
CN1094450A (zh) 1993-04-28 1994-11-02 德拉费有限公司 合金迁移烧结方法
US5390271A (en) 1993-05-03 1995-02-14 Litton Systems, Inc. Optical interface for hybrid circuit
US5432998A (en) 1993-07-27 1995-07-18 International Business Machines, Corporation Method of solder bonding processor package
KR970005712B1 (ko) * 1994-01-11 1997-04-19 삼성전자 주식회사 고 열방출용 반도체 패키지
US5479540A (en) 1994-06-30 1995-12-26 The Whitaker Corporation Passively aligned bi-directional optoelectronic transceiver module assembly
US5542602A (en) 1994-12-30 1996-08-06 International Business Machines Corporation Stabilization of conductive adhesive by metallurgical bonding
JP3343875B2 (ja) * 1995-06-30 2002-11-11 キヤノン株式会社 インクジェットヘッドの製造方法
US5881193A (en) 1995-12-22 1999-03-09 Lucent Technologies Inc. Low profile optical subassembly
US5812717A (en) 1996-01-18 1998-09-22 Methode Electronics, Inc. Optical package with alignment means and method of assembling an optical package
DE19616969A1 (de) 1996-04-27 1997-10-30 Bosch Gmbh Robert Optische Baugruppe zur Ankopplung eines Lichtwellenleiters und Verfahren zur Herstellung derselben
JPH09318849A (ja) 1996-05-24 1997-12-12 Fujitsu Ltd 光伝送モジュールおよびその製造方法
US5891354A (en) * 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
US6354747B1 (en) * 1996-08-26 2002-03-12 Sumitomo Electric Industries, Ltd. Optical module
US5798557A (en) 1996-08-29 1998-08-25 Harris Corporation Lid wafer bond packaging and micromachining
WO1998014813A1 (en) 1996-09-30 1998-04-09 The Whitaker Corporation Fiber optic array transmitter/receiver based on flexible circuit technology
DE19643717A1 (de) * 1996-10-23 1998-04-30 Asea Brown Boveri Flüssigkeits-Kühlvorrichtung für ein Hochleistungshalbleitermodul
DE19645636C1 (de) * 1996-11-06 1998-03-12 Telefunken Microelectron Leistungsmodul zur Ansteuerung von Elektromotoren
US5740261A (en) 1996-11-21 1998-04-14 Knowles Electronics, Inc. Miniature silicon condenser microphone
JP3359517B2 (ja) * 1996-12-13 2002-12-24 京セラ株式会社 光モジュール
SE511377C2 (sv) * 1996-12-19 1999-09-20 Ericsson Telefon Ab L M Viaanordning
US5997890A (en) 1997-05-23 1999-12-07 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6133631A (en) * 1997-05-30 2000-10-17 Hewlett-Packard Company Semiconductor package lid with internal heat pipe
EP0895111A1 (en) 1997-07-22 1999-02-03 Lucent Technologies Inc. Bonding of aluminum oxide components to silicon substrates
JPH1186312A (ja) 1997-08-29 1999-03-30 Mitsumi Electric Co Ltd 光ピックアップ
US6180261B1 (en) * 1997-10-21 2001-01-30 Nitto Denko Corporation Low thermal expansion circuit board and multilayer wiring circuit board
US5990560A (en) 1997-10-22 1999-11-23 Lucent Technologies Inc. Method and compositions for achieving a kinetically controlled solder bond
FR2770339B1 (fr) * 1997-10-27 2003-06-13 Commissariat Energie Atomique Structure munie de contacts electriques formes a travers le substrat de cette structure et procede d'obtention d'une telle structure
EP0926723B1 (en) * 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
US6167751B1 (en) 1997-11-26 2001-01-02 Thermedics Detection, Inc. Leak analysis
US5899836A (en) * 1998-01-08 1999-05-04 Chen; Paul Exerciser for pulling and stepping exercises
US6036872A (en) * 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers
US6359333B1 (en) 1998-03-31 2002-03-19 Honeywell International Inc. Wafer-pair having deposited layer sealed chambers
JPH11295560A (ja) 1998-04-09 1999-10-29 Matsushita Electric Ind Co Ltd 光通信用モジュール及びその検査方法
US6196358B1 (en) 1998-07-08 2001-03-06 Shimano Inc. Detachable fluid cooling system for bicycle disc brake
US6110081A (en) * 1998-08-06 2000-08-29 Barrett; Marilyn Portable resistance-based exercise apparatus
US6342442B1 (en) 1998-11-20 2002-01-29 Agere Systems Guardian Corp. Kinetically controlled solder bonding
US20030034438A1 (en) * 1998-11-25 2003-02-20 Sherrer David W. Optoelectronic device-optical fiber connector having micromachined pit for passive alignment of the optoelectronic device
US7208725B2 (en) 1998-11-25 2007-04-24 Rohm And Haas Electronic Materials Llc Optoelectronic component with encapsulant
AU2653299A (en) 1998-11-25 2000-06-13 Act Micro Devices Optoelectronic module and method of making same
TW508704B (en) 1998-12-16 2002-11-01 Seiko Epson Corp Semiconductor chip
US6094919A (en) * 1999-01-04 2000-08-01 Intel Corporation Package with integrated thermoelectric module for cooling of integrated circuits
US6227724B1 (en) 1999-01-11 2001-05-08 Lightlogic, Inc. Method for constructing an optoelectronic assembly
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6275513B1 (en) 1999-06-04 2001-08-14 Bandwidth 9 Hermetically sealed semiconductor laser device
US6228675B1 (en) * 1999-07-23 2001-05-08 Agilent Technologies, Inc. Microcap wafer-level package with vias
US6522762B1 (en) * 1999-09-07 2003-02-18 Microtronic A/S Silicon-based sensor system
US6091603A (en) * 1999-09-30 2000-07-18 International Business Machines Corporation Customizable lid for improved thermal performance of modules using flip chips
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
JP2001174671A (ja) * 1999-12-16 2001-06-29 Japan Aviation Electronics Industry Ltd 光素子モジュール
US6468891B2 (en) 2000-02-24 2002-10-22 Micron Technology, Inc. Stereolithographically fabricated conductive elements, semiconductor device components and assemblies including such conductive elements, and methods
JP3518491B2 (ja) 2000-06-26 2004-04-12 株式会社日立製作所 光結合装置
JP4134499B2 (ja) * 2000-08-07 2008-08-20 住友電気工業株式会社 光学装置
AU7266100A (en) * 2000-09-26 2000-12-18 Martin Lehmann Method and apparatus for leak testing closed containers
US7345316B2 (en) * 2000-10-25 2008-03-18 Shipley Company, L.L.C. Wafer level packaging for optoelectronic devices
US6932519B2 (en) * 2000-11-16 2005-08-23 Shipley Company, L.L.C. Optical device package
JP3704467B2 (ja) * 2000-12-05 2005-10-12 松下電器産業株式会社 半導体装置の製造方法
AUPR245701A0 (en) 2001-01-10 2001-02-01 Silverbrook Research Pty Ltd An apparatus (WSM10)
JP4092914B2 (ja) * 2001-01-26 2008-05-28 セイコーエプソン株式会社 マスクの製造方法、有機エレクトロルミネッセンス装置の製造方法
KR100396551B1 (ko) 2001-02-03 2003-09-03 삼성전자주식회사 웨이퍼 레벨 허메틱 실링 방법
US6894903B2 (en) * 2001-02-28 2005-05-17 Sumitomo Electric Industries, Ltd. Optical data link
US6358066B1 (en) 2001-02-28 2002-03-19 Stratos Lightwave, Inc. Surface mountable transceiver
JP4000782B2 (ja) 2001-03-22 2007-10-31 株式会社ジェイテクト 電動パワーステアリング装置
JP2002341189A (ja) * 2001-05-17 2002-11-27 Hitachi Ltd 光モジュール
JP4583662B2 (ja) 2001-06-01 2010-11-17 三菱電機株式会社 光モジュールパッケージおよびその製造方法
US6890834B2 (en) * 2001-06-11 2005-05-10 Matsushita Electric Industrial Co., Ltd. Electronic device and method for manufacturing the same
US6776623B1 (en) 2001-06-11 2004-08-17 Picolight Incorporated Transceiver mounting adapters
US6452798B1 (en) * 2001-09-12 2002-09-17 Harris Corporation Electronic module including a cooling substrate having a fluid cooling circuit therein and related methods
US6611052B2 (en) * 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
WO2003046640A1 (fr) 2001-11-27 2003-06-05 Matsushita Electric Industrial Co., Ltd. Visualisateur d'image de type projection a panneau unique
US6985281B2 (en) * 2001-11-28 2006-01-10 Aegis Semiconductor, Inc. Package for optical components
KR100442830B1 (ko) 2001-12-04 2004-08-02 삼성전자주식회사 저온의 산화방지 허메틱 실링 방법
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
GB2384554A (en) 2002-01-25 2003-07-30 Sepha Ltd Detecting leaks from sealed packages
US6746158B2 (en) 2002-01-31 2004-06-08 Agilent Technologies, Inc. Pull detach mechanism for fiber optic transceiver module
US20030161133A1 (en) 2002-02-27 2003-08-28 Optronx Optical transmitter header having passive electrical component
US20030161603A1 (en) 2002-02-27 2003-08-28 Nadeau Mary J. Receiver optical bench formed using passive alignment
US6646777B2 (en) * 2002-02-27 2003-11-11 Jds Uniphase Corporation Optical isolator with improved mounting characteristics
US20030161363A1 (en) 2002-02-27 2003-08-28 Optronx, Inc. Optical transmitter and transponder that operate without thermoelectric cooler
US6773532B2 (en) 2002-02-27 2004-08-10 Jds Uniphase Corporation Method for improving heat dissipation in optical transmitter
US6916122B2 (en) 2002-03-05 2005-07-12 Jds Uniphase Corporation Modular heat sinks
US6962834B2 (en) 2002-03-22 2005-11-08 Stark David H Wafer-level hermetic micro-device packages
US7026223B2 (en) * 2002-03-28 2006-04-11 M/A-Com, Inc Hermetic electric component package
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6869231B2 (en) * 2002-05-01 2005-03-22 Jds Uniphase Corporation Transmitters, receivers, and transceivers including an optical bench
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6977187B2 (en) 2002-06-19 2005-12-20 Foster-Miller, Inc. Chip package sealing method
US7090412B2 (en) 2002-08-02 2006-08-15 Sumitomo Electric Industries, Ltd. Optical module
EP1540317A4 (en) 2002-08-29 2010-06-30 Norcom Systems Inc SYSTEM AND PROCESS FOR DETECTING LEAKS IN SEALED ARTICLES
US7138293B2 (en) 2002-10-04 2006-11-21 Dalsa Semiconductor Inc. Wafer level packaging technique for microdevices
US6929974B2 (en) 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US20050250253A1 (en) 2002-10-23 2005-11-10 Cheung Kin P Processes for hermetically packaging wafer level microscopic structures
US20040091268A1 (en) 2002-11-01 2004-05-13 Jds Uniphase Corporation Transmitter optical sub-assembly
US6969204B2 (en) * 2002-11-26 2005-11-29 Hymite A/S Optical package with an integrated lens and optical assemblies incorporating the package
JP4072677B2 (ja) * 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
JP4617636B2 (ja) 2003-03-19 2011-01-26 住友電気工業株式会社 光モジュール
US6860652B2 (en) * 2003-05-23 2005-03-01 Intel Corporation Package for housing an optoelectronic assembly
US20050063431A1 (en) * 2003-09-19 2005-03-24 Gallup Kendra J. Integrated optics and electronics
SG120123A1 (en) * 2003-09-30 2006-03-28 Micron Technology Inc Castellated chip-scale packages and methods for fabricating the same
US6992887B2 (en) * 2003-10-15 2006-01-31 Visteon Global Technologies, Inc. Liquid cooled semiconductor device
US7160039B2 (en) 2004-01-26 2007-01-09 Jds Uniphase Corporation Compact optical sub-assembly with integrated flexible circuit
WO2005104314A2 (fr) 2004-04-13 2005-11-03 Sa Intexys Procede de fabrication de circuits electroniques et optoelectroniques
US7410307B2 (en) 2004-06-04 2008-08-12 Finisar Corporation Modular optical device package compatible with multiple fiber connectors
US8244085B2 (en) 2004-07-02 2012-08-14 Finisar Corporation Optical transceiver interface for multimode fibers
US7543999B2 (en) * 2004-09-13 2009-06-09 Hymite A/S Optical module hermetically packaged in micro-machined structures
US7081408B2 (en) * 2004-10-28 2006-07-25 Intel Corporation Method of creating a tapered via using a receding mask and resulting structure
KR100618343B1 (ko) * 2004-10-28 2006-08-31 삼성전자주식회사 패키징 기판의 제조방법 및 이를 이용한 패키징 방법.
US7149405B2 (en) 2004-10-29 2006-12-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Electro-optical subassemblies and method for assembly thereof
US7553695B2 (en) 2005-03-17 2009-06-30 Hymite A/S Method of fabricating a package for a micro component
CA2548857C (en) 2005-06-01 2015-01-06 Rohm And Haas Electronic Materials Llc Optical assemblies
US7280181B2 (en) 2005-06-30 2007-10-09 Intel Corporation Liquid crystal polymer optical filter carrier
JP5114017B2 (ja) * 2006-05-11 2013-01-09 オリンパス株式会社 半導体装置、該半導体装置の製造方法
US7531445B2 (en) * 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
DK1962344T3 (da) * 2007-02-25 2012-07-02 Samsung Electronics Co Ltd Emballager til elektroniske indretninger og fremgangsmåder til fremstilling
JP2010535427A (ja) * 2007-07-31 2010-11-18 テッセラ,インコーポレイテッド 貫通シリコンビアを使用する半導体実装プロセス
DE102009018603B9 (de) * 2008-04-25 2021-01-14 Samsung Electronics Co., Ltd. Leuchtvorrichtung und Herstellungsverfahren derselben
US7704796B2 (en) * 2008-06-04 2010-04-27 Stats Chippac, Ltd. Semiconductor device and method of forming recessed conductive vias in saw streets

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02257676A (ja) * 1989-03-29 1990-10-18 Sharp Corp 波長選択性受光素子
JPH0786693A (ja) * 1993-09-16 1995-03-31 Toshiba Corp 光半導体モジュール
JPH09307122A (ja) * 1996-05-17 1997-11-28 Shinko Electric Ind Co Ltd 光素子モジュール
JPH10115556A (ja) * 1996-10-11 1998-05-06 Mitsubishi Electric Corp 赤外線検出器
US6422766B1 (en) * 1998-05-27 2002-07-23 Siemens Aktiengesellschaft Ag Housing configuration for a laser module
JP2000311961A (ja) * 1999-04-27 2000-11-07 Omron Corp 半導体素子の製造方法
JP2001319985A (ja) * 2000-02-29 2001-11-16 Agilent Technol Inc チップマウント型封止構造体
US6477056B1 (en) * 2001-03-12 2002-11-05 Phillip J. Edwards Optoelectric mounting and interconnect apparatus
JP2003100919A (ja) * 2001-06-11 2003-04-04 Matsushita Electric Ind Co Ltd 電子デバイス及びその製造方法
JP2003078080A (ja) * 2001-08-30 2003-03-14 Fujitsu Ltd 薄膜回路基板およびその製造方法、ビア形成基板およびその製造方法
JP2005506701A (ja) * 2001-10-17 2005-03-03 ハイマイト アクティーゼルスカブ 1つ又は複数のスルーホールを備えた半導体構造
US20030071283A1 (en) * 2001-10-17 2003-04-17 Hymite A/S Semiconductor structure with one or more through-holes
EP1321931A2 (en) * 2001-12-18 2003-06-25 STMicroelectronics, Inc. Integrated optical unit for use with minature optical discs
JP2003046014A (ja) * 2002-04-25 2003-02-14 Hitachi Kokusai Electric Inc 素子の気密封止方法
JP2004235440A (ja) * 2003-01-30 2004-08-19 Mitsubishi Electric Corp マイクロパッケージとその製造方法
JP2004267167A (ja) * 2003-03-12 2004-09-30 Kanagawa Prefecture 老化上皮幹細胞の取得方法
JP2005055670A (ja) * 2003-08-04 2005-03-03 Seiko Epson Corp Memsデバイス及びその製造方法並びにmemsモジュール
JP2005136384A (ja) * 2003-09-15 2005-05-26 Rohm & Haas Electronic Materials Llc デバイスパッケージ、ならびにその製造方法および試験方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017011096A (ja) * 2015-06-22 2017-01-12 住友電気工業株式会社 光モジュール、光モジュールを作製する方法
WO2017203785A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール
WO2017203786A1 (ja) * 2016-05-23 2017-11-30 オリンパス株式会社 光信号送信モジュール
JPWO2017203785A1 (ja) * 2016-05-23 2018-08-09 オリンパス株式会社 光信号送信モジュール
JPWO2017203786A1 (ja) * 2016-05-23 2018-08-30 オリンパス株式会社 光信号送信モジュール
US11058285B2 (en) 2016-05-23 2021-07-13 Olympus Corporation Optical signal transmission module

Also Published As

Publication number Publication date
US7129163B2 (en) 2006-10-31
CA2843395C (en) 2016-08-16
JP2005175431A (ja) 2005-06-30
US20050111797A1 (en) 2005-05-26
CN100511624C (zh) 2009-07-08
KR20120042811A (ko) 2012-05-03
TW200518354A (en) 2005-06-01
TW200522380A (en) 2005-07-01
CA2884972A1 (en) 2005-03-15
EP1515364A3 (en) 2006-05-10
US7329056B2 (en) 2008-02-12
CA2884972C (en) 2016-11-01
US20150184998A1 (en) 2015-07-02
EP1517166A2 (en) 2005-03-23
CA2481637C (en) 2014-05-13
US7508065B2 (en) 2009-03-24
US20110079893A1 (en) 2011-04-07
CA2481637A1 (en) 2005-03-15
KR101146019B1 (ko) 2012-05-15
CN101079387A (zh) 2007-11-28
KR20110119594A (ko) 2011-11-02
KR20050027960A (ko) 2005-03-21
US20070072321A1 (en) 2007-03-29
US20070040268A1 (en) 2007-02-22
EP1517166B1 (en) 2015-10-21
EP2937897A2 (en) 2015-10-28
EP1515364A2 (en) 2005-03-16
US9817199B2 (en) 2017-11-14
EP1515364B1 (en) 2016-04-13
EP1517166A3 (en) 2005-07-06
CN1655342A (zh) 2005-08-17
CN100539127C (zh) 2009-09-09
JP4911885B2 (ja) 2012-04-04
US20160344159A1 (en) 2016-11-24
CN1649117A (zh) 2005-08-03
CN100386867C (zh) 2008-05-07
CA2793031C (en) 2015-06-02
CN100378938C (zh) 2008-04-02
KR20050027959A (ko) 2005-03-21
KR101194532B1 (ko) 2012-10-24
JP2005136384A (ja) 2005-05-26
JP5002123B2 (ja) 2012-08-15
US9647420B2 (en) 2017-05-09
CA2481616A1 (en) 2005-03-15
TWI241722B (en) 2005-10-11
US9410799B2 (en) 2016-08-09
KR101131654B1 (ko) 2012-03-28
US7888793B2 (en) 2011-02-15
US8993450B2 (en) 2015-03-31
TWI253761B (en) 2006-04-21
US7449784B2 (en) 2008-11-11
US20050110157A1 (en) 2005-05-26
CA2843395A1 (en) 2005-03-15
EP2937897A3 (en) 2016-03-23
US20140226690A1 (en) 2014-08-14
US20170235066A1 (en) 2017-08-17
US8703603B2 (en) 2014-04-22
US20070164419A1 (en) 2007-07-19
KR101264048B1 (ko) 2013-05-21
CA2481616C (en) 2013-01-08
US20180074272A1 (en) 2018-03-15
CA2793031A1 (en) 2005-03-15
CN101083255A (zh) 2007-12-05

Similar Documents

Publication Publication Date Title
JP4911885B2 (ja) デバイスパッケージ、ならびにその製造方法および試験方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131011

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150402

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150910